12.07.2015 Views

VOICE OF THE ENGINEER - ElectronicsAndBooks

VOICE OF THE ENGINEER - ElectronicsAndBooks

VOICE OF THE ENGINEER - ElectronicsAndBooks

SHOW MORE
SHOW LESS
  • No tags were found...

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

a A Canon Communications LLC Publication Issue 17/2010<strong>VOICE</strong> <strong>OF</strong> <strong>THE</strong> <strong>ENGINEER</strong>9 SEPTIssue 21/2005www.edn.comThe devil’s inthe details Pg 58Learn to applyLEDs Pg 9Signal Integrity Pg 21Software fornanodesign Pg 22Design Ideas Pg 47Supply Chain Pg 54


For samples, design kits and whitepapers on these topics, go to:www.avagoresponsecenter.com/441over 5,000 patents and applications


a A Canon Communications LLC Publication Issue 17/2010<strong>VOICE</strong> <strong>OF</strong> <strong>THE</strong> <strong>ENGINEER</strong>VENDORS TARGETOSCILLOSCOPESWEET SPOTPage 30DISCOVERING<strong>THE</strong> LAST UNREALIZEDPOWER REDUCTIONPage 24GLASS-BREAKAGEDETECTORUSES ONEMICRO-CONTROLLERPage 399 SEPTIssue 21/2005www.edn.comThe devil’s inthe details Pg 58Learn to applyLEDs Pg 9Signal Integrity Pg 21Software fornanodesign Pg 22Design Ideas Pg 47Supply Chain Pg 54


Make the force be with youUse the power of CST STUDIO SUITE,the No 1 technology for electromagnetic simulation.Crosstalk analysis www.cst.com/pcbY Get equipped with leading edgeEM technology. CST’s tools enable youto characterize, design and optimizeelectromagnetic devices all before going intothe lab or measurement chamber. This canhelp save substantial costs especially for new orcutting edge products, and also reduce designrisk and improve overall performance andprofitability.Involved in signal or power integrity analysis? Youcan read about how CST technology was used tosimulate and optimize a digital multilayer PCB’sperformance at www.cst.com/pcb. If you’remore interested in EMC/EMI, we’ve a widerange of worked application examples live onour website at www.cst.com/emc.Now even more choice for SI/PI simulation.The extensive range of tools integratedin CST STUDIO SUITE enables numerousapplications to be analyzed without leaving thefamiliar CST design environment. This completetechnology approach enables unprecedentedsimulation reliability and additional securitythrough cross verification.Y Grab the latest in simulation technology.Choose the accuracy and speed offered byCST STUDIO SUITE.CHANGING <strong>THE</strong> STANDARDSCST of America®, Inc. | To request literature (508) 665 4400 | www.cst.com


9.9.10contentsVendors targetoscilloscopesweet spot30When choosing oscilloscopesin the 1- to 4-GHzrange, engineers have anexpanding variety of price, performance,and usability options as themarket acquires a new competitor.by Rick Nelson,Editor-in-ChiefDiscovering thelast unrealizedpower reductionPower-optimized architectureshelp engineers 24designing chips with blocks thatcan power down or operate atreduced frequencies and voltages.by JayChiang,SynopsysZEDDE10.50pulse12 Handheld 8-lb RF-spectrumanalyzers boast 43-GHzfrequencycoverage14 Contact system is first activeimplantable medical device14 Supercapacitor chargers toutautomatic cell balancing16 LabView 2010, VNA, dataacquisition,and I/O productsdebut at NIWeekDilbert 1416 Micron unveils solid-state drivesfor enterprises18 Process aims to make solarpower cheap enough to competewith oil18 Circuits claim 1000-times efficienciesin cost, power, and sizeover today’s digital computing−0.5−1−1.500.511.5 2Glass-breakagedetector uses onemicrocontrollerA glass-breakage detectorcan detect when a win-39dow or door breaks in a home orbusiness, serving as a monitoringdevice to enhance security bydetecting illegal entry.by Kripasagar Venkat,Texas Instruments2.53DESIGNIDEASR SHUNTSENSE1k81V P1kA 147 Current monitor compensates for errors48 Buck regulator handles light loadsV BIAS51 Sense multiple pushbuttons using only two wires52 Tricolor LED emits light of any color or hueSEPTEMBER 9, 2010 | EDN 5


Go ahead: define your most exacting waveform.Generate a most exacting output.You’re developing new technologies every day that are faster, more efficientand imaginative. Agilent 33500A Series function/arbitrary waveformgenerators provide your waveforms with the highest signal fidelity. With33521A and 33522A30 MHz sine, square, pulse bandwidth250 MSa/s, 16-bit samplingPoint-by-point arbitrary waveformsDual-channel coupling and tracking10x better jitter than anything in itsclass you have unparalleled control ofsignal frequency. And new breakthroughtechnology provides an unprecedentedability to generate arbitrary waveforms.That’s confidence. That’s Agilent.Get 10% off the dual-channel 33522Awww.agilent.com/find/Agilent33522AAgilent and our Distributor NetworkRight Instrument. Right Expertise. Delivered Right Now.© 2010 Agilent Technologies, Inc.Buy from an Authorized Distributorwww.agilent.com/find/distributors


contents9.9.10BenchmarkMOSFETs56 5840 V – 250 V MOSFETsin High CurrentPQFN PackageDEPARTMENTS & COLUMNS9 EDN.comment: Learn to apply LEDs—from art to advertising21 Signal Integrity: Linearity22 Inside Nanotechnology: Software for nanodesign54 Supply Chain: High-reliability-component market maintains growth56 Product Roundup: Switches and Relays58 Tales from the Cube: The devil’s in the detailsStandard Gate DrivePart Number V I DR DS(on)@10 VQ Gonline contentswww.edn.comIRFH5004TRPBF 40 V 100 A 2.6 mΩ 73 nCIRFH5006TRPBF 60 V 100 A 4.1 mΩ 67 nCIRFH5007TRPBF 75 V 100 A 5.9 mΩ 65 nCONLINE ONLYCheck out these Web-exclusive articles:Designing for EOL with an ASICIn industries in which product life cycleslast a decade or more, the challenges andcosts associated with component obsolescenceand EOL (end of life) are significant.Engineering teams can address these issuesthrough careful planning and ASIC design.➔www.edn.com/100909tocaThe hidden variable: circuit stabilityas a function of resistor stabilityEven close-tolerance instrument componentscan drift from their specified values.Because of their method of construction,bulk-metal-foil resistors are stable over awide temperature range.➔www.edn.com/100909tocbTAKING <strong>THE</strong> MEASURERick Nelson, editor-in-chief ofEDN and Test & MeasurementWorld, comments on test, globalization,measurement, machinevision, economics, nanotechnology,the engineering profession, andtopics of general interest.Sample a few recent blog entries at thelinks below:Getting exercised over technology➔www.edn.com/100909toccIs technology more dangerous thandarkness and wild animals?➔www.edn.com/100909tocdThe grand challenge of employment➔www.edn.com/100909toceHOT TOPICSHot Topics pages deliver continuously updated, subject-specific linksfrom EDN as well as the entire electronics-industry Web.➔www.edn.com/hottopicsIRFH5010TRPBF 100 V 100 A 9.0 mΩ 65 nCIRFH5015TRPBF 150 V 56 A 31 mΩ 33 nCIRFH5020TRPBF 200 V 41 A 59 mΩ 36 nCIRFH5025TRPBF 250 V 32 A 100 mΩ 37 nCLogic Level Gate Drive also AvailableFeatures• Low thermal resistance to PCB• High Current Package –up to 100A continuous• Industry-standard pinoutYour FIRST CHOICEfor PerformanceFor more information call1.800.981.8699 or visitwww.irf.comEDN® (ISSN#0012-7515) is published semimonthly, 24 times per year, by Canon Communications LLC, 11444 W. Olympic Blvd., LosAngeles, CA 90064-1549; 310/445-4200; FAX 310/445-4299. Periodicals postage paid at Los Angeles, CA, and at additional mailing offices.SUBSCRIPTIONS—Free to qualified subscribers as defined on the subscription card. Rates for nonqualified subscriptions, including all issues:US, $150 one year; $250 two years; $300 three years. Except for special issues where price changes are indicated, single copies are availablefor $10 US and $15 foreign. For telephone inquiries regarding subscriptions, call 763/746-2792. E-mail: EDN@kmpsgroup.com. CHANGE <strong>OF</strong>ADDRESS—Notices should be sent promptly to PO Box 47461, Plymouth, MN 55447. Please provide old mailing label as well as new address.Allow two months for change. NOTICE—Every precaution is taken to ensure accuracy of content; however, the publisher cannot acceptresponsibility for the correctness of the information supplied or advertised or for any opinion expressed herein. POSTMASTER—Send addresschanges to EDN, PO Box 47461, Plymouth, MN 55447. Canada Post: Publications Mail Agreement 40612608. Return undeliverable Canadianaddresses to Pitney Bowes Inc, PO Box 25542, London, ON N6C 6B2. Copyright 2010 by Canon Communications LLC. All rights reserved.Reproduction in whole or part without written permission is prohibited. Volume 55, Number 17 (Printed in USA).<strong>THE</strong> POWER MANAGEMENT LEADER


EDN.COMMENTBY RICK NELSON, EDITOR-IN-CHIEFLearn to apply LEDs—from art to advertisingNew LED devices are poised to revolutionize lighting forconsumer and other applications. Although they may notbe fully competitive with compact fluorescent bulbs for“green”-home lighting, that situation could soon change.LEDs are now finding use in medical-device, automotive,architectural, and signage applications, for which they savepower and space and offer the ability to shape light into unlimited colors.This ability lifts them from the realm of the practical into the world of fineart. The work of artist Leo Villareal is evidence of that ability. Villarealworks with LEDs to create computer-driven imagery, light sculptures,and site-specific architectural work. You can see his work at the San JoseMuseum of Art through Jan 9, 2011.Not surprisingly, innovators pressLEDs into service for marketing as wellas art. In June, Huntsman AdvancedMaterials and the Holst Centre announcedthe integration of a thinfilm-encapsulatedflexible OLED (organiclight-emitting diode) with thecomposite materialof a Le-Mans race car’srearview mirror—withthegoal of advertisingHuntsman’sAraldite materialto nightviewers of the24-hour race.From an engineeringperspective,to effectivelyapply HB (high-brightness)LEDs you must understand packaging,control-electronics, and thermal-managementissues. You can learn moreabout these issues at a full-day workshop,“Designing with LEDs,” whichwill take place on Sept 29, 2010, inChicago. EDN and sibling publicationDesign News are sponsors for the event.In four technical-paper tracks you canlearn about power management, thermalmanagement, LEDs and solarpower, and optics and light measurement.You can also benefit from panelpresentationsby major LEDmanufacturers,including Cree,Philips Lumileds,and Osram,which willdiscuss LEDlife, reliability,and futureproducts, andsee exhibits ofthe latest inHB LEDs, connectors,packaging technologies, andpower-management and thermal-managementcomponents.The workshop is collocated with theAssembly and Automation TechnologyExpo and five other events at the DonaldE Stephens Center in Rosemont,IL. For registration information, go tohttp://bit.ly/dd1y3o.When it comes to testing and measuringLEDs, you needn’t wait for theworkshop. Test & Measurement World’sSeptember issue brings you two featurearticles on the topic. The cover story recountsSenior Technical Editor MartinRowe’s visit to Luminus Devices, whichmanufactures LEDs that appear in stagelighting, portable projectors, retailstores, homes, streetlights, and projectionTVs. As Rowe writes, lighting designerKevin Adams chose stage lightsthat contain Luminus Devices’ LEDswhen he designed the lighting for GreenDay’s American Idiot musical on Broadway.Rowe explains how the companyemploys wafer probers, source-measureunits, and spectrometers to measure parameterssuch as forward operating voltage,reverse leakage current, dominantwavelength, and brightness.In a second September feature inT&MW, Bryan C Bolt, technology-developmentmanager for test systems atCascade Microtech, describes the issuesfacing test-equipment makers as theHB-LED market experiences a CAGR(compound annual growth rate) projectedto exceed 30% over the nextseveral years. Bolt notes that, despite alack of industry standards and a technologyroad map, vendors of test equipmentmust accommodate the range oftest configurations they find across thespectrum of manufacturers while controllingthe cost of test. He adds thatthe low-cost mandate suggests that significantcustomization of test equipmentto meet the needs of each LEDmanufacturer would be impractical andrecommends the adoption of purposebuiltyet flexible and modular test systemsfor LED-production test.It’s certain that both design and testengineers have work to do as the applicationsand markets for HB LEDsexpand.EDNA version of this editorial appeared in theSeptember issue of Test & MeasurementWorld. You can reach me at richard.nelson@cancom.com.SEPTEMBER 9, 2010 | EDN 9


as PCIe ®technology simplifies designslow-power transceivers supporting up to 11.18Gbpslow-power, performance-optimized DSP slicesperformance-tuned IP blocksPotential. Realized.Unleash the full potential of your product design with Xilinx ® Virtex ® -6 and Spartan ® -6 FPGA families — theprogrammable foundation for Targeted Design Platforms.Realize your potential. Visit www.xilinx.com/6.© Copyright 2010 Xilinx, Inc. All rights reserved. Xilinx and the Xilinx logo are registered trademarks of Xilinxin the United States and other countries. All other trademarks are property of their respective holders.


PUBLISHER,EDN WORLDWIDERussell E Pratt, 1-781-869-7982;russell.pratt@cancom.comASSOCIATE PUBLISHER,EDN WORLDWIDEJudy Hayes, 1-925-736-7617;judy.hayes@cancom.comEDITOR-IN-CHIEF,EDN WORLDWIDERick Nelson, 1-781-869-7970;richard.nelson@cancom.comMANAGING EDITORAmy Norcross1-781-869-7971;fax: 1-781-862-4853;amy.norcross@cancom.comContact for contributed technical articlesANALOGPaul Rako, Technical Editor1-408-745-1994;paul.rako@cancom.comMASS STORAGE, MULTIMEDIA,PCs, AND PERIPHERALSBrian Dipert, Senior Technical Editor1-916-548-1225;brian.dipert@cancom.comNEWSSuzanne Deffree, Managing Editor1-631-266-3433;suzanne.deffree@cancom.comPOWER SOURCES,ONLINE INITIATIVESMargery Conner, Technical Editor1-805-461-8242;fax: 1-805-461-9640;margery.conner@cancom.comDESIGN IDEAS EDITORMartin Rowe,Senior Technical Editor,Test & Measurement Worldedndesignideas@cancom.comSENIOR ASSOCIATE EDITORFrances T Granville1-781-869-7969;fax: 1-781-862-4853;frances.granville@cancom.comASSOCIATE EDITORJessica MacNeil1-781-869-7983;jessica.macneil@cancom.comCONSULTING EDITORJim Williams, Staff Scientist,Linear Technologyedn.editor@cancom.comCONTRIBUTING TECHNICAL EDITORSDan Strassberg,strassbergedn@att.netRobert Cravotta,robert.cravotta@embeddedinsights.comCOLUMNISTSHoward Johnson, PhD, Signal ConsultingBonnie Baker, Texas InstrumentsPallab Chatterjee, SiliconMapKevin C Craig, PhD, Marquette UniversityLEAD ART DIRECTORMarco AguileraASSOCIATE ART DIRECTORTim BurnsPRODUCTIONMichael Ciardiello,Director of Premedia TechnologiesJeff Tade,Production DirectorBrian Wu,Publications Production ManagerJeff Polman, Derric Treece,Senior Production ArtistsWilliam Baughman, Lucia CoronaRicardo Esparza,Production ArtistsEDN EUROPEGraham Prophet,Editor, Reed Publishinggprophet@reedbusiness.frEDN ASIAWai-Chun Chen,Group Publisher, Asiawaichun.chen@cancom.comKirtimaya Varma,Editor-in-Chiefkirti.varma@cancom.comEDN CHINAWilliam Zhang,Publisher and Editorial Directorwilliam.zhang@cancom.comJeff Lu,Executive Editorjeff.lu@cancom.comEDN JAPANKatsuya Watanabe,Publisherkatsuya.watanabe@cancom.comKen Amemoto,Editor-in-Chiefken.amemoto@cancom.comEXECUTIVE <strong>OF</strong>FICERSCharles G McCurdy,Chief Executive OfficerFred Gysi,Chief Financial OfficerMike Deering,Chief Technology OfficerRon Wall,Senior Vice President, PublicationsKevin O’Keefe,Senior Vice President, Events DivisionRoger Burg,Vice President, OperationsJason Brown,Vice President, E-mediaEDN. 33 Hayden Avenue, Lexington, MA 02421. www.edn.com. Subscription inquiries: 1-763-746-2792;EDN@kmpsgroup.com. Address changes: Send notice promptly to PO Box 47461, Plymouth, MN 55447.Please provide an old mailing label as well as your new address. Allow two months for the change.Canon Communications LLC, 11444 W. Olympic Blvd., Los Angeles, CA 90064-1549; 1-310-445-4200; fax:1-310-445-4299.“I can’t sayenough about…the efficiencyof speakingengineer-toengineer…”New Product Development Engineer,Fluid Controls ManufacturerMAXIMUMSupport“ Mill-Max is willing to come to us, consult,and turn projects around quickly. Other highvolume shops don’t offer that kind of attentionunless your part order runs into the millions. ”At Mill-Max we don’t believe that service and technicalsupport should be dependent on the size of an order.In fact, we’re never content with simply filling anorder…not if we can see ways to improve componentfunctionality or simplify the manufacturing process.“…with a design we thought would requirea lot of secondary operations…they found a wayto machine it at once…resulting in a veryfunctional, very low-cost part. ”®Our connectors speak forthemselves…so do our customers.To view our Design Guide, new product offeringsand request a datasheet with free samples,visit www.mill-max.com/EDN612


pulseEDITED BY FRAN GRANVILLEINNOVATIONS & INNOVATORSHandheld 8-lb RF-spectrum analyzersboast 43-GHz frequency coverageAnritsu’s new MS272xC series ofSpectrum Master handheld RFspectrumanalyzers provide frequencycoverage to 43 GHz in units thatweigh less than 8 lbs. The series alsoincludes a variety of applications for testingthe RF physical layer, making it easier forengineers, fi eld technicians, and monitoringagencies to track over-the-air signals,locate interferers, and detect hidden transmitters.The family comprises fi ve modelsthat cover 9, 13, 20, 32, and 43 GHz.The units eliminate the need to carry heavybenchtop spectrum analyzers into the fi eld tomeasure signals at frequencies beyond 20 GHz,such as those in microwave-backhaul applications.To further lighten the load, you can orderthe new units with a channel scanner and aninterference analyzer, with which you can performall common fi eld measurements, therebyeliminating the need for multiple instruments.The handheld instruments easily incorporateseveral 3 and 4G (third- and fourth-generation)options to allow measurement of signals thatcomply with such standards as LTE (long-termevolution), HSPA+ (evolved high-speed packetaccess), WCDMA (wireless code-division multipleaccess), EVDO (evolution data-optimized)CDMA, GSM (global system for mobile communication),EDGE (enhanced data rates forglobal evolution), TD-SCDMA (time-divisionsynchronousCDMA), HSDPA (high-speeddownlink-packet access), and WiMax (worldwideinteroperability for microwave access).The MS2726C takes 27 seconds to sweepa 43-GHz span with a 30-kHz RBW (resolutionbandwidth). The units do not sacrifi ce accuracyfor speed, however; they deliver phasenoise of −100 dBc/Hz and dynamic range of101 dB at a 10-kHz offset from a 1-GHz carrier.With a broadband preamplifi er to detectsmall signals, the analyzers also offer high sensitivity.For example, the MS2726C’s sensitivityis −159 dBm at 1 GHz and −145 dBm at 43GHz. An intuitive menu-driven display simplifi esall measurements.An analysis package and Anritsu’s Mastersoftware tools let you conduct detailed evaluationof measurement data. You can easily identifyinterference sources using built-in reportingand mapping tools, spectrogram folders,and 3-D spectrograms. These tools eliminatethe need for more expensive, larger, heavierbenchtop instruments as well as third-partyspectrum-monitoring software.The series uses the fi eld-proven SpectrumMaster architecture. A rugged housing withstandsthe day-to-day operations of fi eld use,and the units’ light weight makes them easyto carry when a user is climbing towers. Theyhave a fi eld-replaceable long-life battery and anoperating-temperature range of −10 to +55°C.A large, daylight-viewable display eases theviewing of test resultsin any environment.Display modes includea red nightvisionmode, ablack-and-whitemode, and twofull-color modes.US prices start at$15,950.—by DanStrassberg▷Anritsu Co,www.us.anritsu.com.TALKBACK“Too often, someonewill make a ‘stupid’comment like ‘whatif we cycle power?’Where I work, wewould all look at himlike he is a completeidiot and does notunderstand real engineering.Of course,we have met the enemy,and he is us.”—Senior design engineer and EDNreader Dave McNeely, in EDN’sTalkback section, at http://bit.ly/cBBy2c. Add your comments.The MS272xC series of handheldRF-spectrum analyzers includesfive models. This one provides frequencycoverage to 43 GHz, whichis the widest frequency range in aportable instrument of this type,according to the manufacturer.12 EDN | SEPTEMBER 9, 2010


PFU SYSTEMSHECTRONIC ANOVO CORVALENT NORCONEC SECO EXARXILINX ALT S<strong>OF</strong>TWARE VENTURE ALTERA LYNUXWORKS ARBOR TECHNOLOGY TOSHIBA XILINXDUX MINDTREE TRUCOMPHECTRONIC VIRTUALLOGIXQNX S<strong>OF</strong>TWARE SYSTEMS ALT S<strong>OF</strong>TWAREREAL-TIME SYSTEMSDIVERSIFIED TECHNOLOGYSECOMSC VERTRIEBS CORVALENT CONCURRENT TECHNOLOGIESEVOC INTELLIGENT TECHNOLOGY TENASYS IBASE TECHNOLOGYCURTISS WRIGHT CONTROLS EMBEDDED COMPUTINGDIVERSIFIED TECHNOLOGYBSQUAREDUXPFU SYSTEMSEMERSON NETWORK POWER RICOHDFI-ITOXINSYDE S<strong>OF</strong>TWAREARICENTNATIONAL INSTRUMENTS MICRO INDUSTRIESGE INTELLIGENT PLATFORMSWIPRO TECHNOLOGIES LIPPERT EMBEDDED COMPUTERS NETLOGIC MICROSYSTEMSLSI AVALUE MINDTREE CONCURRENT TECHNOLOGIES NECOKI SEMICONDUCTOR NETRONOME SYSTEMSALT S<strong>OF</strong>TWAREVENTUREADVANTECH IEI TECHNOLOGYTRENTON TECHNOLOGYPROTECH SYSTEMSWIN ENTERPRISESWIND RIVER SYSTEMSTIETO SIGNALING SOLUTIONSRADISYSPFU SYSTEMS ALTERA ARBOR TECHNOLOGYTRENTON TECHNOLOGYMACRAIGOR SYSTEMSVIRTUALLOGIX QNX S<strong>OF</strong>TWARE SYSTEMSLIPPERT EMBEDDED COMPUTERSMSC VERTRIEBSEXARDEDICATED COMPUTINGSENSORY NETWORKSPROTECH SYSTEMSOKI SEMICONDUCTORMICRO INDUSTRIES ALT S<strong>OF</strong>TWARELIPPERT EMBEDDED COMPUTERS SECOEVOC INTELLIGENT TECHNOLOGY HECTRONIC MICRO INDUSTRIESLSILSIMCS VERTRIEBS ADI <strong>ENGINEER</strong>INGMEN MIKRO ELEKTRONIKCONTINUOUS COMPUTINGARIUMCORVALENTVIRTUALLOGIXPHOENIX TECHNOLOGIESNECXILINXAVALUE ARBOR TECHNOLOGYRED FLAG S<strong>OF</strong>TWAREKONTRONHECTRONICGREEN HILLS S<strong>OF</strong>TWARE ADS-TECARIUMMEN MIKRO ELECTRONIK XILINX TRUCOMPCONGATECLSINECAXIOMTEKWIPRO TECHNOLOGIESPHOENIX TECHNOLOGIESRADISYSSECOEXARHECTRONICPORTWELLWIN ENTERPRISESDIVERSIFIED TECHNOLOGYARIUMMICROS<strong>OF</strong>TPFU SYSTEMSXILINXTOSHIBAAAEONSECODEDICATED COMPUTINGKONTRONARBOR TECHNOLOGYRICOHPROTECH SYSTEMSAMERICAN MEGATRENDS TOSHIBADUX DEDICATED COMPUTINGBSQUARE ANOVOADS-TECNETLOGIC MICROSYSTEMSLSIVIRTUALLOGIXDEDICATED COMPUTING NORCOCONCURRENT TECHNOLOGIESPROTECH SYSTEMSDUXEUROTECHARBOR TECHNOLOGYARICENTTRUCOMPADLINKWIN ENTERPRISES MACRAIGOR SYSTEMSEXARNECNORCOTENASYS ANOVO RADISYSSUN MICROSYSTEMSADS-TECMEN MIKRO ELEKTRONIK LYNUXWORKSMSC VERTRIEBS RICOHREAL-TIME SYSTEMSDUXMICROS<strong>OF</strong>TTRUCOMPEVOC INTELLIGENT TECHNOLOGYOKI SEMICONDUCTOR NETLOGIC MICROSYSTEMSMSC VERTRIEBSLSI RICOH SENSORY NETWORKS NETRONOME SYSTEMS CURTISS WRIGHT CONTROLS EMBEDDED COMPUTINGALTERATOSHIBAHECTRONICMICRO INDUSTRIES PROTECH SYSTEMS DIVERSIFIED TECHNOLOGY VENTUREMINDTREESECOMINDTREECORVALENTADVANTECHNORCONORCO WIN ENTERPRISEARICENT NEXCOM ANOVO EUROTECH AVALUEINSYDE S<strong>OF</strong>TWARE LYNUXWORKS INSYDE S<strong>OF</strong>TWARE ARICENT NETLOGIC MICROSYSTEMS TRUCOMP NECNETRONOME SYSTEMSEMERSON NETWORK POWER TRENTON TECHNOLOGY VENTURE MINDTREEADVANTECHCURTISS WRIGHT CONTROLS EMBEDDED COMPUTINGNATIONAL INSTRUMENTS TENASYSEXARANOVOCORVALENTCONTINUOUS COMPUTING SECO HECTRONIC LANNER ELECTRONICS RED FLAG S<strong>OF</strong>TWARENORCO RED FLAG S<strong>OF</strong>TWAREAVALUE EVOC INTELLIGENT TECHNOLOGYEMERSON NETWORK POWERTIETO SIGNALING SOLUTIONS TENASYSLIPPERT EMBEDDED COMPUTERS PFU SYSTEMSALTERATRENTON TECHNOLOGYHEWLETT-PACKARD CORVALENT SENSORY NETWORKS CONCURRENT TECHNOLOGIES WIPRO TECHNOLOGIESALTERALANNER ELECTRONICSAMERICAN MEGATRENDS GREEN HILLS S<strong>OF</strong>TWARE LYNUXWORKSNEC TRUCOMPBSQUARENORCOEXARNECKONTRON ADS-TECWIND RIVER SYSTEMSALT S<strong>OF</strong>TWAREANOVO TOSHIBAAVALUEARIUMADI <strong>ENGINEER</strong>INGProducts, solutions, support, and a whole lotof know-how. Full speed ahead.Intelligence where you need it. Intel® Embedded Alliance members deliverthe advanced hardware, software, tools and systems integration to get yourdesigns to market, faster. Get ahead at intel.com/go/embeddedalliance© 2010 Intel Corporation. Intel, the Intel logo, Intel Sponsors of Tomorrow and Intel Sponsors of Tomorrow logos are trademarks or registered trademarks of Intel Corporation or its subsidiaries in the United States and other countries.


pulseContact system is first activeimplantable medical deviceDesigners of implantablemedical-electronicdevices for cardiac-rhythmmanagement,neuromodulation, and neurostimulationtherapies needways to connect to thosedevices. Connection mustoften occur in a surgical environment,and it’s thus importantto minimize the time asurgeon needs to make theconnections. Addressing thisneed, Bal Seal EngineeringInc, a provider of customengineeredsealing, connecting,and conducting products,has announced plansto launch what it claims isthe fi rst integrated seal andelectrical-contact system foractive implantable devices.The new contact system canestablish multiple contactswith a single setscrew holdingthe contacts in place.DILBERT By Scott AdamsThe company will formallylaunch the Sygnus implantablecontact system nextmonth at the Medical Designand Manufacturing show inMinneapolis. Sygnus combineselectrical contacts and isolationseals in a standardized, platform-readystack confi guration.Bal Seal is launching an integrated seal and electrical-contact systemfor active implantable devices. The system can establish multiplecontacts with a single setscrew holding the contacts in place.The system pairs the company’sBal Conn electrical-contacttechnology with pretested siliconeseals, resulting in a packagethat helps medical-deviceOEMs improve speed to marketand eliminate the need forprocurement and testing of individualcomponents.“The upcoming launch ofSygnus is our direct responseto the medical-device community’sdemand for an integratedsealing and connecting systemthat incorporates an established,ultrareliable contact ina plug-and-play platform thatdoesn’t compromise performance,”says Mark Russell, BalSeal’s global medical-electronicsaccount manager. Accordingto Russell, Sygnus builds ontechnology that’s at work inmore than a million implantabledevices worldwide.The number of contacts andseals in the Sygnus system willbe confi gurable to meet applicationand industry requirements,such as the IS4 standardfor cardiac-health-managementdevices. The company’strademarked Canted-coilspring-contact design offersmultipoint conductivity and lowinsertion force, and it compensatesfor any surface irregularity.The system comprises a seriesof electrical contacts, includingplatinum-iridium Canted-coilsprings in metal housings madefrom MP35N, and implantablegradesilicone seals, which providedielectric isolation. Thecombined stack is resistant tolong-term material adhesionand potential fatigue due tomultiple lead-insertion cycles.The company plans to subjectthe stack and package contactsto force and resistancetests and to package the sealingcomponents to comply withcritical standards for “clean”packaging.—by Rick Nelson▷Bal Seal, http://balseal.com.SUPERCAPACITORCHARGERS TOUTAUTOMATIC CELLBALANCINGLinear Technology’s newLTC3625 and LTC3625-1two-cell supercapacitorchargers address highpeak-power,data-backup,and “dying-gasp” needsin portable-system anddata-storage applications.The devices’ switch-modetopology includes aninternal buck converterbetween the input voltageand the series capacitor’smidpoint voltage to regulatethe voltage on thebottom capacitor. It alsoincludes an internal boostconverter between themidpoint voltage and theoutput voltage to regulatethe voltage across the topcapacitor.The devices can chargetwo supercapacitors inseries from a 2.7 to 5.5Vcurrent-limited supply to apin-selectable output voltageof 4.8 or 5.3V for theLTC3625 and 4 or 4.5V forthe LTC3625-1. They alsofeature automatic cellbalancing,which maintainsapproximately equalvoltages across both cells,eliminating the need forbalancing resistors.Other features includeovertemperature andreverse-current protectionand overcurrentlimiting. The LTC3625and LTC3625-1 come in3×4-mm DFN packagesand operate over −40 to+125°C. Prices start at$3 and $3.45 for E- andI-grade versions.—by Fran Granville▸Linear Technology Corp,www.linear.com/3625.09.09.1014 EDN | SEPTEMBER 9, 2010


336 Volts of Green EngineeringMEASURE IT – FIX ITDeveloping a commercially viable fuel cell vehicle has been a significant challenge becauseof the considerable expense of designing and testing each new concept. With NI LabVIEWgraphical programming and NI CompactRIO hardware, Ford quickly prototyped fuel cell controlunit iterations, resulting in the world’s first fuel cell plug-in hybrid.MEASURE ITFIX ITAcquireAcquire andmeasure datafrom any sensoror signalAnalyzeAnalyze andextract informationwith signalprocessingPresentPresent datawith HMIs, Webinterfaces,and reportsDesignDesign optimizedcontrol algorithmsand systemsPrototypePrototype designson ready-to-runhardwareDeployDeploy to thehardware platformyou chooseFord is just one of many customers using the NI graphical system design platform to improve the world aroundthem. Engineers and scientists in virtually every industry are creating new ways to measure and fix industrialmachines and processes so they can do their jobs better and more efficiently. And, along the way, they arecreating innovative solutions to address some of today’s most pressing environmental issues.>> Download the Ford technical case study at ni.com/336 800 258 7018©2009 National Instruments. All rights reserved. CompactRIO, LabVIEW, National Instruments, NI, and ni.com are trademarks of National Instruments.Other product and company names listed are trademarks or trade names of their respective companies. 2009 0835


pulseLabView 2010, VNA, data-acquisition,and I/O products debut at NIWeekDuring last month’sNIWeek, in Austin, TX,National Instrumentsintroduced LabView 2010,a 6-GHz VNA (vector-networkanalyzer), data-acquisitionmodules, and a RIO(reconfigurable-I/O) chassis.LabView 2010 featuresa rewritten compiler thatincreases execution speedby an average of 20%,according to the company,with execution of somefunctions, such as parallelfor loops, occurring nearly200% faster. With LabView2010, NI has improved thecompiler data fl ow’s intermediaterepresentation andadded the low-level virtualmachineopen-source compilerinfrastructure to thesoftware’s compiler fl ow toaccelerate code execution.NI’s new 6-GHz, two-port NIPXIe (Peripheral ComponentInterconnect Express ExtensionsLabView 2010 featuresa rewritten compiler thatincreases execution speedby an average of 20%, withexecution of some functions,such as parallel for loops,occurring nearly 200% faster.for Instrumentation)-5630 VNAmodule targets automateddesign validation and productiontest. Its two-slot PXI footprintenables test engineers to incorporatevector-network analysisinto their test systems without theadded cost and large footprint oftraditional bench-top VNAs.The PXIe-5630 features automaticprecision calibration, fullvector analysis on both ports,reference-plane extensions,and a LabView API (application-programminginterface)that supports parallel test. TheVNA features a frequency rangeof 10 MHz to 6 GHz, a dynamicrange of greater than 110 dB,and sweep speeds of lessthan 400 μsec/point over 3201points. Engineers can combineas many as eight modules inone PXI chassis and performmultisite RF test. The base pricefor the VNA is $25,999.NI also introduced the Ethernet-basedNI CompactDAQmodular data-acquisition system,which includes new cDAQ-9188 chassis that hold eight I/Omodules for measuring as manyas 256 channels of electrical,physical, mechanical, or acousticsignals in a rugged, 25×9×9-cm form factor. The base pricefor the chassis is $1399. ForUSB (Universal Serial Bus) applications,NI X Series multifunctiondata-acquisition devices integratehigh-performance analogmeasurement and control channels,digital I/O, and counter/timers.USB X Series data-acquisitiondevices include as manyas 32 analog inputs, four analogoutputs, 48 digital I/O lines,and four counters. The devices’sampling rates range from 500ksamples/sec multiplexed foranalog inputs to 2M samples/sec/channel for simultaneouslysampling analog inputs. Thebase price is $1149.The new 9157 and 9159MXIe (Multiplatform Extensionsfor Instrumentation Express)RIO chassis and 9148 Ethernet-RIO chassis complement theNI 9144 EtherCAT (Ethernetcontrol-automation-technology)chassis. New C Series productsextend the company’s offering ofhigh-channel-count chassis to avariety of buses. The base pricesfor MXIe RIO and Ethernet RIOchassis are $4499 and $999,respectively.—by Rick Nelson▷National Instruments,www.ni.com.MICRON UNVEILS SOLID-STATE DRIVES FOR ENTERPRISESMicron Technology recently introduced its P300 solidstatedrives, enterprise-tailored variants of the C300drives and the latest iteration of Micron’s multigenerationalstab at this market. Last December, the companyunveiled the consumer-tuned C300 line, which it basedon 34-nm MLC (multilevel-cell) NAND-flash memoriesfrom the fab it shares with partner Intel (www.intel.com).Micron has had a few firmware glitches with the C300series, leading to access lockouts, data corruption, andother problems. As of mid-May, however, things seemedto finally be stable with the C300 series, giving Micronthe green light to roll out the P300 siblings in 50-, 100-,and 200-Gbyte flavors. The company based the deviceson SLC (single-level-cell) flash memories, which provideinherently higher data integrity at a given block-erasecyclecount than their lower-cost-per-bit MLC counterparts.SLC-NAND-flash memory also delivers higherwrite speeds than MLC alternatives. And, like the C300products, P300 drives harness a 6-Gbps SATA (serialadvanced-technology-attachment)system interface.Micron also uses ECC (error-correcting-code)-enhancedDRAM in the P300 series, which the companyclaims will sell for a competitive price of less than $10/Gbyte. About that system interface, however, Micronhasn’t yet equipped its P drives with SAS (serial-attachedSCSI). SCSI (small-computer-system-interface)hooks are deeply embedded in enterprise code, and alack of corresponding hardware support can be a dealbreaker. Dean Klein, vice president of memory-systemdevelopment at the company, claims that SATA supportis sufficient for many enterprise applications.Still, Micron competitors Toshiba (www.toshiba.com),Samsung (www.samsung.com), and Seagate (www.seagate.com) are gearing up for SAS, both internallyand in partnerships.Micron may instead choose an alternative nextgenerationenterprise-interface path. This is the tackthat Fusion-io (www.fusionio.com), for example, takesin harnessing PCIe (Peripheral Component InterconnectExpress) to link its SLC- and MLC-based flash-memoryboards to systems.—by Brian Dipert▸Micron, www.micron.com.09.09.1016 EDN | SEPTEMBER 9, 2010


V•I Chip power components provide fast response and maintain power integrityFull Chip:32.5 x 22.0 x 6.73 mm1.28 x 0.87 x 0.265 inHalf Chip:16.5 x 22.0 x 6.73 mm0.65 x 0.87 x 0.265 in• Maximizes processor speed• Simplifies power managementsoftwareLoad Step RecoveryLoad Line Recovery• Eliminates processor faults• No bulk electrolytic capacitors• Minimal footprintCH150 mVCH1< 30 mV< 2 μsThe MHz PRM regulator and the lowAC impedance (less than 1 mΩ) VTMcurrent multiplier deliver the fastesttransient response without bulkcapacitance at the load. V•I Chips enableflexible load line and compensationtechniques which allow for matching ofpower source to the load.


pulseProcess aims to make solar powercheap enough to compete with oilStanford University engineersclaim to havediscovered an energyharvestingprocess thatcould surpass the effi ciencyof today’s photovoltaic andthermal-conversion technologies.The university’s processcoats a piece of semiconductingmaterial with athin layer of cesium, makingthe material able to use boththe light and the heat of thesun to generate electricity.The process works well athigh temperatures, unlike thephotovoltaic technology thatsolar panels currently use,which become less effi cient asthe temperature rises.The PETE (photon-enhancedthermionic-emission)processcould make solar-power productionmore than twice as effi -cient as current methods andpotentially cheap enough tocompete with oil, according tothe researchers. “This is reallya conceptual breakthrough, anew energy-conversion process,not just a new material ora slightly different tweak,” saysNick Melosh, an assistant professorof materials science andengineering at the school andthe leader of the research group.“It is actually something fundamentallydifferent about how youcan harvest energy.”Although high temperaturesare necessary to powerheat-based conversion systems,solar-cell effi ciency rapidlydecreases at higher temperatures.According to the university,heat from unused sunlightand ineffi ciencies in silicon cellsaccount for a loss of more than50% of the initial solar energyreaching the cell. The Stanfordteam’s work focused on weddingthermal- and solar-cellconversiontechnologies.Although most silicon solarcells are inert by the time thetemperature reaches 100°C,the PETE system hits peak effi -ciency at temperatures higherthan 200°C, making it useful forlarge-scale solar farms in a desert,for example. “We’ve demonstrateda new physical processthat is not based on standardphotovoltaic mechanisms butcan give you a photovoltaic-likeresponse at very high temperatures,”Melosh says. “It worksbetter at higher temperatures.”According to a paper describingthe research, the researchersused a gallium-nitride semiconductorto test PETE because itwithstands high temperatures(Reference 1). Other materials,such as gallium arsenide,could reach as much as 60%effi ciency, the researchers estimate.The materials to build adevice to make the PETE processwork are inexpensive andeasily available, according to theresearchers, making the powerthat comes from it affordable.The team wants to design theCircuits claim 1000-times efficienciesin cost, power, and size over today’s digital computingLyric Semiconductor has launched a probability-processingtechnology, which it believes will in the futureoffer 1000 times more efficiency in cost, power, andsize than today’s digital computing. Thenew technology enables many applicationsthat now require 1000 conventionalprocessors to run in just one ofLyric’s processors. Probability processingcomputes likelihoods, or odds. Itslogic-gate circuit uses transistors asdimmer switches instead of as on/offswitches. Lyric’s circuits can acceptinputs and calculate outputs betweenzero and one, directly representingprobabilities, according to the company.Lyric’s first commercialized application of theprobability-processing technology, the LEC (Lyric ErrorCorrection) for flash memory, offers 30-times smallercores and ASICs and a 12-times decrease in power consumptionat higher throughput than digital approaches.Lyric’s LEC for flash memory offers30-times-smaller cores and ASICsand a 12-times decrease in powerconsumption at higher throughputthan digital approaches.devices so users could easilybolt them onto current systems,thereby making conversion relativelyinexpensive. “The materialcost … is not really an issue,unlike the way it is for large solarpanels of silicon,” says Melosh.The Global Climate andEnergy Project at Stanford andthe Stanford Institute for Materialsand Energy Science, ajoint venture of Stanford andSLAC (Stanford Linear AcceleratorCenter) NationalAccelerator Laboratory, providedfunding for the research,with additional support from theDepartment of Energy and theDefense Advanced ResearchProjects Agency.—by Suzanne DeffreeREFERENCE1 Schwede, Jared W, et al,“Photon-enhanced thermionicemission for solar concentratorsystems,” NatureMaterials, Volume 9, Aug 1,2010, pg 762, http://bit.ly/aCBJiL.▷Stanford University,www.stanford.edu.“After a decade of development, we have no shortageof opportunities for our probability-processing technology,but we are currently focused on a modest list ofboth short- and long-term applicationsthat will see enormous gains in performance,”says Ben Vigoda, PhD, thecompany’s chief executive officer andco-founder.Lyric ultimately plans to develop theGP5 (general-purpose programmableprobability-processing platform), whichwill calculate probabilities for all typesof applications—from Web searchesto genome sequencing—and couldallow for performance gains over current digital x86-based systems. GP5, which should become availablefor sampling in 2013, will run code written in the company’sPSBL (probability-synthesis-to-Bayesian-logic)language.—by Suzanne Deffree▸Lyric Semiconductor, www.lyricsemiconductor.com.09.09.1018 EDN | SEPTEMBER 9, 2010


Technical NotesThe Avago AdvantageNew Smaller and Faster Optical IsolationAmplifiers Feature 0.5% Gain Accuracy and1140 Vpeak Working VoltageIntroductionMany analog designers are familiar with differentialinstrumentation amplifiers but these will not provide theinsulation voltages to withstand high transient voltagessafely, nor the isolation to protect sensitive low voltagecontrol electronics from high voltage switching circuitsfound in power conversion applications. With a -40° to105°C operating temperature range, Avago’s miniatureACPL-C79x Precision Isolation Amplifiers target industrialautomation and instrumentation, renewable energy, andHVAC markets.Based on Avago’s proprietary optical isolation technology,sigma-delta analog-to-digital converters and chopperstabilized amplifiers, the ACPL-C79x isolation amplifiers areused for motor phase and rail current sensing, servo motordrive, switching power supply feedback isolation, DC linkvoltage monitoring, inverter current sensing and switchingpower supply feedback isolation. The ACPL-C79x highcommon-mode transient immunity of 15 kV/μs providesthe ruggedness and stability needed to accurately monitorcurrent in high-noise motor control environments.V DD1V IN+V IN-GND11234Figure 1. ACPL-C79X PackageAs shown in Figure 1, the isolation amplifiers are fullydifferential, input and output, with a gain accuracy of ±0.5%(ACPL-C79B), ±1% (ACPL-C79A), and ±3% (ACPL-C790).Operating from a single 5 V supply, the isolation amplifierseries features an excellent nonlinearity of 0.05% anda SNR of 60 dB. With a 200 kHz bandwidth and 1.6 μsresponse time, the ACPL-C79x captures transients duringshort circuit and overload conditions. The stretched SO-8package has a footprint 30% smaller than the standard DIP-8 package. When mounted on a PCB, it occupies a spacethat is a fraction of that for a Hall Effect or transformer basedisolation amplifier.I DD1+-SHIELD+-I DD28765V DD2V OUT+V OUT-GND2Key ACPL-C79x Key Features insulation voltage Motor Drive Application ExampleIn a typical motor drive application, shown in Figure 2, currentsthrough a small value current sense resistor cause a voltagedrop that is sensed by the ACPL-C79x and a differential outputvoltage, proportional to the current, is created on the outputside of the isolation barrier. A floating power supply (which inmany applications could be the same supply that is used todrive the high-side power transistor) is regulated to 5 V using from the current sensing resistor, or shunt (RSENSE), is appliedto the input of the ACPL-79x through an RC anti-aliasing filter(R5 and C3). And finally, the differential output of the isolationamplifier is converted to a ground referenced single-endedMOTOR* * *+ –RSENSEHV+HV-POSITIVEFLOATINGSUPPLYGATE DRIVECIRCUITU178L05 VDD1IN OUTC1C2 10.1 0.1F R5 F210 C347 nF 3* * *GND1***4U2ACPL-C79B/ACPL-C79A/ AACPL-C790VDD2 (+5 V)8765GND2C40.1 FR12.00 KR22.00 KC647 pFGND2C547 pFR310.0 K+15 VC80.1 FGND2–U3+ TL032AC7R410.0 K 0.1 F-15 V GND2VOUTYour Imagination, Our InnovationSense Illuminate ConnectFigure 2. Typical motor current sense circuit


The Avago Advantage Technical NotesAlthough the application circuit is relatively simple, a fewrecommendations should be followed to ensure optimalperformance.Shunt Resistor SelectionA real-world motor current sense resistor calculation willshow what must be considered in selecting the currentsense resistor. First determine how much current theresistor will be sensing. The graph in Figure 3 shows the RMScurrent in each phase of a three phase induction motor asa function of average motor output horsepower and motordrive supply voltage. The maximum value of the senseresistor is determined by the current being measured andthe maximum recommended input voltage of the isolationamplifier. For example, if a motor has a maximum RMScurrent of 10 A and can experience up to 50% overloadsduring normal operation, then the peak current is 21.1 A(=10 x 1.414 x 1.5). With a maximum amplifier input voltageof 200 mV, the maximum sense resistance would be about10 mΩ. The maximum average power dissipation in thesense resistor, which is about 1 W in this example, shouldalso be checked by multiplying the sense resistance timesthe square of the maximum RMS current. If the powerdissipation is excessive, a lower resistance value can be used.MOTOR OUTPUT POWER - HORSEPOWER403530252015105005440 V380 V220 V120 V10 15 20 25 30MOTOR PHASE CURRENT - A (rms)35+Input–InputRaRbC5 VV DD1V IN+Figure 4. ACPL-C79x differential input connectionVoltage SensingThe ACPL-C79B/C79A/C790 can also be used to isolatesignals with amplitudes larger than its recommendedinput range with the use of a resistive voltage divider at itsinput. The only restrictions are that the impedance of thedivider be relatively small (less than 1 kΩ) so that the input affect the accuracy of the measurement. An input bypasscapacitor is still required, although the 10 Ω series dampingresistor is not (the resistance of the voltage divider providesthe same function). The low-pass filter formed by the dividerresistance and the input bypass capacitor may limit theachievable bandwidth.Evaluate the ACPL-C79XThe ACPL-C79X evaluation board demonstrates the highlinearity and low-offset capability of the ACPL-C79B/C79A/C790. It allows a designer to easily test the performance ofthe isolation amplifier in an actual application under reallifeoperating conditions. A surface mount shunt resistor isprovided along with the board.V IN–GND1ACPL-C79B/ACPL-C79A/ACPL-C790Figure 3. Horsepower vs. motor phase current/voltageDifferential Input ConnectionIn Figure 2, the isolation amplifier is connected in a singleendedinput mode. However, given the fully differentialinput structure, a differential input connection, shown inFigure 4, can be used for better performance. Any noiseinduced on one pin will be coupled to the other pin by thecapacitor C and creates only common mode noise which isrejected by the ACPL-C79x.SummaryFigure 5. ACPL-C79x evaluation boardAvago’s three new Miniature Precision Isolation Amplifiers,made possible by proprietary optical isolation technology,offer increased accuracy, speed, bandwidth andinsulation ratings. Additional information is available atwww.avagotech.comContact us for your design needs at: www.avagoresponsecenter.com/401Avago, Avago Technologies, the A logo and LaserStream are trademarks of Avago Technologies in the United States and othercountries. All other trademarks are the property of their respective companies.Data subject to change. Copyright © 2010 Avago Technologies


SIGNAL INTEGRITYBY HOWARD JOHNSON, PhDLinearityMy good friend Chris “Breathe” Frue is a talented musician, atrained audio engineer, and an excellent conversationalist.He asked recently, “What is the meaning of linearity, and whyshould I care?”I took a long puff on my pipe and answeredslowly, “Well, linearity is one oftwo properties essential for good signalfidelity—audio or otherwise. The otherproperty is time invariance. A linear,time-invariant system responds equallywell to loud and to soft inputs, whethercomposed of one sound or many.”“You are just waving your hands,”Breathe said. “I don’t buy it. There mustbe some more-concrete definition.”“There is,” I replied. “It’s tricky tostate the whole thing, so I’ll begin witha necessary condition, meaning thatevery linear systemmust atleast do this task.The conditionis called scaling.Scaling meansthat, if you turnup the volumeon the systeminput, the systemresponse scalesproportionately.Your guitar amplifier,for example,has the propertyof scaling.” (Breathe plays a fine oldarch-top jazz guitar. He uses a Mackiemixer driving a linear studio-qualitymonitor to produce a clean sound.He doesn’t need distortion because histechnique is impeccable.)“I don’t believe that,” Breathe said.“Look, if I tweak the volume knobon my guitar to 5, it sounds one way.If I turn it up to 10, the club managercomes over and tells me to turn itdown. So the response is totally differentin those two cases.”“Yes,” I answered. “And your speakerprobably distorts at the high setting,too, so that won’t be the same either,but what I’m saying is that, if you keepthe volume in a reasonable range, thenscaling works.”“Does the term ‘reasonable range’ includea setting of zero?” he asked.“Of course, zero is a perfectly validinput signal for any linear system,” Isaid. “The output would be zero.”“But it’s not,” said Breathe. “Evenwhen I set myguitar to zero, alittle hiss alwayscomes out of thespeakers. So theamp is not, accordingto yourdefinition, linearfor either largescaleor smallscaleinputs.”At this point,I realized that,through earliersuch conversations,I had already taught Breathe fartoo much about electrical engineering.His questions were becoming dangerous.My next columns will lay out forhim, in a methodical but simple way,the whole concept of linear-time-invariantbehavior so he can understandits importance, not only as a tool formodeling but as an ideal standard of behavioragainst which you can measurecircuit performance.ON ANO<strong>THE</strong>R TOPIC, a recent article(Reference 1) generated a lot ofreader responses. Here are some of themore oft-repeated ideas. First, the precisevalues for the 10% resistor scale(10, 12, 15, 18, 22, 27, 33, 39, 47, 56,68, and 82) nearly fit an exponentialscale. The steps are adjusted so thatthe tolerance bands in most cases overlap.For example, the nominal value of68Ω−10% gives 61.2, slightly smallerthan 56+10%, which equals 61.6.Only the gaps from 12 to 15Ω and 18to 22Ω violate this rule. Because mostI had taught himfar too much aboutelectrical engineering.His questionswere becomingdangerous.of the bands overlap, almost any resistoryou manufacture fits into some toleranceband somewhere on the scale.Few parts go to waste, and manufacturerslove this fact. The other tolerancescales—20, 5, and 2% and so on—havesimilar overlapping properties.Next, if you file the side of a carboncompositionresistor, notching throughits outer coating into the bulk carbonlayer, you can raise its resistance. Thisapproach makes every resistor a “variableresistor.” A drop of lacquer resealsthe outer coating. Don’t file too far!Finally, the value of a carbon-compositionresistor drifts with temperatureand with age. If you want longtermstability, you must prebake yourresistors.EDNREFERENCE1 Johnson, Howard, PhD, “7% solution,”EDN, June 10, 2010, pg 22, www.edn.com/article/509250-7_solution.php.Howard Johnson, PhD, of Signal Consulting,frequently conducts technical workshopsfor digital engineers at Oxford Universityand other sites worldwide. Visit hisWeb site at www.sigcon.com.SEPTEMBER 9, 2010 | EDN 21


INSIDE NANOTECHNOLOGYBY PALLAB CHATTERJEE, CONTRIBUTING TECHNICAL EDITORSoftware for nanodesignNanotechnology is a diverse area that primarily involves specialty-materialproperties and effects that are prominent onlyat nanoscale dimensions. The focus of the designs in theseareas is as diverse as the materials. As a result, the CAD(computer-aided-design)-software community has not comeup with a unified approach. The market has instead chosento apply generalized mathematical and materials-based software programsto help with the task.The mathematical tools, includingWolfram Research’s (www.wolfram.com) Mathematica, The MathWorks’(www.mathworks.com) Matlab andSimulink, and PTC’s (www.ptc.com)MathCAD, determine the materials’empirical properties and the particles’actions. These general-purpose mathematicsolvers have for decades been themainstays of physics, chemistry, and engineeringgroups as the primary methodsfor addressing new technologiesFigure 1 Comsol’s Multiphysics tool letsyou model interaction analysis, such asairflow, independently of geometries fora device.and techniques by solving the primarilynonlinear or piecewise-linear fundamentalequations governing the designor molecular dynamics.Physics-based CAD and computationaltools, targeting advanced visualization,show the typical results forspecific types of analysis. These toolsinclude Comsol’s (www.comsol.com)Multiphysics, Silvaco’s (www.silvaco.com) TCAD (technology-CAD), andVerseon’s (www.verseon.com) DDA(drug-design automation).Comsol’s Multiphysics dominatesthe market due to its specialized computationalengines and ability to interactwith most 3-D-design tools andwith mathematical-modeling products,such as Matlab. Multiphysics has modulesfor ac/dc, RF, MEMS (microelectromechanicalsystems), plasma, structuralmechanics, acoustics, heat transfer,chemical-reaction engineering,batteries and fuel cells, earth science,and CFD (computational fluid dynamics).You can model interaction analysis,such as airflow, independently of geometriesfor the devices (Figure 1). Itis an equation-based tool set, so, if youuse the proper information for nanoscaleand microscopic structures, youcan analyze them in an equivalent wayto how you would analyze macroscopicstructures.Specialized TCAD tools allow you tocreate and model virtual processes andto characterize devices. Figure 2 showsthe Silvaco TCAD tool’s organic modelingof OLED (organic light-emittingdiode)displays for a photon excitation.These single-particle events are thekeys to the development of nanotechnologystructures, and they are difficultto reproduce in a laboratory.Pharmaceutical and biomedical nanotechnologyis now focusing on moleculardynamics and chemical reactionsthat form the basis of the medicationanddrug-creation industry. Verseon’sDDA product blazes a trail for a newdirection in the dynamic simulation ofdrugs. It allows for the virtual creation,simulation of interaction, and quantitativeanalysis of the combination ofknown molecules into new structures.The traditional method involves processingthe molecules into structures,separating the elements of interest,growing sufficient quantities of theseelements for testing, and then performinglaboratory-grade analysis to seethe elements’ interaction with otherFigure 2 Silvaco’s TCAD tool performsorganic modeling of OLED displays fora photon excitation.compounds. Computers simplify thesetasks, dramatically reducing the time ittakes to perform them, and allow engineersto analyze the sensitivities to singleor low numbers of interacting particles.This capability also reduces wastedtime on synthesis paths that show unpromisingresults.At the nanoscale, computer-simulationaspects are essential due to thelack of granularity and access to the devicesavailable in the macroscale.EDNPallab Chatterjee is vice chairman of theIEEE San Francisco Bay Area NanotechnologyCouncil. You can reach him atpallabc@siliconmap.net.22 EDN | SEPTEMBER 9, 2010


DISCOVERING<strong>THE</strong> LASTUNREALIZEDPOWER REDUCTIONPOWER-OPTIMIZED ARCHITECTURES HELP <strong>ENGINEER</strong>S DESIGNING CHIPS WITH BLOCKS THAT CANPOWER DOWN OR OPERATE AT REDUCED FREQUENCIES AND VOLTAGES.BY JAY CHIANG • SYNOPSYSPower has become one of the most importantdesign criteria for almost all design projects,and the industry, in response, has invested alot of effort to address this challenge. Consequently,we have seen a plethora of lowpowerdesign techniques and new technologiesemerge. Some of these techniques arerelatively easy to adopt. For example, clockgating and multiple-threshold-voltage cellshave become mainstream design practices because they are effective.In addition, EDA tools can automate their implementation.Some techniques, on the other hand, require more planning.For example, design engineers can group SOC (system-onchip)circuits into multiple blocks so that they can power downsome blocks or operate them at reduced frequencies or voltageswhen operating conditions allow it. Although these more advancedtechniques take more deliberate effort to implement,design engineers are increasingly employing them to meet themore stringent power requirements in next-generation chips.When applying low-power designtechniques, design engineers typicallyconcentrate on only the few modules,such as embedded processors andon-chip memories, that consume morepower than the other blocks. Althoughthis focus is necessary, it is incomplete.Engineers may often overlook thefact that many low-power-consumingblocks frequently have a greater impacton energy consumption than their power-consumptionnumber suggests. If youcorrectly plan a chip’s power-managementstrategy, the power-consumptionprofile and energy-consumption profileshould not correlate closely. You shouldkeep the active period of the high-power-consumingmodules as short as possible.The modules that remain poweredfor a long time should not consume toomuch power. Even though these modulesconsume less power than otherblocks, they consume a higher propor-24 EDN | SEPTEMBER 9, 2010


Agilent InfiniiVision 7000B Series (MSO and DSO models) Bandwidth: 100 MHz - 1 GHz40% bigger screen.Tektronix 4000 Series475,000 times faster…Yes, really.Waveform updaterate wvfm/s100,00080,00060,00040,00020,0000Agilent MSO7104BTektronix MSO4104Waveform Update Rate Comparison95,00050,000Baseline: Analogchannels only,timebase20 ns/div.60,0002,300Changetimebaseto 10 ns/div95,000125Turn onMSOchannels95,00035Enabledeepmemory95,0000.2Turn onserialdecodeNotes: · Measurements taken on same signal using Agilent MSO7104B and Tektronix MSO4104 .· Memory depth = display window X sample rate with up to 8 Mpts on Agilent.· Tektronix measurements taken with version 2.13 firmware.· Screen images are actual screen captures, and scopes are shown to scale.A scope’s real world performance depends on morethan just bandwidth and sample rates. It takes anultra fast update rate to capture infrequent events anddisplay the most accurate signal detail. That’s whereAgilent 7000B Series scopes really stand out. Thanksto a proprietary chipset, you get faster update ratesnot only in analog mode, but in every mode. That’swhy you see greater signal detail. That’s Agilent.© 2010 Agilent Technologies, Inc.Agilent and ourDistributor NetworkRight Instrument.Right Expertise.Delivered Right Now.888-852-7234www.rselectronics.comAre you using the best scope?Take the 5-minute Scope Challenge.Visit www.agilent.com/find/faster


tion of energy once you factor in theirextended active time.Consider a hypothetical cellularphonedesign. Under typical usage, thecellular phone is mostly in standby mode.During standby, most circuits, except thewireless receiver or receivers, are off. Althoughstandby mode consumes onlya fraction of the power that the othermodes consume, it still consumes 36%of the total energy, after factoring in theactive period. In other words, it pays dividendsto aggressively reduce power forcircuits that are active in the standbymode because it can lead to significantsavings in battery life (Table 1).Such opportunities for energy reductionexist in most SOCs. In general, ifthe chip has multiple power domains, ithas multiple power modes. If you identifythe power modes that are most active,you can isolate the circuits thathave higher impact on the chip’s energyconsumption, and you can moreaggressively pursue power reduction inthese focused areas to reduce the overallenergy footprint of the chip.Analysis of these circuits in furtherdetail uncovers some interesting characteristics.These modules must remainon for extended periods because theyperform essential functions for the chipin that operating mode. They are oftencontinuously calculating data or processingsignals. In addition to the cellular-phoneexample, other circuits, suchas audio or video processors in playbackor talk mode and signal-processingblocks, such as equalizer, modulation,or cryptology units, in wireless and networkingapplications, have more datapathcontent than control logic andcan benefit considerably from low-powertechniques.If you consider the technology horizon,a new generation of connected devicesaiming to deliver better user experiencesand higher data rates is drivingmany new design starts. Consequently,these new projects will demand higherAT A GLANCE↘ Design engineers are increasinglyemploying advanced techniquesto meet the more stringent powerrequirements of next-generationchips.↘ It pays dividends to aggressivelyreduce power for circuits that areactive in standby mode because itcan lead to significant savings inbattery life.↘ Power gating isn’t feasible forcircuits that must continuouslyremain active, so the only choice isto make the circuit intrinsically lowpower.↘ Traditionally, datapath generatorsproduce the most area-economicarchitectures that still meet the timingconstraints.↘ Because power is a physicaldomaincharacteristic, your standardcelllibrary can affect the power-optimizationresult.audio quality, higher video resolution,more pixel support, more complex signalprocessing, faster data rates, and soforth. Increases in the size and complexityof the signal-processing blocksin turn lead to a higher energy footprintin the new designs. The impact of thisdesign complexity requires design engineersto more closely manage the powerconsumption for these blocks.LOW-POWER DATAPATHSPower gating isn’t feasible for circuitsthat must continuously remain active,so the only choice is to make the circuitintrinsically low power. The firststep is to lower the voltage, the operatingfrequency, or both without missingthe performance target. However,slower clock frequencies mean deeperlogic levels, and these circuits usuallyinclude more datapath logic than controllogic. Datapath logic is notoriouslyTABLE 1 SAMPLE POWER MODES AND ENERGY CONSUMPTIONPower modePower consumption(mW)Time budgetedin mode (%)Energy-consumptionprofileStandby 40 90 36Audio 400 3 12Phone 500 5 25Video 1200 2 24prone to glitches—unwanted transitionsthat settle before the next clockedge—and switching because any spurioustransitions propagate downstreamand ripple throughout the entire datapathtree. Although glitches pose nofunctional issues, these transitions stillconsume power.It is critical to avoid increasing powerin other areas while reducing it in onearea. Making this power-reduction approachmore effective requires more balanced,shallower architectures that canlimit the propagation of the transitions.Although most EDA tools do an adequatejob producing timing- and areaoptimizedarchitectures that designerslater optimize for power at the gate level,they are less effective in consideringthe power consequence of architecturalselections upfront.Some design engineers try variousmeans of writing power-optimized architecturesinto RTL (register-transfer-level)code to save power. However, mostlow-power architectural-RTL coding focuseson reducing area, based on the assumptionthat using fewer cells equatesto less power consumption. For example,some design engineers in networkingand multimedia applications truncatethe LSBs (least-significant bits) of thedata when precision is not critical.Although this technique is useful,you must understand the details of howto implement it. Datapaths differ fromother logic circuits in that they performcomputer arithmetic that generatescarries and sums, requiring carry-propagatingadders to add together the carryand sum to produce a binary number.For RTL coded at a high level, EDAtools usually can generate datapath architectures,keeping all the numbers inredundant format—annotating the valueof the number with both carry andsum—until the last level of the output.If you code the datapath at a lowerlevel, you might turn to coding practicesthat divide a larger datapath block intoseveral small ones,forcing the RTLsynthesistools toinsert carry-propagationadders intothe final stageof every smallerblock (Figure 1a),hence increasingarea and delay.26 EDN | SEPTEMBER 9, 2010


SELECTA(a)BSELECTThe resulting increased area sometimesoffsets the entire power gain from theLSB truncation. For optimal results,you must consider RTL-coding practicesthat allow the merging of datapathblocks to avoid unnecessary binaryconversions (Figure 1b).Some design engineers also try tocode isolation logic in front of the datapathlogic so that they can suppress theswitching and transition of the datapathtree until there is valid data. Dependingon the input-data profile and how frequentlythe data is valid, this approachcould save significant dynamic power.The concept, operand isolation, is similarto clock gating, except that it takesplace on the datapath instead of theclock paths (Figure 2). The concept,also known as data gating or datapathgating, is appealing, but it is sometimesdifficult to implement in practice. Unlikeclock gating, adding isolation logicto datapaths increases the path delay.This timing overhead can make ittricky to close timing. Some RTL-synthesistools can automatically insert theisolation logic; however, engineers donot widely use the feature because it degradestiming.E0 0Figure 1 Multiple carry-propagation adders for every fragmenteddatapath block (a) increase power consumption.Merging datapath blocks and providing one carry-propagationadder for each merged block (b) avoids unnecessarybinary conversions.A(b)B+EAN ALTERNATIVE APPROACHDatapath generators traditionallyproduce the most area-economic architecturesthat still meetthe timing constraints.Engineers then optimizethe generated designsfor power at thegate level. At this level,the scale of optimizationinvolves only a fewgates. The flows don’tprovide power-optimizedarchitectures, sosome designers manuallycode them in lowlevelRTL, which canhinder datapath optimizationand degradethe quality of results.To improve this situation,the first step is tounderstand what kindof datapath architecturesconsume less powerso that you can usethe knowledge to createmore low-power architectures.Second, youshould characterize the power costs ofthe datapath structures at a high levelso that you can fully consider the powerconsequences when making architecturaldecisions.Examples include the power-stingyarchitectures of the Synopsys (www.synopsys.com) DesignWare minPowercomponents. These low-power datapatharchitectures are flatter, shallower,and more balanced than traditionalarchitectures to produce fewer spurioustransitions. When these unwantedtransitions occur, datapath structureswith smarter cell selections can limittheir propagation. For example, insteadof using common XOR-based datapathcells, such as full adders or XOR-basedbooth encoders, the manpower componentsemploy architectures that favormore AND or NAND cells so thatfewer transitions ripple throughout thedatapath tree.Integrating these power-friendly architecturesyields some advantages.Aside from being easier to use, thesearchitectures allow designers to capturepower-saving opportunities thatare hard to realize with a manual approach.Because power consumptiondepends on operating conditions, it isnot enough to consider the circuit architectureoutside the design’s contextor independently of circuit switching.Vinculum VNC2SPEED.FLEXIBILITY.PERFORMANCE.A programmable system-on-chipUSB 2.0 Host / Slave controller.- Dual channel USB 2.0 interface, handlesall USB host and data transfer functionsin single IC.- On-chip 16-bit Harvard architectureMCU core with 256 Kbyte Flash and16kbyte RAM.- External UART, FIFO, SPI Slave, SPI Master,GPIO and PWM interfaces.- Vinculum-II software development toolsavailable for user application development.- Multiple package size options including VNC1Lbackwards compatible package option.- Targeted for range of USB applications, fromportable media devices and cell phones toindustrial and automotive applications.Vinculum-II evaluation modules- V2DIP1/2 - Miniature VNC2 DevelopmentModule with Single or Dual USB Connectors- V2-EVAL - Complete Evaluation &Development Kit for VNC2- VNC2 Debug ModuleUSB MADE EASYwww.ftdichip.com


Introducing theeasiest way to getyour wireless productoff the ground.Say hello tothe industry’seasiest, mostelegant, andcost-effectiveRF implementation! Exclusivelyfrom Anaren – a 40+ year leaderin RF technology – AIR modulesare developed using TexasInstruments’ industry-leadingchip technology.> Solution for existing ornew products you wantto make “wireless”> Choose from 433MHz,900MHz, and 2.4GHzmodels – all with tiny,common footprints> Virtually no RF engineeringexperience required> FCC Part 15 and IndustryCanada RSS-210 compliant(pending), with no additional“Intentional Radiator”certification required> Choose embedded orconnectorized antennaTo learn more, visit our websiteor email AIR@anaren.com today!800-411-6596 > www.anaren.comIn Europe, call 44-2392-232392ISO 9001 certifiedVisa/MasterCard accepted(except in Europe)AOENFigure 2 Operand isolation, data gating,or datapath gating can reduce powerconsumption, but it degrades timing.ABOO<strong>THE</strong>NCODERBBOOTHSELECTORADDERTo achieve the best result, you must reevaluatethe architecture using a logic-synthesistool, such as Design Compiler,employing the timing model andswitching profile.For example, consider a two-inputmultiplier with uneven switching activitieson the operands. Although themultiplication is a commutative operation,the dynamic-power consequenceis not. If you use the high-activity inputfor partial-product generation, themultiplier will consume more dynamicpower due to a higher level of switchingactivities that propagate throughthe rest of the multiplier. If you switchthe high-activity input to the input ofthe partial-product selector, you canlower the switching activity in the partial-productgenerator as well as theoverall multiplier (Figure 3). This kindof optimization is hard to plan in theRTL-coding stage and is more suitableto perform during synthesis.Applying this concept on a largerscale enables you to achieve more powersavings. In general, irregularity inthe data or a circuit provides a powersavingopportunity. For example, multimediadata usually has uneven activitiesamong the data bits. It usually haslower activities in MSBs (most-significantbits) and higher activities in LSBs.If you are aware of this phenomenon,you can design datapath architecturesso that the LSBs feed into the datapathtree downstream, hence reducingthe dynamic power for audio- or videosignalprocessing. Likewise, you can usethe circuit’s irregularity to lower internalpower and leakage power. For example,you can substitute regular cellswith slower high-threshold voltage orlow-drive cells whenever there is timingslack.You can configure the Design-Ware minPower architecture to createmore timing slack to maximize thiseffect. However, manually exploitingthe circuit’s irregularity is difficult becauseit is imperative to balance thepower cost against the area cost toavoid any adverse effect from overaggressivepower optimization. Youmust automatically consider timing anddesign needs during the architectureselection to realize the power savingswith minimal area overhead.The biggest advantage of powerfriendlyarchitectures is that they donot disrupt design flows. The powersavings come from making power-smartchoices when implementing the microarchitecturesfor the RTL code. Thisapproach requires no changes to thehigher-level software, system, or RTLdesign. After you select the architec-(a)(b)Figure 3 A high-activity input for partial-product generation causes the multiplier toconsume more dynamic power (a). Switching the high-activity input to the input of thepartial-product-selection block can lower the switching activity in the partial-productgenerator and the overall multiplier.BABOO<strong>THE</strong>NCODERBOOTHSELECTORADDERLOW ACTIVITY MEDIUM ACTIVITY HIGH ACTIVITY


tures, the netlists go through the samegate-, physical-, or process-level optimizationin the back end. You need notchange design flows or design-databaseformats except for adding a new knowledgebase—a synthetic-library database(.sldb file)—to the RTL-synthesisstage. The power savings increase thedesign project’s original power strategyby as much as 42% additional power reductionat the block level and as muchas 24% reduction at the chip level.This architecture-level power-optimizationapproach does have somelimitations, however. To get the powerbenefit, you must integrate in-houseor third-party IP (intellectual property)into the design at the RTL because theoptimization takes place at the RTL.TO LOWER <strong>THE</strong> ENER-GY CONSUMPTION<strong>OF</strong> YOUR NEXT SOCPROJECT, YOU MUSTIDENTIFY WHICH POR-TIONS <strong>OF</strong> <strong>THE</strong> SOCARE CONSUMING <strong>THE</strong>MOST ENERGY.The automatic IP insertion relies ona logic-synthesis tool, such as DesignCompiler, to extract the datapath architecturefrom the RTL; therefore, thecode must be in a style that the synthesistool recognizes. In other words, ifthe datapath is in low-level RTL thatalready prescribes the architectures, thesynthesis tool cannot alter the design’sintent.To enable architectural-level poweroptimization, designers should startfrom high-level RTL code using asmuch operator inference as possible.To allow extraction of larger datapathblocks, you should consider using automaticretiming instead of manually insertinga pipeline. Whenever possible,use a realistic representative switchingprofile, which usually improves the result,especially for applications thathave unevenly distributed activities onthe input.Because power is a physical-domaincharacteristic, your standard-cell librarycan affect the power-optimizationresult. A standard-cell library with acollection of datapath cells that havegood drive strength and threshold-voltagevariations allows wider architectureselections.Some libraries support special datapathcells but have few or no drivestrengthvariations or have them onlywith standard threshold-voltage implementations.You often do not selectthese cells, therefore limiting the numberof available architectures. To improveresults, use a standard-cell librarywith more drive strength and threshold-voltagevariations that have accuratelycharacterized power numbers.You can’t optimize what you can’tobserve. To lower the energy consumptionof your next SOC project, youmust first identify which portions of theSOC are consuming the most energy.It is worth distinguishing power consumptionfrom energy consumption. Toget a more energy-efficient design, youmust pay attention to the circuits thatremain on for a long time. Therefore,you must carefully analyze the powermodes to identify the best energy-savingopportunities.When working on these modules,decide early in the chip-planning stageto run these circuits at low clock frequenciesand low voltage. The additionalpower-saving opportunitiesmust come from designing more power-friendlycircuits that require lessswitching activities and are built witha higher percentage of low-leakage andlow-drive cells. The most inexpensiveway of achieving this goal is by usingpower-friendly architectures that requireno costly design-flow re-engineeringefforts.EDNAUTHOR’S BIOGRAPHYJay Chiang is product-marketing director atSynopsys, where he has worked for 11 years.He is responsible for managing the Design-Ware library and the datapath-generator,JPEG, microcontroller, mobile-industryprocessorinterface, and memory-IP productlines. Before joining Synopsys, Chiangwas a senior ASIC designer and chiparchitect at Xinex and a hardware-designengineer at Dynapro. He has a master’sdegree in management for science andtechnology from Oregon Health and ScienceUniversity (Portland, OR) and abachelor’s degree from National Tsing HuaUniversity (Hsinchu, Taiwan).AC-DC ConvertersPower Factor Corrected5-300VdcIsolated DC OutputLow CostIndustrialTwo Units in OneAC1 SeriesUniversal AC Input47-400HzInput Frequency• STANDARD: 5 to 300 vdcregulated, ISOLATEDoutputs/Fixed frequency• ALL in ONE compact full brickmodule, 2.5" x 4.6" x 0.8" Vacuumencapsulated for use in ruggedenvironments• Lower cost for your Industrialapplications• Maximize your design up to300 watt models• Meets Harmonic Distortionspecifications• .99 Power factor rating atoperational levels• Expanded operating temperaturesavailable -40 & -55C, +85 & 100Cbase plate• Custom models availableUP TO300WATTSwww.picoelectronics.comSend Directfor free PICO CatalogE-Mail: info@picoelectronics.comPICO Electronics,Inc.143 Sparks Ave, Pelham, NY 10803-1837Call Toll Free 800-431-1064 • FAX 914-738-8225


VENDORS TARGETOSCILLOSCOPESWEET SPOT30 EDN | SEPTEMBER 9, 2010


WHEN CHOOSING OSCILLOSCOPES IN <strong>THE</strong> 1- TO 4-GHz RANGE,<strong>ENGINEER</strong>S HAVE AN EXPANDING VARIETY <strong>OF</strong> PRICE,PERFORMANCE, AND USABILITY OPTIONS AS <strong>THE</strong> MARKETACQUIRES A NEW COMPETITOR.BY RICK NELSON • EDITOR-IN-CHIEFOscilloscopes having record-setting bandwidthsgarner trade-press attention as themajor competitors leapfrog past each other(Reference 1), but instruments havingmaximum bandwidths of 1 to 4 GHz canserve many demanding applications. Vendorsoffering scopes with bandwidths inthis range are also offering a variety of featurecombinations, including triggering,waveform-capture capability, data-analysis capability, probing options,and user-interface functions, that help prospective customersfind the price and performance levels they need for today’sapplications while providing head room for tomorrow’s needs.The market for 1- and 2-GHz oscilloscopesis so attractive that a newcompetitor, the Rohde & Schwarz testand-measurementdivision, introducedmodels in that range in June. At a pressconference announcing the instruments,Michael Vohrer, who was thenchief executive officer and has sinceretired, said that the time-domain initiativerepresents an attempt of the privatelyheld company to push into newmarkets and expand market share inits traditional markets for frequencydomain-analysisequipment. Vohrerplaced the scope market at $1 billionand added that, with a highly diversifiedcustomer base, it represents lowervolatility than do other segments.MARKET-SHARE NICHERoland Steffen, head of the R&Stest-and-measurement division, saysthat initial models in the new R&SRTO-oscilloscope line offer top bandwidthsof 1 and 2 GHz. The companyis not ignoring lower bandwidths, however,and introduced complementaryRTM models with 500-MHz bandwidths.The RTO and RTM modelscombine to serve the 500-MHz to2-GHz bandwidth range that is enjoyingthe largest share of market volume.Prathima Bommakanti, senior researchanalyst for test and measurementat Frost & Sullivan, concurs withthe perceived importance of that marketniche. “Big giants, including Tektronixand Agilent, view the 500-MHzto 2-GHz range as a ‘definite-demand’market,” she says, referring to the bandwidthranges that the new R&S scopesserve. Bommakanti’s research indicatesthat there is constant demand for 500-MHz to 2-GHz scopes selling for $8000to $20,000.The new R&S instruments includeRTO models in two- and four-channelversions with sampling rates of 10Gsamples/sec (Figure 1). The instrumentssupport a Windows-driven touchscreenuser interface. The 500-MHz RTM modelsoffer 5G-sample/sec sampling andforgo the touchscreen interface but bootwithin 7 seconds to help provide fastmeasurement results. Prices for RTM instrumentsstart at €5000, and prices forRTO instruments start at €12,000.The new oscilloscopes don’t representRohde & Schwarz’s first corporateexcursion into the time domain. Fiveyears ago, the company acquired lowendscope-maker Hameg. Hameg willcontinue to supply instruments costingroughly €4000 and less through distributors,and the Rohde & Schwarz testand-measurementdivision will servethe market for scopes operating at 500MHz and more and selling for €4000 ormore through its direct sales force.Josef Wolf, head of the spectrum andnetwork analyzers, EMC (electromagnetic-compatibility)tests, and oscilloscopessubdivision at R&S, commentedduring the June press conference on thedevelopment effort that went into thenew scopes. That effort focused on thehigh-level integration of analog, mixedsignal,and digital subsystems. A keygoal was a low-noise analog front end,which the company achieved throughthe use of a single-core SiGe (silicongermanium),10-GHz ADC with anENOB (effective number of bits) betterthan seven. A 90-nm ASIC with 15million gates provides hardware implementationof digital-signal-processingfunctions, enabling the analysis of 1million waveforms/sec.The 2-GHz top-of-the-line RTOmodels employ a purely digital triggersystem that eliminates the alignmenterrors that can occur with softwarecompensationschemes with separateanalog triggers. The company specifiesthe RTO models’ trigger jitter in femtosecondsrather than picoseconds. Inaddition, the digital trigger eliminatesrearm times associated with analog triggers,which can mask events of interestthat occur shortly after an analog trigger.The RTO provides as much as 20times less blind time than competitivemodels to help identify intermittentproblems, according to Wolf.The market will decide how muchshare the new R&S scopes will gainwith their price, performance, and features,and Bommakanti at Frost saysthat a clearer picture will emerge inSEPTEMBER 9, 2010 | EDN 31


2011. Nevertheless, she expects the instrumentsto be competitive. “Marketparticipants opined that they are seeingRohde & Schwarz already competingvigorously in this market,” she explains.“From our perspective, havingresearched the general-purpose-testequipmentmarket for a number of years,we believe R&S can leverage its brandreputation based on quality in the overalltest-and-measurement-equipmentmarket. Oscilloscopes were just themissing pieces of their product line.”According to Steffen at R&S, the company’sfirst efforts in oscilloscope marketingwill be to target its customers forfrequency-domain equipment who alsoneed oscilloscopes in these bandwidths;the company will then look beyond itscustomer base.Figure 1 Rohde & Schwarz has jumped into the oscilloscopemarket with its RTO models, which come in two- and fourchannelversions with bandwidths of 1 and 2 GHz. The units’sampling rate is 10G samples/sec. The instruments support aWindows-driven touchscreen user interface.AT A GLANCE↘ According to an analyst at Frost& Sullivan, oscilloscope vendorssee a consistent demand for 2-GHzoscilloscopes.↘ Bandwidth is only one factor;noise performance, memory depth,and data-analysis capabilities arealso important features.↘ Scopes need to connect to targetsystems with an arsenal of probes.↘ Oscilloscope usability is a subjectivefactor that’s difficult—but notimpossible—to quantify.↘ The market for higher-performancescopes will experience highergrowth rates, but lower-bandwidthmodels will dominate in unitshipments.SERIAL-DATA OPTIONSMeanwhile, the company’s competitorsare not standing still. They havetheir own ideas of the price, performance,and feature combinations thatthey believe make their scopes withcomparable bandwidths competitive.“In terms of performance, oscilloscopescan no longer be quantified by bandwidthalone,” says Joseph Ting, productmanager for high-frequency instrumentsat Yokogawa Corp of America. “Manyother common measures of oscilloscopeperformance include noise/accuracy, frequency-responsecurve, waveform-acquisitionrate, memory depth, memory handling,real-time- and postacquisitionanalysiscapabilities, and responsivenessunder load.” Yokogawa offers scopeshaving bandwidths as high as 1.5 GHz,including the DLM6000 series digitalandmixed-signal oscilloscopes. Theycan perform waveform characterization,include tools for detecting glitches andanomalies, incorporate signal-enhancementand noise-reduction technologies,and come with a range of optionsfor serial-bus analysis and power measurement.Models offer four channelsplus 16- or 32-bit logic inputs and feature500-MHz, 1-GHz, or 1.5-GHzbandwidths.The variety of potential options that1- to 4-GHz scopesare able to incorporategives vendorsroom to maneuveras they compete,and they continueto introduce features.For example,LeCroy last monthintroduced a seriesof serial-dataproductenhancementsfor all Windows-basedWave-Surfer, WaveRunner,WavePro, andWaveMaster scopes.The serial-data enhancementsincludean ARINC (AeronauticalRadio Inc)429 D decoder option,which, coupled with the company’sMIL-STD (military-standard)-1553 TD(trigger-decode) package, rounds out itsmilitary- and commercial-aviation enhancements,according to Bill Driver,product-marketing manager at LeCroy.LeCroy is also introducing support forMIPI (Mobile Industry Processor Interface)and the more than 10 standardsit encompasses, including DigRF. Lastmonth’s introductions include a packageof serial-protocol measurement, data-extraction,and graphing tools that apply aspecialized set of timing and graph parametersto standards such as I 2 C (interintegratedcircuit), SPI (serial-peripheralinterface), UART (universal asynchronousreceiver/transmitter), RS-232,CAN (controller-area network), LIN(local-interconnect network), FlexRay,and AudioBus (Figure 2).SCOPES NEED ADAPTABILITYThe many serial-bus options availablefor scopes such as LeCroy’s Wave-Runner suggest that the instrumentsmust be adaptable for a variety of applications,and serial-bus options aren’tthe only areas in which the scopes exhibitflexibility. “An oscilloscope in the1- to 4-GHz class would still be qualifiedas a general-purpose testing toolthat should have the capability to adaptto a wide range of applications,” saysDriver. LeCroy’s scopes in this rangeinclude an integrated switchable 50Ωand 1-MΩ front-end termination. The1-MΩ option allows you to use simplepassive probes for applications that requirea basic understanding of a signalwithout concern for noise or timing accuracy.In contrast, the 50Ω path canserve in applications that require themost accurate signal shape, includingcabled systems and those employingsingle-ended FET probes or active differentialprobes.Joel Woodward, senior product managerfor Agilent’s oscilloscope group,agrees that flexibility is an importantconsideration in oscilloscopes that spanthe 1- to 4-GHz range. “Engineers inthis segment typically encounter a widenumber of issues that they need a scopeto help solve,” he says. Agilent servesthis segment with its Infiniium 9000series family (Figure 3), which offersbandwidths of 600 MHz to 4 GHz, enablingAgilent scope customers to pickthe price and performance that match32 EDN | SEPTEMBER 9, 2010


Figure 3 Agilent’s Infiniium 9000 series scopes offerbandwidths of 600 MHz to 4 GHz. Each model comesin a 9-in.-deep package and has a 15-in. LCD.their needs. The scopes come with a selectionof debugging, protocol-triggeringand -decoding, jitter-analysis, andcompliance applications. Agilent offersprotocol-triggering and -decoding supportfor 12 protocols, including I 2 C, SPI,RS-232/UART, CAN, LIN, FlexRay,JTAG (Joint Test Action Group), USB(Universal Serial Bus), PCIe (PeripheralComponent Interconnect Express),MIPI D-PHY (digital physical layer),SATA (serial advanced-technology attachment),and 8b/10b.According to Woodward, Agilent isthe only vendor to support both traditionalhardware triggering and software-basedtriggering, which the companycalls InfiniiScan. Hardware-basedtriggering enables a wide range of predefinedtrigger conditions from whichusers can select. The hardware triggeringembraces analog, digital, and protocoltriggers to catch even the rarestof events. “Software-based triggeringenables the user to graphically definea trigger,” Woodward says. “The scopethen compares each acquisition to thetrigger specification and displays onlythe acquisitions that match the triggerspecification.” You can also cascadehardware and software triggers to createmultistage triggers.Digital or logic triggering is helpfulin systems for which you wantto verify performance across manydata points but have few concernsabout signal integrity, according toChris Loberg, senior technical-marketingmanager atTektronix. “The digitaltrigger can speedup verification withouttying up time worryingabout analogsignalcharacteristicsthat may not be importantdue to alower clock speedor logic type,” hesays. Analog eventbasedtriggering iscritical, however,for performing signal-integritychecksor for drilling deeperinto signal performanceduringdebugging. Whenyou combine analogtriggering with ahigh waveform-displayrate, such as theone that Tektronix’sDPX (digital-phosphortechnology)provides, the debugging capability improvesdramatically, Loberg adds.Woodward echoes Driver’s emphasison the importance of flexible probingoptions. “Scopes need to connectto target systems,” he says, and customersmust choose the right probes and beable to later add probes. Agilent’s Infiniiumscopes work with a range of singleended,differential, high-voltage, andcurrent probes. “We’ve developed thisprobing arsenal over a numberof years by working withkey customers and helpingthem solve their probingchallenges,” he explains.“During just the last year,Agilent introduced morethan 27 probes.”Figure 2 Windowsbasedoscilloscopes,such as LeCroy’s 2-GHz WaveRunner (left), can accommodateserial-data packages that support ARINC 429, MIPI, I 2 C, SPI,UART, RS-232, CAN, LIN, FlexRay, and AudioBus interfaces.LeCroy’s new measurement-and-graphing package (right) displaysthe left- and right-channel data from a digital-audio sourcethat has undergone conversion into corresponding analog valuesand plotting over time to represent the converted analog-audiowaveform. The example is from a Pink Floyd audio track andrepresents about a half-second of audio.USABILITY IS KEYTektronix addresses the1- to 4-GHz scope marketwith scopes such as itsDPO7000 series (Figure 4)and its MSO (mixed-signaloscilloscope)4000 series.“One thing that commonlyhappens is users tend to focusin on one banner specor feature rather than thefull breadth of factors, includingvalue, performance,usability, probing solutions, and vendorexpertise,” says Loberg. Usability is a keyfactor. “When deciding to invest in thislevel of scope, the usability of the instrumentis critical. For example, many oftoday’s modern scopes in this range featureserial decoding that quickly speedsup an engineer’s ability to understandthe exact traffic being sent on commonserial buses,” he adds.Ting at Yokogawa agrees. “User interfaceis very important not only for engineers’productivity, but also for theirmental health. An intuitive interface,menu tree, and optimized keystrokesfor each operation all make for an improveduser experience.” He notes thatusability often depends on familiarity.Each vendor implements user-interfacemethods unique to its instruments.Yokogawa oscilloscopes, for example, allemploy a jog shuttle, which comprisesan inner dial with detents and an outerdial with a spring-loaded ring. “Userswho are familiar with our interfaceare reluctant to change to other vendors[and vice versa],” Ting says.Woodward at Agilent attributes theusability features of its Infiniium scopesto the company’s continual refinementof the instruments, which it bases oninput from a large installed base. In responseto Agilent’s queries about us-34 EDN | SEPTEMBER 9, 2010


The Trade Shows that ConnectYou with the ElectronicsManufacturing IndustrySeptember 28–30, 2010Donald E. Stephens Convention CenterRosemont (Chicago), ILElectronicsMidwest.comFebruary 8–10, 2011Anaheim Convention CenterAnaheim, CAElectronicsWestShow.comApril 6–7, 2011Boston Convention & Exhibition CenterBoston, MAElectronics-NE.comFind the suppliers, tools, and services you need to make your product,process, and business more efficient, cost-effective, and profitable.15512_AS_EL10For information on attending or exhibiting, please call310/445-4200 or visit CanonTradeShows.comProduced and managed by CANON COMMUNICATIONS LLC


Figure 4 Tektronix’s DPO7000 series oscilloscopes support thePinpoint triggering system, which provides a suite of advancedtrigger types on both the A and the B triggers. Pinpoint triggeringoffers more than 1400 triggering combinations.ability, customers respond,“Please don’t change it,” accordingto Woodward. Agilentscopes ranging from the Infiniiummodels to the real-timebandwidth-champion,32-GHzmodel employ the same softwarearchitecture.LeCroy’s Driver also toutsusability. “We have pioneereda lot of user-interface techniques,”he says, including atouchscreen, multiple grids forindependently viewing waveforms,and the ability to drawa box on the screen to zoom inon a waveform. “When a userinterface does what customersexpect it to do, they spendmore time debugging instead of climbingthe learning curve,” he adds. LikeWoodward, Driver cites a consistent architectureacross product lines: “Whena customer picks up a 400-MHz LeCroyoscilloscope or a 30-GHz LeCroy oscilloscope,the user interface is the same.”Usability, however, can be a toughsell. “The usability is equally important[as other features] but unfortunately subjective,”says Driver. The decision topurchase a scope in this class typicallybegins with a banner-specification comparison,then a price comparison, and ausability evaluation, he explains. Tingnotes that usability can be a key decidingfactor, but it would be difficult toquantify it to an actual cost value.Tektronix’s Loberg also emphasizesusability and an effective user interfacein today’s fast-paced lab environment.“Engineers need familiar, intuitive instrumentsthat save them from having tospend time adapting to the instrument’soperation,” he says. “Many are jumpingfrom a workstation-based environmentto the lab and want to solve problems orverify performance quickly.”Although you cannot reduce usabilityto a banner spec, such as bandwidthor number of channels, Tektronix hasmade an effort to quantify usability, atleast as it relates to performing a set oftasks, according to Loberg. The companycommissioned Hansa Researchto conduct a study asking users, givena test circuit, to set up a TektronixMSO4000 series oscilloscope andcompeting oscilloscopes to monitor forglitches and runts, set up a trigger andcapture a runt, and search the waveformto locate all instances of the runt(Reference 2). The study found 53%improvement in debugging time withthe use of the Tektronix scope.The banner specs themselves are alsoopen to misinterpretation. “A commonmistake is to specify a bandwidth thatis not sufficient to see all of the signalcontent,” explains Driver, who notesthat customers often ask for bandwidthequal to the bit rate of the signal undertest. “A common rule for specifyingbandwidth is to have a bandwidthequal to or greater than five times theprimary frequency or … the fifth harmonic,”he says.Ting echoes that advice, saying thatan oscilloscope that can acquire signalsup to the fifth-order harmonicscan generally reconstruct a pulse signalwith sufficient accuracy. He also cautionsthat some users may overlook thefact that the oscilloscope’s sample-ratespecification is only a maximum. Bothmemory depth and observation time restrictthe actual sample rate.FOR MORE INFORMATIONAgilent Technologieswww.agilent.comFrost & Sullivanwww.frost.comHansa GCRwww.hansagcr.comLeCroywww.lecroy.comRohde & Schwarzwww.rohde-schwarz.comTektronixwww.tektronix.comYokogawa Corpof Americatmi.yokogawa.comSPECS, FUTURE MARKETSDriver advises engineers to considerfuture needs when evaluating bannerspecs. If the current budget situationprevents the purchase of a higher-bandwidthoscilloscope, he suggeststhe purchase of an upgradableproduct. Woodward at Agilenthas similar advice, noting thatAgilent customers can buy a1-GHz model now and upgradeto 2.5 or 4 GHz as their needsgrow. Similarly, they can easilyupgrade to add 16 digital channels,he says.Once you determine specssuch as the bandwidth you needand can afford, it’s relatively easyto compare them on a data sheet.Other specs, although quantifiable,are open to misinterpretation.“Users should be aware thatwaveform capture/update rate isdirectly related to their ability todetect intermittent anomalies that cannotbe perfectly isolated by triggers,” saysYokogawa’s Ting.Woodward cites an example regardingwaveform-capture rate, which can varydepending on scope settings. “The Infiniium9000 delivers 250,000 waveforms persecond in segmented-memory mode andup to 3000 waveforms per second withshallow memory,” he says. “With 1Mpoint of memory turned on, the scopestill delivers more than 600 waveformsper second.”Frost’s Bommakanti predicts steady,low-single-digit growth for scopes in the2-GHz range. Revenue growth shouldbe slower for midrange scopes than thatfor high-performance scopes, but unitshipments of lower-bandwidth modelswill continue to experience higher unitshipments. Expect vendors to continueto fine-tune performance to capturetheir fair share of the market.EDNREFERENCES1 Rowe, Martin, “Agilent introduces 32-GHz oscilloscope,” Test & MeasurementWorld, April 27, 2010, http://bit.ly/dyfAyb.2 Nelson, Rick, “Testing embedded databuses and analog signals,” EDN, June24, 2010, pg 36, http://bit.ly/aRFBze.You can reachEditor-in-ChiefRick Nelson at1-781-869-7970 andrichard.nelson@cancom.com.36 EDN | SEPTEMBER 9, 2010


A Low Power, Direct-to-Digital IF Receiver with Variable GainDesign Note 482Walter Strifl erIntroductionModern communication receivers require an ADC todigitize an incoming analog signal for decoding in asuitable FPGA device. The direct-conversion method ofreceiver design typically performs a single frequencydownconversion and an analog-to-digital conversion(ADC) near baseband. While elegant and simple, thisreceiver architecture has problems with in-band blockers,out-of-band interferers and LO leakage refl ectionswithin the receiver itself.In the face of these problems, basestation receiversoften require a robust solution that is achieved usingtried-and-true system methods of downconversion toan intermediate frequency (IF) in the range of 70MHz to240MHz. Demodulating and decoding the IF signal canbe performed by various means, but an increasinglypopular and cost-effective method is direct-to-digital IFconversion using the recent generation of high speed,low power pipeline data converters available from LinearTechnology.This design note describes a variable gain amplifi er plusanalog-to-digital converter (VGA + ADC) combinationcircuit that preserves the IF receiver dynamic range overa 31dB gain adjust range and effectively demodulates anddigitizes both the I and Q information in a single step.The combination LTC ® 6412 VGA and LTC2261 14-bitADC circuit subsamples a 140MHz WCDMA IF channel at125Msps and provides an equivalent input NF and IP3 thatrivals some of the best laboratory spectrum analyzers,while consuming less than 0.5W of power.IF Receiver PerformanceThe performance of a demonstration receiver circuit isshown in Figure 1. The inset graph of Figure 1 shows thenoise-like distribution of the WCDMA signal and is similarto CCDFs of other modern communication signals. At VGAmaximum gain, the signal generator power is adjustedto –12.5dBFS RMS to occupy most of the ADC code rangewithout clipping.POWER DENSITY (dBFS/763Hz)0–10–20–30–40–50–60–70–80–90–100–110–120125TYPICAL 16384 POINT FFT4-CHANNEL WCMDA SIGNAL AT–12.5dBFS RMS TO ADC INPUTMIN ADC CODE = 1030MAX ADC CODE = 15438–63dBc ACPR4-CHANNEL WCDMA CCDF1000.0010 5 10 15PEAK-TO-AVERAGE RATIO (dB)–109 VGA+ADCNOISE FLOOR–111.6 ADC NOISE FLOOR130 135 140 145 150 155 160 165 170 175 180 185 190FREQUENCY (MHz)DN4WS F01Figure 1. Typical WCDMA Performance at –12.5dBFS RMSover All Gain Adjust Settings. Insert Shows WCDMA CCDFAs the input signal power is adjusted higher, the VGA gainis adjusted down to maintain –12.5dBFS RMS and simulatethe automatic gain control (AGC) response of a typicalreceiver. The FFT of the digitized receive signal is plottedover a full Nyquist zone and exhibits a 63dBc ACPR withno measurable spurs and only 2.6dB degradation in theADC noise fl oor, over the full 31dB gain adjust range. Thisrepresents an effective input NF of 13dB and input IP3 of23dBm for the VGA + ADC pair at 140MHz at maximumgain. The (IP3-NF) delta of 10dBm determines the effectivedynamic range of the receive pair and is nearly constantover the entire gain adjust range.Measurement Details and Receiver CircuitAn Agilent E4436B source generates the multichannelWCDMA test signal with a typical adjacent channel powerratio (ACPR) of 50dBc to 55dBc, perfectly adequate tomeet the WCDMA system specifi cations but insuffi cientto demonstrate the full quality of this VGA + ADC combination.The test signal is amplifi ed with a high linearityTriquint AH202 and sharply filtered with a SAWTEK 854920to reduce the test signal’s ACPR skirts below 65dBc.L, LT, LTC, LTM, Linear Technology, and the Linear logo are registered trademarks ofLinear Technology Corporation. All other trademarks are the property of their respectiveowners.PROBABILITY (%)1010.10.0109/10/482


The WCDMA signal is representative of the wideband,noise-like signals found in modern communication systemssuch as LTE, 802.11g, and WiMAX to name a few.Interestingly, this convergence of statistical signal behaviorwas predicted over 60 years ago in Claude Shannon’scommunication theory. He found that the methods toincrease spectral effi ciency in a modulation format will,by necessity, exercise many degrees of freedom in signalspace and approximate the process of additive whiteGaussian noise. This was an amazing insight consideringthe simple AM and FM signals of Shannon’s day. This isalso a practical insight. One representative noise-likesignal can be used to characterize an RF receiver andestimate the performance of other noise-like signals.Figure 2 details a receiver circuit optimized for a 140MHzcenter frequency and a 20MHz bandwidth typical of a4-channel WCDMA signal. The fi ltered test signal feedsto the VGA input balun to perform a single-ended todifferential conversion at the input of the LTC6412. TheLTC6412 output connects to a simple tank circuit andRC network at the input of the LTC2261. This matchingcircuit routes bias current to the VGA while performinga low Q impedance transformation to the 100Ω differentialload. The matching circuit and RC load also serveto dissipate the differential and common mode chargeinjections emanating from the sampling switches at theADC input. This is an important consideration, as thesecharge impulses need to dampen to better than –85dBduring a sampling window (4ns) to preserve the fullspur-free dynamic range (SFDR) of the LTC2261. Thebetter damping circuits tend to be small and tight to avoidunnecessary refl ection delays and mismatch betweenthe VGA output and ADC input. This particular matchingcircuit uses 0402 components for most elements andfi ts inside a board area of 5mm × 10mm.The balance of connections to the VGA and ADC followthe recommendations of their respective data sheets.The LTC2261 14-bit ADC runs off 1.8V and consumes127mW at 125Msps. The LTC6412 VGA runs off 3.3Vand consumes 360mW for a total power consumptionof 490mW.ConclusionThe LTC6412 VGA drives the LTC2261 14-bit ADC with littlecompromise in the ADC performance. The VGA buffersthe ADC sampling input and provides 31dB of gain adjustto expand the effective dynamic range of the subsamplingIF receiver. The LTC2261 is part of a family of 12- and14-bit low power data converters designed for maximumsampling rates in the range of 80Msps to 125Msps. Forcomplete schematics of this receiver, visit the LTC6412or LTC2261 product pages at www.linear.com.1.8V125MHz SAMPLECLOCK DRIVE3.3V0.1μF 1nF 10nF 3.3V100Ω1k450ΩINWCDMA P IN =–22dBm TO +9dBm••MABA-00715910nF10nFVCC+IN–INGNDENSHDNDECL1DECL2LTC6412CUF+V GVREF–VGV CM+OUT–OUT1nF47μH47μH3.3V22pF68pF 0.1μF 51Ω68pF 1nF 51Ω22pF4.7pF4.7pFA IN+A IN–VCM0F+<strong>OF</strong>–VREFVDDSENSELTC2261CUJ14-BIT ADCREFHREFLENC+ENC–PAR/SERGNDSPIOV DDD13 • •D0CLKOUT+CLKOUT–OGND141.8VCMOS ORLVDSDATACLOCK0.1μF1μF100ΩGAINCONTROL1nF140MHz MATCHING CIRCUIT1μF1μF0.1μF1μF0.1μFDN4WS F02Figure 2. VGA + ADC IF Receiver Circuit. Supply Decoupling Capacitors to the VGA and ADC Omitted for Clarity. For ThisMeasurement, the LVDS Bus Connects to Linear’s Data Acquisition Board DC890B for Computer Control and Data AnalysisData Sheet Downloadwww.linear.comFor applications help,call (408) 432-1900, Ext. 2525Linear Technology Corporation1630 McCarthy Blvd., Milpitas, CA 95035-7417(408) 432-1900 FAX: (408) 434-0507 www.linear.comdn482f LT/TP 0910 226K • PRINTED IN <strong>THE</strong> USA© LINEAR TECHNOLOGY CORPORATION 2010


BY KRIPASAGAR VENKAT • TEXAS INSTRUMENTSGlass-breakage detectoruses one microcontrollerA GLASS-BREAKAGE DETECTOR CAN DETECT WHEN A WINDOW OR DOOR BREAKSIN A HOME OR BUSINESS, SERVING AS A MONITORING DEVICE TO ENHANCE SECURITYBY DETECTING ILLEGAL ENTRY.Aglass-breakage detector works either independentlyor in conjunction with other antitheftdevices to form a security system. Thedetector essentially captures and analyzes anyacoustic activity and reports whether glassbreakage has occurred. Due to their mode ofoperation, these detectors depend heavily on the quality ofsound events, posing numerous challenges to the designer.The detector must also be able to reject all failure alerts—1.5sounds that are not true glass breakage. This article discussesan efficient and robust glass-breakage detector using a lowcostmicrocontroller.1Microcontrollers are low-end processors that find use in0.5applications such as simple digital real-time clocks and complexsmart-metering systems. Microcontrollers suit these applicationsbecause they cost less, consume less power, and are AMPLITUDE 0NORMALIZEDeasier to use than most other types of digital processors. Insimple applications with limited requirements, it is easy to−0.5achieve low cost and low power. However, with the trendtoward using microcontrollers in complex applications, it−1becomes a challenge to maintain low cost and achieve lowpower. Engineers must now try to get the best performance−1.50 0.5 1 1.5 2with the lowest possible cost. To achieve this goal, they faceTIME (SEC)microcontroller-architecture restrictions, such as lower onchipmemory, a limited peripheral set, lower operationalspeed, and a smaller pin count. Engineers must optimize everythingthese microcontrollers offer for use in fairly complexapplications, such as the glass-breakage detector.relates to the sound that a listener hears, and the frequencydomainwaveform gives the complete frequency content ofthe signal. These plots provide valuable information in thedesign of an efficient algorithm for breakage detection. Thetime-domain plot indicates that the waveform is dense andthat a lot of activity occurs in short intervals. This activityrelates to the fact that the signal contains a lot of high-frequencycomponents and that the waveform has a lot of ze-Figure 1 A typical glass-breakage signal in the time domain fallswell within the audio spectrum of 20 Hz to 20 kHz and relates tothe sound that a listener hears.2.53DESIGN CONSIDERATIONSA robust glass-breakage-detection algorithm should be ableto easily distinguish valid glass breakage from other soundevents. All glass-breakage-detection algorithms capturesound events, analyze their time and frequency components,and make a decision. Glass-breakage sounds vary by type ofglass, thickness, acoustic environment, distance, the objectthe would-be thief uses to break the glass, and other factors.All glass-breakage-detection algorithms are inherently similarbut vary slightly depending on conditions, so one algorithmwill not work for all conditions. Installers usually fine-tunethe algorithm during final installation in a home or business.You can analyze a valid glass-breakage signal in the timedomain or the frequency domain. Figures 1 and 2 show atypical glass-breakage signal in the time and the frequencydomains, respectively. This sound falls well within the audiospectrum of 20 Hz to 20 kHz. The time-domain waveformNORMALIZEDGAIN(LOG SCALE)10 1 THUD/IMPACTCOMPONENT10 010 −110 −210 −310 −40 5000 10,000 15,000 20,000FREQUENCY (Hz)Figure 2 The frequency-domain waveform gives the completefrequency content of the signal.SEPTEMBER 9, 2010 | EDN 39


GAINAMPLIFIERANTIALIASINGFILTERSAMPLINGFREQUENCYBUZZERFigure 3 A microphone captures sound events, and an antialiasing filter following again amplifier handles signal amplification and filtering of high-frequency components.ADCSIGNALANALYSISro crossings and high peaks. These characteristics, althoughthey provide good information, seem to mimic white noise,and it is a challenge for designers to distinguish between thewaveform’s characteristics and white noise.The frequency response involves similar challenges. Theglass-breakage signal’s components spread over the entirespectrum with fairly equal energy, which is typical of whitenoise. However, a peak occurs at approximately 200 to 300Hz; this peak—the frequency component of the sound causedby the initial impact to the glass during breakage—providesthe much-needed distinction. The impact is a low-frequencysignal among all the high-frequency glass-breakage soundsthat follow. You can view this impact, or thud, as the soundthat occurs when an object hits the glass. It is difficult to recognizethis information in the time-domain waveform, butyou do know that this sound precedes all other sounds duringbreakage.SYSTEM COMPONENTSA glass-breakage detector must always be on and shouldbe able to process any sound activity in real time. However,you can turn off some of the detector’s blocks or put them intolow-power modes when they are not operating. A microphonecaptures sound events, and an antialiasing filter followinga gain amplifier handles signal amplification and filteringof high-frequency components (Figure 3). The antialiasingfilter rejects any frequencies above the audiblerange of 20 kHz and avoids violating the Nyquist criterionduring digitization of an analog signal.The blocks within the dashed lines are parts of the processor,which can be an ASIC, a microcontroller, or a DSP.The ADC converts the analog signal to a digital sample forprocessing in the digital domain. The sampling frequency,F S, depends on the frequency content of the signal. Becausethis circuit uses a 20-kHz antialiasing filter, the sampling ratemust be at least 40 kHz to preserve the original signal’s contentand integrity. The signal-analysis block encompasses allof the signal processing necessary for the detection or rejectionof glass breakage. Once this detection completes, thedecision block activates an indicator, such as an LED or abuzzer, to indicate glass breakage.HARDWARE SPECSMost glass-breakage detectors operate from batteries; forsufficient battery life, the design should have low power consumption.The choice of all hardware components in this designdepends on their ability to contribute to the low-powerdesign. An analog signal starts at the microphone and endsat the ADC. The choice of the microphone is importantDECISIONbecause its performance contributes tothe success of any glass-breakage detector.The microphone should also beable to capture and preserve key soundcomponents, such as impact and otherhigh-frequency components that the detectoralgorithm uses. The microphonemust be on most of the time to captureany sound activity and hence must consumeless power to bring down the overallsystem current. The gain amplifier—usually an operational amplifier in inverting or noninvertingmode—has a gain higher than unity. The op amp must providesufficient gain to the sound—on the order of tens of millivolts—themicrophone captures. The op amp must alwaysremain on and must have a small turn-on current. The antialiasingfilter is also an op amp, filtering in the analog domain,and is usually a simple first- or second-order-unity-gainlowpass filter.The most important choice in this design is that of the signalprocessor. You can use ASICs, microcontrollers, or DSPs,depending on the application. Like smoke detectors, mostglass-breakage detectors are placed inside homes or officesat locations that ensure security and safety. However, theyrequire battery power so that you can place them anywherewithout worrying about their proximity to power outlets andto ensure that they will continue to operate in the absence ofpower on the mains.You must choose a low-power, programmable, easy-to-use,STARTACTIVITY-DETECTIONALGORITHMACTIVITY?YESTHUD-DETECTIONALGORITHMTHUD?YESGLASS-BREAKAGE-DETECTIONALGORITHMGLASSBREAKAGEYESBUZZER ONFigure 4 The high-level software flow of the algorithm includesactivity detection, thud detection, and glass breakage in theorder of occurrence in time.NONONO40 EDN | SEPTEMBER 9, 2010


and inexpensive processorwith good processing capabilitiesfor real-time operation.The microcontrolleris the best of these choicesbecause it meets all of theserequirements. Some microcontrollersalso integrateanalog peripherals, whichfurther reduce overall systemcost.TABLE 1 CURRENT AND TIMING CONSIDERATIONSCondition/mode Peripherals on Clocks Current On timeLow-Power Mode 3 Timer on (up mode) MCLK=DCO=off 0.6 μA 2.5 msecSMCLK=DCO=offACLK=VLO≈12 kHzTACLK=ACLK=VLOActivity detection/AM1 (Active Mode 1)Microphone onOp amp 0 onMCLK=DCO=12 MHzSMCLK=DCO=12 MHzACLK=VLO≈12 kHz4.8 mA 20 μsecThud detection/AM2 (Active Mode 2)Glass-breakagedetection/AM3 (Active Mode 3)Microphone onOp amp 0 onOp amp 1 onTimer on (up mode)ADC 10 onMicrophone onOp amp 0 onADC 10 onMCLK=DCO=8 MHzSMCLK=DCO=8 MHzACLK=VLO≈12 kHzTBCLK=SMCLK=8 MHzMCLK=DCO=12 MHzSMCLK=DCO=12 MHzACLK=VLO≈12 kHz4 mA 32 msec5.8 mA 60 msecS<strong>OF</strong>TWARE SPECSAn antialiasing filterwith a 20-kHz cutoff frequencyfilters the analogsignal from the microphone.To digitize this signal,the sampling rate must be greater than 40 kHz, and theADC must be able to support that rate. For real-time operation,the filter must complete the required processing betweensuccessive-sampling instants. For example, if the maximumCPU frequency is 12 MHz, the number of CPU cyclesbetween successive samples is only 300, which is tightfor signal processing. You can choose a processor that supportsa higher CPU clock for increased CPU cycles. Doing sowould increase power consumption, however, and thereforedecrease battery life. Hence, one must make a trade-off betweenthe algorithm’s complexity level and battery life.The thud occurs at the beginning of a glass-breakage sound.This thud signal is present in most sounds, such as the noisethat occurs when a door or cabinet closes, an object hits theground, or someone claps his or her hands or knocks on adoor. However, these sounds lack the high-frequency componentsof typical glass-breakage signals. Other sounds, such asthe noise a coffee grinder makes, loud music, motorcycles inmotion, or the noise a wineglass makes when it breaks, havesimilar high-frequency components but no thud component.Circuits Layout SSO Ringing Probes Power Crosstalk GroundingEMC Noise Jiftter RLGC Thermal Stripline RoutingSignal Integrity —Dr. Howard Johnsonand hisextraordinary Black Magic seminars...Huntsville, AL 25-2627-28OctOctHigh-Speed Digital DesignAdvanced High-Speed Signal Propagationwww.sigcon.comUse Promo code EDN10SEPTEMBER 9, 2010 | EDN 41


The glass-breaking algorithm exploits the fact that these twotypes of components are on either side of the frequency spectrumand occur independently of each other in time.GLASS-BREAKAGE ALGORITHMFigure 4 shows the high-level software-flow diagram ofthe algorithm, including activity detection, thud detection,and glass breakage in the order of occurrence in time. Approximatelyevery 2.5 msec, the microphone and op amp 1turn on to check for any sound activity. In the absence of anysignificant activity, they turn off, and the microcontrollergoes into a low-power state. If significant activity occurs, thesoftware proceeds to thud detection, during which the ADCturns on, followed by signal processing to check for the thudcomponent. If a thud is present, the algorithm proceeds toglass-breakage detection. Otherwise, the algorithm revertsback to activity detection. If glass-breakage detection is successful,an onboard LED or buzzer activates to indicate thisevent. The glass-breakage detector then reverts back to activitydetection.Activity detection compares the ADC’s input valuesto prefixed thresholds on either side of zero to distinguish1SIGNALLEVEL0(a)10500 1000 1500 2000 2500SAMPLE NUMBER1SIGNALLEVEL0(b)10500 1000 1500 2000 2500SAMPLE NUMBER1SIGNALLEVEL0(c)10500 1000 1500 2000 2500SAMPLE NUMBER1SIGNALLEVEL0 10500 1000 1500 20002500(d)SAMPLE NUMBERAVERAGED SIGNALZERO CROSSINGFigure 5 The first stage of processing occurs on every sample once the algorithm detects a thud. This stage uses a 20-kHzantialiasing filter and increases the ADC’s sampling frequency to 40 kHz.42 EDN | SEPTEMBER 9, 2010


STARTFOUR-SAMPLE SIGNAL AVERAGINGn3p(k)s(n)kn4NOSIGNAL INTEGRATIONINTEG_TOTAL=INTEG_TOTAL+p(n)p(n)0?YESPEAK DETECTION <strong>OF</strong> s(n)PEAK_COUNT++Surface Mount(and Plug In)Transformers andInductorsSee Pico’s full Catalog immediatelywww.picoelectronics.comLow Profile from.19"ht.FILTERED-SIGNAL INTEGRATIONINTEG_HPF_TOTAL=INTEG_HPF_TOTAL+OUTPUT(n)a true signal from noise. The thudcomponent occurs only during initialimpact, and a digital lowpassfilter with a cutoff frequency of 350Hz filters only the first few samplesof the incoming signal. The systemaccumulates and averages the filteredsamples and compares them toa prefixed energy threshold. If theenergy exceeds this threshold, thesystem initiates a thud componentand the glass-breakage-detection algorithm.The digital lowpass filtermust be small yet effective, so thesampling frequency for these initialsamples remains at only 4 kHz. However,this section of the algorithm usesan antialiasing filter with a cutofffrequency of 2 kHz rather than 20ZERO CROSSINGS IN s(n)ZERO_CROSS_COUNT++HIGHPASS FILTERING <strong>OF</strong> p(n)OUTP(n)=LWDF(p(n))NOOUTP(n)0?YESENDFigure 6 The operations for this stage include signal averaging, zero-crossingdetection, and peak detection, which occur for approximately 60 msec, orapproximately 2400 samples.kHz for typical antialiasing filters.The glass-breakage-detection algorithmis more complex than the thuddetection and includes two signalanalysisparts. One is the first stage ofprocessing and occurs on every sampleonce the algorithm detects a thud.This stage uses a 20-kHz antialiasingfilter and increases the ADC’s samplingfrequency to 40 kHz. The operationsfor this stage include signalaveraging, zero-crossing detection,and peak detection, which occur forapproximately 60 msec, or approximately2400 samples. Once the firststage is complete, the second stageinitiates to complete the entire signalanalysis.Figure 5 shows a signal representa-Audio TransformersImpedance Levels 10 ohms to 250k ohms,Power Levels to 3 Watts, Frequency Response±3db 20Hz to 250Hz. All units manufacturedand tested to MIL-PRF-27. QPL Units available.Power & EMI InductorsIdeal for noise, spike and Power FilteringApplications in Power Supplies, DC-DCConverters and Switching RegulatorsPulse Transformers10 Nanoseconds to100 Microseconds. ETRating to150 Volt Microsecond, Manufacturedand tested to MIL-PRF-21038.Multiplex Data BusPulse TransformersPlug-In units meet the requirementsof QPL-MIL-PRF 21038/27.Surface units are electrical equivilentsof QPL-MIL-PRF 21038/27.DC-DC ConverterTransformersInput voltages of 5V, 12V, 24V And 48V.Standard Output Voltages to 300V (Specialvoltages can be supplied). Can be used as selfsaturating or linear switching applications. Allunits manufactured and tested to MIL-PRF-27.400Hz/800HzPower Transformers0.4 Watts to 150 Watts. Secondary Voltages 5Vto 300V. Units manufactured to MIL-PRF-27Grade 5,Class S (Class V, 155 0 Cavailable).Delivery-Stock to one weekfor sample quantitiesfor FREE PICO CatalogCall toll free 800-431-1064in NY call 914-738-1400Fax 914-738-8225PICO Electronics,Inc.143 Sparks Ave. Pelham, N.Y. 10803E Mail: info@picoelectronics.comwww.picoelectronics.com


STARTRATIO=INTEG_TOTAL/INTEG_HPF_TOTAL1.75≤RATIO≤14YESNO160≤PEAK_COUNT≤320YES95≤ZERO_CROSS_COUNT≤300YESSET GLASS-BREAKAGE DETECTORENABLE BUZZER FOR 3 SECONDS AND SET LEDRESTART GLASS-BREAKAGE DETECTORFigure 7 Once the first stage is complete, the second stage initiatesto complete the entire signal analysis.tion during the first stage, and Figure 6 shows the softwareflow. The algorithm’s p(n) signal denotes the incoming samples,which pass through a simple moving-average filter toreduce noise, yielding the s(n) signal. Integration of the p(n)signal uses only positive samples to calculate signal energy,integ_total, for use in the second processing stage. The s(n)signal then receives peak and zero-crossing counts. To extractthe high-frequency components of the incoming signal, youNONO<strong>THE</strong> ALGORITHM COMPUTES <strong>THE</strong>RATIO <strong>OF</strong> TOTAL SIGNAL ENERGYTO HIGHPASS-FILTERED ENER-GY AND CHECKS <strong>THE</strong> RESULTSAGAINST A THRESHOLD.use a highpass filter with a cutoff frequency of one-fourth thesampling frequency and subject each sample of p(n) to thisfiltering. Simultaneously, only the positive samples of the filteredoutput are accumulate in the result, integ_HPF_total,which the second stage uses. Stage 1 filtering occurs on everysample and must be complete before the arrival of thenext sample, p(n+1), for real-time operation, implying thatthe total amount of CPU cycles available is only the CPUfrequency divided by 40 kHz. Filtering is generally a timeconsumingoperation. To achieve efficiency, both the lowpassfilter and the highpass filter in Stage 1 use lattice-wavedigital filters and Horner’s algorithm for thud detection.Once the first stage of signal analysis processes 60 msec ofdata, the algorithm proceeds to the second stage of processing.The second stage does not require real-time operation(Figure 7). The end of the second stage of signal analysisconfirms whether any glass breakage has actually occurred.The algorithm computes the ratio of total signal energy tohighpass-filtered signal energy and checks the results againsta threshold. Results show a ratio of 1.75-to-14 for a numberof glass-breakage sounds. Similarly, the algorithm checks thenumber of peaks if it is between 160 and 320 and whetherthe number of zero crossings is between 95 and 300. A validglass breakage occurs if the results satisfy each of these conditions.If even one of the conditions fails, the glass-breakagedetector reinitializes and returns to activity detection. YouTHUD DETECTION20-Hz TO 20-kHzMICROPHONEOP AMP 0INVERSEAMPLIFIERGAIN=−7OP AMP 12-kHzANTIALIASINGFILTERGAIN=ONEA13ADC 10F S =4 kHzLOWPASSLATTICE-WAVE DIGITALFILTERF C ≈350 HZSIGNALANALYSISA1ADC 10F S =40 kHzHIGHPASSLATTICE-WAVE DIGITALFILTERF C ≈10 HzSIGNALANALYSISMSP430F2274GLASS-BREAKAGE DETECTIONBUZZERLEDVALID THUDFigure 8 Texas Instruments’ low-power, 16-bit MSP430F2274 microcontroller operates at frequencies as high as 16 MHz.44 EDN | SEPTEMBER 9, 2010


must slightly tweak these thresholds and ranges dependingon the room’s acoustics, the detector’s location, noise in theenvironment, and other factors.MICROCONTROLLER IMPLEMENTATIONThe ultralow-power MSP430-microcontroller platformfrom Texas Instruments (www.ti.com) comprises a variety ofdevices, including the 16-bit MSP430F2274 microcontroller,which operates at frequencies as high as 16 MHz (Figure 8).It also has an internal low-power, low-frequency oscillator,which operates at 12 kHz at room temperature; two 16-bittimers; and a 10-bit ADC 10, which supports conversionrates as high as 200 kHz. The ADC works with on-chip, software-configurableoperational amplifiers 0 and 1 for analogsignalconditioning. The device consumes 0.7 μA of currentduring standby-mode and 250 μA during active mode, makingit a good choice for battery-powered applications. Becausethe chosen microphone has a passband of 20 Hz to 20kHz and the MSP430F2274 integrates only two op amps, youcould remove the 20-kHz antialiasing filter from the implementation.Although this removal violates sampling theory,the results do not vary with this absence. However, if anotherop amp is available, the filter can still be part of this setup.Op amp 0 works as an inverting amplifier with a gain ofseven to provide amplification to the microphone output.Op amp 1 works as a unity-gain lowpass filter, which is a second-orderButterworth type using the Sallen-Key architecture.The filter has a 3-dB cutoff frequency at 2 kHz. Theoutputs of the two op amps internally connect to channelsA 1and A 13, respectively, of the MSP430.CURRENT CONSUMPTIONThe glass-breakage detector’s current consumption dependson the low-power modes it uses during its operationand selective turn-on and turn-off of its peripherals. Thecurrent-consumption profile of the implementation on theMSP430 for the three modes of operation is discussed in theonline version of this article at www.edn.com/ms4375. Table1 provides a list of the peripherals and clocks that are on duringvarious modes of operation. These peripherals are significantcontributors to the overall current consumption duringeach stage.Two AAA batteries providing 800 mAhr of energy powerthe glass-breakage-detection board. Although it is difficult topredict the battery life of such an application, assuming noglass breakage, the total current consumption is approximately80 μA to give a battery life of about 416 days. You can furtherincrease battery life by increasing the wake-up for activitydetection to more than 2.5 msec; however, this approachincreases the possibility of missing a sound event.EDNAUTHOR’S BIOGRAPHYKripasagar Venkat is a system-applications engineer for TexasInstruments (Dallas). He holds a master’s degree from the Universityof Texas—Dallas with an emphasis in signal processingand communication.SEPTEMBER 9, 2010 | EDN 45


16-Bit, 1Msps SAR ADCwith 94dB SNR–40°C to +125°CGuaranteed5V4.096V4.096VIN+IN–LTC2393-16InternalReferenceOV DD = 1.8V to 5VSPI orParallel±4V P-P Differential Input Span ADC Excels in Noisy EnvironmentsThe LTC ® 2393-16’s wide differential input range of ±4.096V and outstanding 94dB dynamic range ensures sufficient noisemargin in hostile industrial environments. Operating from a single 5V analog supply, this ADC achieves 16-bit no missingcode resolution at 1Msps with no latency. The LTC2393-16 includes an internal 20ppm/°C reference and is ideal for medicalinstruments, spectrum analysis, ATE, instrumentation and high-resolution data acquisition.Features• Pin- and Software-Compatible Family:- LTC2393-16: 1Msps- LTC2392-16: 500ksps- LTC2391-16: 250ksps• Single 5V Analog Supply, 1.8V to 5VDigital Supply• ±2LSB INL (Max), ±1LSB DNL (Max)• 20ppm/°C (Max) Internal Reference• –40°C to +125°C GuaranteedTemperature Range• Parallel & Serial Interfaces• 48-Pin 7mm x 7mm QFN &LQFP PackagesAmplitude (dBFS)0–20–40–60–80–100–120–140–160–180016k Point FFT f S = 1Msps,f IN = 20kHzSNR = 94.2dBTHD = –105dBSINAD = 93.9dBSFDR = 108dB100 200 300 400 500Frequency (kHz)Info & Free Sampleswww.linear.com/23931-800-4-LINEAR, LT, LTC, LTM, Linear Technology and the Linear logo areregistered trademarks of Linear Technology Corporation. All othertrademarks are the property of their respective owners.


EDITED BY MARTIN ROWEdesignideasAND FRAN GRANVILLEREADERS SOLVE DESIGN PROBLEMSCurrent monitor compensatesfor errorsChau Tran and Paul Mullins, Analog Devices, Wilmington, MA↘You sometimes need to measureload currents as large as 5A in thepresence of a common-mode voltage ashigh as 500V. To do so, you can use AnalogDevices’ (www.analog.com) AD8212high-voltage current-shunt monitor tomeasure the voltage across a shunt resistor.You can use this circuit in high-currentsolenoid or motor-control applications.Figure 1 shows the circuit, whichuses an external resistor and a PNP transistorto convert the AD8212’s outputcurrent into a ground-referenced outputvoltage proportional to the IC’s differentialinput voltage. The PNP transistorhandles most of the supply voltage, extendingthe common-mode-voltagerange to several hundred volts.An external resistor, R BIAS, safely limitsthe circuit voltage to a small fractionof the supply voltage. The internalbias circuit and 5V regulator provide anoutput voltage that’s stable over the operatingtemperature range, yet it minimizesthe required number of externalcomponents. Base-current compensationlets you use a low-cost PNP passtransistor, recycling its base current,I B, and mirroring it back into the signalpath to maintain system precision.The common-emitter breakdown voltageof this PNP transistor becomes theoperating common-mode range of thecircuit.The internal regulator sets the voltageon COM to 5V below the power-supplyDIs Inside48 Buck regulator handleslight loads51 Sense multiple pushbuttonsusing only two wires52 Tricolor LED emits lightof any color or hue▶What are your design problemsand solutions? Publish themhere and receive $150! Sendyour Design Ideas to edndesignideas@cancom.com.voltage, so the supply voltage for themeasurement circuit is also 5V. Choose avalue for the bias resistor, R BIAS, to allowenough current to flow to turn on andcontinue the operation of the regulator.HEAVYLOADR SHUNTV AD8212SENSE1k811kV PCURRENT MIRRORA 1BIASALPHA65I OUT3COM2I BR LI CV OUTR BIASI BIASFigure 1 An external PNP transistor lets you operate the circuit at high voltages.SEPTEMBER 9, 2010 | EDN 47


designideas1.41.210.8WITH COMPENSATIONWITHOUT COMPENSATION0.60.4OUTPUT- 0.2CURRENT 0ERROR (%) 0.20.40.60.811.21.40 1 2 3 45For high-voltage operation, set I BIASat200 μA to 1 mA. The low end ensuresthe turn-on of the bias circuit; the highend is limited, depending on the deviceyou use.With a 500V battery and an R BIASvalueof 1000 kΩ, for example, I BIAS=(V +–5V)/R BIAS=495V/1000 kΩ=495 μA.The circuit creates a voltage on theLOAD CURRENT (A)Figure 2 Internal base-current compensation reduces error.output current approximately equal tothe voltage on COM plus two times theV BE(base-to-emitter voltage), or V +–5V+2V BE. The external PNP transistorwithstands two times the base-to-emittervoltage of more than 495V, and allthe internal transistors withstand voltagesof less than 5V, well below theirbreakdown capability.Current loss through the base of thePNP transistor reduces the output currentof the AD8212 to form the collectorcurrent, I C. This reduction leads toan error in the output voltage. You canuse a FET in place of the PNP transistor,eliminating the base-current errorbut increasing the cost. This circuit usesbase-current compensation, allowing useof a low-cost PNP transistor and maintainingcircuit accuracy. In this case,current-mirror transistors, the AD8212’sinternal resistors, and amplifier A 1combineto recycle the base current.Figure 2 shows a plot of output-currenterror versus load current with andwithout the base-current-compensationcircuit. Using the compensation circuitreduces the total error from 1 to 0.4%.You should choose the gain of the loadresistor, R L, to match the input voltagerange of an ADC. With a 500-mVmaximum differential-input voltage, themaximum output current would be 500μA. With a load resistance of 10 kΩ,the ADC would see a maximum outputvoltage of 5V.EDNBuck regulator handles light loadsJustin Larson and Frank Kolanko, On Semiconductor, East Greenwich, RI+ V REF− 6VD 1BOOST(6V–D 1 )BUCK CONTROLLERGATESOURCEV IC =0VFigure 1 Many buck-controller ICs use a floating-gate driver.V INQ 10VD 2C BOOSTL 1VOUT0VC OUT↘Buck regulators operating inCCM (continuous-conductionmode) have straightforward operation,allowing for easy calculation of outputvoltage and system design. However,lightly loaded buck regulators operatein DCM (discontinuous-conductionmode), and their operation is morecomplicated. The duty cycle changesfrom a ratio of the output voltage andthe input voltage. A regulator that reducesa 12V input to 6V has a 50% dutycycle. When the regulator is too lightlyloaded to keep some current continuouslyflowing in the inductor, it entersDCM. The duty cycle changes to acomplex function of inductor value,input voltage, switching frequency, andoutput current, greatly slowing the control-loopresponse.Many buck-controller ICs use a floating-gatedriver (Figure 1). You use aseparate supply reference voltage, V REF,for high efficiency. During start-up, itpowers the NFET gate driver to a diodedrop below the reference voltage. Sufficientvoltage is available to drive the48 EDN | SEPTEMBER 9, 2010


Digital control of youranalog powerGain full PMBus TM control over fourinexpensive power supplies with one ICUp to fouranalog suppliesDigital controland monitoringMAX16064PMBusSimpleGUI interface for:-Programming-Monitoring-Sequencing-Margining• Convert low-cost analog power supplies intoa highly-accurate (±0.3%), digital powermanagementsolution• Closed-loop operation allows on-the-flyvoltage adjustment with high accuracy• Simplifies control of multiple supplies inservers, networking, and telecom equipment• Data logging and fault diagnostics easefailure detection and debugging• High integration in a 6mm x 6mm, 36-pinTQFN package saves spacePMBus is a trademark of SMIF, Inc.www.maxim-ic.com/MAX16064-infoDIRECTwww.maxim-ic.com/shopwww.em.avnet.com/maximFor free samples or technical support, visit our website.Innovation Delivered and Maxim are registered trademarks of Maxim Integrated Products, Inc. © 2010 Maxim Integrated Products, Inc. All rights reserved.


designideasgate of the FET because the initial conditionsdictate 0V on the output and onthe source of FET Q 1.During CCM, current always flowsthrough the inductor. Q 1or D 2suppliesthis current during the flybackevent that Q 1’s turn-off causes (Figure+V REF− 6V2). The flyback event creates a voltageat the source of Q 1, and the drop acrossD 2limits this voltage, making it a negativevoltage with respect to ground. Sufficientvoltage is available to drive Q 1because the C BOOSTcapacitor boosts thegate voltage. This boost provides a highV INvoltage to the boost pin and the resultantnegative voltage on the Q 1source.The system enters DCM when theload drops to the point at which the averagecurrent demand is less than onehalfthe current ripple. Diode D 2preventsreverse current in the inductor.Depending on the chip you use, theoutput may overshoot due to the slowerresponse time of the control loop. Theregulator may also miss pulses and generallyoperate unpredictably. After Q 1turns off, C BOOSTstarts to bleed downthrough the boost pin and D 1(Figure3). The extended off time of Q 1in DCMD 1 (0V−D2)+V CBOOSTBOOST(0V−D 2 )BUCK CONTROLLERGATESOURCEQ 1D 2C BOOSTL 1VOUT8VC OUTYOU DON’T KNOW<strong>THE</strong> TEMPERATURECOEFFICIENT <strong>OF</strong> <strong>THE</strong>CURRENT INTO <strong>THE</strong>BOOST PIN, SO YOUSHOULD ALSO CHECKOPERATION AT LOWTEMPEATURE.Figure 2 During CCM, current always flows through the inductor. Q 1or D 2suppliesthis current during the flyback event that Q 1’s turn-off causes.+V REF− 6VD 18V+V CBOOSTBOOSTBUCK CONTROLLERGATESOURCE8VV INQ 1D 20V+C BOOST−Figure 3 The C BOOSTcapacitor discharges when the regulator goes into DCM.L 1V OUT8VC OUTstarts to discharge the C BOOSTcapacitor.At approximately 3V across C BOOST, Q 1does not turn on until the output capacitor,C OUT, discharges adequately to providea lower voltage on the source of Q 1than that of the boost pin through D 1.This behavior is unacceptable in a voltageregulator.High temperatures create a situationwith higher leakage currents. You don’tknow the temperature coefficient ofthe current into the boost pin, so youshould also check operation at low temperature.Evaluate the system to determinethe lowest capacitor value, usingthis result in your worst-case evaluationsimulations. You can thus ensure thatthe design will operate in DCM by increasingthe value of C BOOST. You couldalso increase the reference voltage towhich D 1connects. You may want toconsider replacing D 1with a low-leakageSchottky diode. If none of these approachesresults in reliable operation,you can switch to an IC that uses a gatedriver referenced to ground or modifyyour design to use a synchronous-buckarchitecture.EDN50 EDN | SEPTEMBER 9, 2010


Sense multiple pushbuttonsusing only two wiresBernhard Linke, Maxim Integrated Products Inc, Dallas, TX↘Keyboards and numeric keypadsoften provide the user interfacefor electronic equipment, but many applicationsrequire only a few pushbuttons.For those applications, you canmonitor multiple pushbuttons over asingle pair of wires (Figure 1).The multichannel 1-Wire addressableswitch, IC 1, provides PIO (input/output ports) P0 through P7, which inthis application serve as inputs. The1-MΩ R PDresistors connect these portsto ground to ensure a defined logic-zerostate. Diode/capacitor combination D 1/C 1forms a local power supply that stealsenergy from the 1-Wire communicationline. Pressing a pushbutton connectsthe corresponding port to the local supplyvoltage, which is equivalent to logicone. This change of state sets the port’sactivity latch (Reference 1).As a 1-Wire slave device, IC 1doesn’tinitiate communications. Instead, themaster device—typically, a microcontroller—pollsthe 1-Wire line. To minimizeoverhead, IC 1supports conditionalsearch, a 1-Wire network function. Beforeusing that function, however, youmust configure IC 1according to theneeds of the application. That configurationincludes channel selection,which defines the qualifying input ports;channel-polarity selection, which specifiesthe polarity of the qualifying ports;choosing a pin or an activity latch forthe port; and specifying whether the devicewill respond to activity at a singleport, an OR, or at all ports, an AND.Consider, for example, that IC 1shallrespond to a conditional search if it detectsactivity at any of the eight ports.This search requires a channel-selectionmask of 11111111b for address 008Bh.The numeral one indicates that IC 1hasselected a port. This search also requires achannel-polarity selection of 11111111bfor address 008Ch, where the numeralone indicates a high level, and a control/status register setting of 00000001b for address008Dh, which selects the port’s activitylatch as a source and specifies ORas the conditional search term—that is,activity at any port.After power-up, the configurationdata must be loaded into IC 1using thewrite-conditional-search-register command.Next, the channel-access-writecommand, with FFh as a PIO outputdatabyte, defines the ports as inputs.Subsequently, the issue of a reset-activity-latchescommand completes the configuration.IC 1is now ready to handlepushbutton activity.After you configure IC 1, the applicationsoftware enters an endless loop, inwhich a conditional-search commandfollows a 1-Wire reset. With no pushbuttonactivity, IC 1does not respond, asFree the power.AS5134 - High SpeedMagnetic Rotary EncoderHigh precision and simplicityfor brushless motorsHigh speed up to82,000 rpmFully automotiveAEC-Q100 qualifiedwww.austriamicrosystems.com/AS5134SEPTEMBER 9, 2010 | EDN 51


designideasD 11N4148C 11 μFP X S NV CCP YFigure 2 This circuit can monitor 28additional pushbuttons if you usediodes to connect them to two ports.1-WIREGNDRSTZIOV CCIC 1DS2408GNDP0P1P2...P7Figure 1 This circuit connects to a microcontroller and can monitor eight pushbuttonsusing only two wires.a logic one indicates, for the 2 bits immediatelyafter the conditional-searchcommandcode. In that case, the microcontrollercancels the conditionalsearch and starts over.If IC 1responds to the conditionalsearch, the first 2 bits will be one andzero, representing the least-significantbit of the device’s family code, 29h,in its true and inverted forms. In thatcase, the microcontroller should completethe conditional-search flow, whichcomprises a 192-bit sequence. Next, themicrocontroller reads from IC 1by issuinga read-PIO-registers commandusing 008Ah, the address of the PIOactivity-latch-stateregister. The microcontrollerthen issues a 1-Wire reset, aR PD1MR PD1MR PD1MR PD1Mresume command, and a resume-and-reset-activity-latchescommand. It then returnsto the endless loop, polling for thenext pushbutton event.If IC 1responds and no other 1-Wireslave is connected, the microcontrollercould cancel the conditional search afterreading the first 2 bits, issue a 1-Wirereset, issue a skip-ROM command, andthen read the PIO-activity-latch-stateregister. Next, it must issue a 1-Wirereset, a skip-ROM command, and a reset-activity-latchescommand before returningto the endless loop.The code read from the PIO-activity-latch-stateregister tells which buttonwas pressed. If you press S 1, thedata is 00000001b; if you press S 2, it isS 1S 2S 3S 8...00000010b; and so forth. At least oneof the 8 bits will be one. If you press severalbuttons after the last reset-activitylatchescommand, several bits are one.The application software must then decidewhether such a condition is valid.In the simplest case, one-of-eight code,the software considers all codes thathave several bits at one as invalid.You can expand this concept to morethan eight pushbuttons. Instead of associatingone pushbutton with one port,you can associate additional pushbuttonswith two simultaneously activatedports, representing two-of-eight code(Figure 2). If another pushbutton activatesP Xor P Y, the diodes preventthat activity from propagating to otherports. Again, the application softwaremust check the code it reads from thePIO-activity-latch-state register to decidewhether it is valid. The theoreticallimit of this concept is 255 pushbuttons,which require combinations of two,three, four, five, six, seven, or eight diodesper additional pushbutton. Whenthe cost of diodes for each additionalpushbutton begins to exceed the benefits,you will find that adding anotherDS2408 is more cost-effective.EDNREFERENCE1 “DS2408 1-Wire 8-Channel AddressableSwitch,” Maxim Integrated ProductsInc, www.maxim-ic.com/ds2408.Tricolor LED emits lightof any color or hueMarián Štofka, Slovak University of Technology, Bratislava, Slovakia↘The human eye can see any coloras a mixture of blue, red, andgreen. The circuit in Figure 1 producesall three colors through an Avago(www.avagotech.com) ASMT-YTB0tricolor LED. You can produce a widerange of colors by varying the currentin the blue, red, and green LEDs.The collector outputs of bipolar differentialstages form the current sources. Aclassic symmetrical differential stage withtwo equal bipolar transistors is a backboneof almost all bipolar analog ICs. Inthis case, however, the differential stageis asymmetrical, with a 2-to-1 collectorcurrentdistribution instead of the common1-to-1 ratio at 0V base-voltage difference.The circuit produces the 2-to-1current ratio by paralleling a third equaltransistor, Q 3, to Q 1. The common collectorof the paralleled transistor pairconnects to the common emitter of theQ 4/Q 5differential stage. Thus, the basedifferential voltages equal 0V at both thestages, and collector currents I R, I G, andI Bare almost equal.52 EDN | SEPTEMBER 9, 2010


9VASMT-YTB0OPTICAL OUTPUT(R,G,B MIX)5.6kREDGREENI RI GIC 1BLUEI B82 nF2.5V+ ADR1581VOLTAGEREFERENCE−V REF1.25VIC 2Q 4≈I O –I BQ 3Q 5Q 6Q 1 Q 22.2kR BB2.2kV +IC 3B+V B100 nF15k0% REDP 215k0% GREEN82 nF+ADR1581−I O120 R BA2.2k2.2kIC 3A+V ADA4091-2100% BLUEV A15kP 115k0% BLUEFigure 1 Potentiometers P 1and P 2let you control the color of emitted light.The differential stages let you vary I R,I G, and I Bover a range of 0 to I O, whereI R+I G+I B≈I O=4.43 mA. This value is approximatebecause I R+I G+I Bis lower bya relative value of 3/β, where β is a currentgain of the bipolar transistors. Therelative error is less than 1%. TransistorQ 6equalizes Q 2’s collector voltage withthose of the Q 1and Q 3collectors. Thisapproach preserves the matching of thebase-emitter voltages of Q 1, Q 2, and Q 3.The base currents of bipolar transistorsin this case can reach to as much as 100μA. For this reason, you route the colorand hue control voltages, V Aand V B,which you derive from resistive potentiometersP 1and P 2, to the bases of Q 2andQ 5through voltage-follower-connectedop amps IC 3Aand IC 3B, two halves ofan Analog Devices’ (www.analog.com)ADA4091-2. The ADA4091-2 has lowpower consumption and input offsetvoltage of less than 500 μV with a typicalvalue of 80 μV.The ADA4091-2 has a maximuminput bias current of 65 nA, whichcauses a negligible voltage drop on resistorsR BAand R BB. This voltage dropis less than 130 μV. You can achieveeven more accuracy by inserting resistorsof the same value as R BAbetweenthe respective inverting inputs and outputsof both the A and the B followers.This step brings reduction of input-biascurrent-causederrors to one-sixth worstcase—down to 1/600.Potentiometer P 1controls the blueLED’s intensity. At the upper-end position,when the LED is 100% blue, transistorsQ 2and Q 3are off, which turns offQ 4and Q 5. Thus I Oflows solely throughQ 2and Q 6. The red and green LEDs aretherefore off. When P 1’s wiper is at 0V,output current flows exclusively throughparalleled Q 1and Q 3and distributes itselfto Q 4and Q 5, depending on the positionof the wiper of potentiometer P 2.With P 2’s wiper at its upper end, the circuitemits 100% green light. At 0V, theemitted light is fully red. An intermediateposition of the wiper yields a mixtureof red and green. By moving P 1’s wiperfrom the ground position, the circuitproduces a mixture of red, green, andblue.Transistors Q 1, Q 2, and Q 3shouldtightly match. You need a difference inbase-emitter voltages of less than 1.5 mV.The same requirement holds true for theQ 4/Q 5pair. Matching requirements areless stringent for Q 6. You should use abipolar NPN matched-transistor pair forQ 1through Q 6, or at least Q 1through Q 5,whereas Q 6is a single transistor. Eventually,you can use three matched-transistorpairs.EDNSEPTEMBER 9, 2010 | EDN 53


supplychainEDITED BY SUZANNE DEFFREELINKING DESIGN AND RESOURCESHigh-reliability-component market maintains growthThe market for high-reliabilitycomponents wasone of the few growthsectors during the recent economicdownturn. The concentrationof military, medical,space, and aerospace,while not recession-proof,experienced mostly moderategrowth over the past twoyears. Although much of thismarket involves serving legacysystems, new advances in satellitetechnology, medical systems,and down-hole drillingare breathing new life and newproducts into the high-reliability-componentmarket.Much of the high-reliabilitymarket is still anchored in supportingthese legacy systems.“We started off with a focusalmost exclusively on the highreliabilitymarket in 1971,” saysMichael Knight, vice presidentof product and supplier marketingat TTI Inc (www.ttiinc.com). “Now, these componentsmake up about 20% ofour overall business.”Texas Instruments Inc (www.ti.com) started 30 years ago toproduce high-reliability components.“Most of our customerswere in defense andaerospace,” says Brad Little,product-line manager for TI’shigh-reliability team. “In the lastfew years, our customer basehas become much broader.”Newer high-reliability applications,Little says, include oilexploration, down-hole drilling,undersea cabling, and railwaylighting, all of which requireproduct longevity in high-temperatureenvironments. Themedical market also requireshigh-reliability devices for use insterilization equipment or imagingand radiation applications.These applications havehelped the high-reliability marketmove beyond simply providinglegacy components.“There are on average 100 satelliteslaunched each year, andthese are long-term, two- tofi ve-year projects,” says Little.All satellites require replacementover time, and today’seconomy relies strongly onsatellite-data capability.One of the biggest challengeswith the space environmentis the bombardment ofpotentially damaging radiation.“We’re introducing a lot of newradiation-hardened devicesfor space, medical, and harshenvironments,” says Little.Eliminating excess hydrogenin the IC-manufacturing process—acostly method—canimprove radiation tolerance;reduce leakage, thus allowinga device to operate over highertemperatures; and improve reliabilityfrom a 10-year expectedlifetime to a 20-year operationallifetime, according to Little.Hardening components forharsh radiation environmentscan drastically affect the priceof components. “A lot of satellitesgo up every year, so alot of radiation-hardened partsare coming online,” says BillToumey, supplier and programsmanager at the aerospaceand defense group at ArrowElectronics Inc (www.arrow.com). The commercial, highreliability,and radiation-hardenedversions of these parts sellfor $5, $15, and $500, respectively,even though the versionsoffer the same functions.The satellite market is keepinga number of componentmanufacturers interested indeveloping new high-reliabilityproducts. “The satellite businessis going to grow signifi -cantly, so we’re concentratingon building for hard environments,wide temperatureranges, and radiation resistance,”says Ron Demcko,applications-engineering managerat AVX Corp (www.avx.com), a component manufacturer.“We’re concentrating onthis area because we know it’sgoing to be steady,” he adds.“We’re changing the configuration of parts, and we’rechanging material systems toincrease the performance ofour components.”The market for high-reliabilitycomponents is generallyresistant to economic ups anddowns, as opposed to the volatilecomputer and consumerelectronicsmarkets. Whereascomponent prices and productionwent through wild swingsover the past three years,high-reliability componentsdid not. “Military semiconductorsstayed robust and continuedto grow even throughthe recession,” says BryanBrady (photo), vice presidentof defense and aerospace atAvnet Inc (www.avnet.com). Heexplains that funding for legacyprograms still using militaryspecification parts drove muchof that growth and that pricesfor the parts have remainedstable.Finding leaded componentscontinues to be a challengefor those buying highreliabilityparts. Although manycomponent manufacturersare supporting legacy parts inleaded versions, few have createdleaded versions of theirnew components. “By defi nition,high-reliability parts areleaded,” says Brady. “Over thepast 10 to 15 years, the commercialplastics and COTS[commercial-off-the-shelf]product has become dominantin the military.” However, newdesigns are almost all commercialproducts, and many ofthose new parts do not containlead, he notes. “Sometimes,the military is using mitigationstrategies, such as solder dippingand reballing, to guard thecomponent against tin whiskersand other solder-reliabilityconcerns.”A number of componentmanufacturers have createdleaded versions of their newcomponents. “Any part we producecommercially will also beintroduced with tin-lead alternatives,”says Chris Reynolds,technical-marketing managerat AVX.—by Rob Spiegel54 EDN | SEPTEMBER 9, 2010


IntroducingEDN’s NewMicrosite on LEDsYour complete source for up-to-the-minuteinformation on LEDs and their applications. Latest News from the Editorsat EDN, Design News andother Engineering Magazines Blogs, including MargeryConner’s Popular “Power-Source” Blog Resource Center, IncludingWhite Papers, eBooks,Tutorials, Webcastsand More Videos, IncludingDesign Tips, ApplicationDemos, and More Updates on FutureIn-Person Workshopsand Seminars, likethis one! Fun Challenges to Test YourKnowledge of LEDsCheck It Out Today atwww.edn.com/leds


productroundupSWITCHES AND RELAYSLong-distancereflective switch hasadjustable sensitivity↘The OPB725A-18Z reflectiveswitch uses an infrared LED anda logic-output sensor and features areflective-sensing distance as long as 24in., depending on the object. Theswitch ensures detection of an 8×8-in.,90%-diffuse reflective white card at aRocker switches comein illuminated and two-tone versions↘Load switches easepower-design challenges↘The CG and CL series of rocker switches target use in household appliances,instrument panels, industrial controls, computers, and peripherals.The UL- and CSA-approved single-pole switches feature two-tone and multicoloredilluminated actuators. The CG series devices come with two-tone actuatorsin five color combinations or with red-, amber-, or green-illuminated actuators.Each switch has an electrical life of 10,000 make-and-break cycles at full load. TheCL series is available with illuminated actuators in red, amber, and green options.The contact ratings for both series are 16A at 125V ac or 10A at 250V ac, andoperating-temperature range is −20 to +85°C for the CG series and −20 to +80°Cfor the CL series.C&K Components, www.ck-components.comThe FPF1038 and FPF1039load-management switches providea one-chip, monolithic approachto the challenge of reducing inrushcurrent when the switch disconnectsloads with more than 100-μF outputcapacitances. Both switches integratea slew-rate-controlled MOSFETswitch with 21-mΩ typical impedance.Targeting use in embedded-systemapplications, the switches also featurepower consumption of less than 1 μA,a low-discharge path, ESD protection,and GPIO/CMOS-compatible-enablecircuitry. The devices also feature a 1.2to 5.5V input-voltage operating range,which aligns with supply rails for theembedded processors, custom ASICs,and FPGAs these applications use.The optimized slew-rate-controlledturn-on characteristics with recoverytime of 2.7 msec prevent voltage droopon supply rails with bulk capacitancesas large as 200 μF. The switches cost60 cents (1000) each.Fairchild Semiconductor,www.fairchildsemi.comdistance of 18 in. A trimming potentiometer,accessible through a 4.3-mmdiameterhole in the sensor’s body, setsthe sensitivity to maximum using clockwiserotation. The device features an850-nm LED and comes with 48-in.(122-cm) #26 AWG with mountingnuts. Power dissipation is 250 mW,maximum collector voltage is 30V, andcollector dc current is 50 mA. Operatingtemperature ranges from 0 to 50°C, andthe price is $15.44 (5000).Optek Technology,www.optekinc.comTamper-resistantswitches comein illuminated andnonilluminated versions↘Devices in the LP01 series ofshort-body, illuminated andnonilluminated, tamper-resistant pushbuttonswitches have a behind-paneldepth of 14 mm. The cap diameter is13.6 mm, making the overall diameter,including housing, just 20 mm. Theswitches come fully assembled, and theilluminated versions feature red-,green-, or amber-LED illumination anda translucent white cap. With a mini-56 EDN | SEPTEMBER 9, 2010


productmartThis advertising is for new and current products.mum mechanical life of 500,000 operations,these devices target use in highusageapplications. They have ratingsof 3A at 125/250V ac or 3A at 30V dc.Prices start at $3.90 (2500) each for thenonilluminated version and $4.81 forthe illuminated version.NKK Switches,www.nkkswitches.comADVERTISER INDEXAnnouncing the NEW DavisInstruments V76 SourcebookGet the Davis InstrumentsV76 Sourcebook for acomprehensive selectionof test, measurement,control, and calibrationequipment—includingthe latest technologies.Browse 1386 pagespacked with productsfrom Fluke, Extech,Tektronix, and otherbrands. For a FREEcatalog, call 800-358-5525or go to Davis.com/8031.CompanyPageActel Corp 4Advanced Power Electronics 45Agilent Technologies 6, 25Anaren Microwave 28austriamicrosystems AG 51Avago Technologies 19Canon Communications LLCTrade Events 35Centellax 23CST GmbH C-2Davis Instruments 3124_EDN_0910_litrev_davis.indd 571Digi-Key Corp C-1, 3Future Technology Devices 27Intel Corp 13International Rectifier Corp 7Ironwood Electronics 57Jameco Electronics C-3Linear Technology 37, 46Maxim Integrated Products 49Mill-Max Manufacturing Corp 11National Instruments 15Panasonic Industrial 57Pico Electronics Inc 29, 43Signal Consulting Inc 41Silicon Labs 8Stanford Research Systems Inc C-4Tektronix 33Vicor Corp 17Xilinx 10EDN provides this index as an additional service. Thepublisher assumes no liability for errors or omissions.POWERED BY9/1/2010 8:39:41 AMINTRODUCING7 NEW ELECTROMECHANICAL SERIESSeven NEW Electromechanical Series havebeen added to an already outstandingElectromechanical product line. Panasonicprovides some of the smallest, thinnestand most durable switches, encoders andpotentiometers in the industry!Contact us for specification details.Panasonic Electronic Componentswww.panasonic.com/indus/emc/piccomponentsmarketing@us.panasonic.com1-800-344-2112SEPTEMBER 9, 2010 | EDN 57


TALES FROM <strong>THE</strong> CUBEBRIAN FERNANDES • SENIOR DESIGN <strong>ENGINEER</strong>DANIEL VASCONCELLOSThe devil’s in the detailsAbout 10 years ago, while working as a design engineerfor a capacitor-manufacturing company, I wasresponsible for designing new products that werenot in the company’s product line. One day, myboss passed me the specs for a new MPP (metallizedpolypropylene)shunt-capacitor form factor that weneeded to develop. The specs had all the relevant details on size,capacitance, and dissipation and form factor. On the first pass,however, I noticed that the details on the application for thisdevice were missing. That is, we knew what the customer wantedbut not the application—beyond circuit filtering—for the parts.Nevertheless, we established our prioritiesand set out to design, fabricate,test, and qualify a sample set before theend of the week. The customer urgentlyrequired delivery because evaluation waspart of the company’s business process.We also knew that the design team atthe customer’s end would surely knowwhat the application required, and thispart was only a small component in abig system. So we proceeded to fulfill thecustomer requirements. We chose thestandard design parameters and materials.The manufacturing team had tomanufacture the sample set under closesupervision; we then tested and qualifiedthe samples and agreed that they wereready for shipment.Nearly a month passed, and, as oftenhappened, our marketing team couldelicit no feedback on the samples fromthe customer. They were probably collectingdust on someone’s desk untilthey were actually needed. Surprisingly,however, nearly two months after wesent them out, we received eight of the10 samples for field-failure analysis. Thetask of opening the burned and badlyscarred parts and doing a critical failureanalysis on them was daunting.Nonetheless, we proceeded anddetermined that the failure had occurredin a polypropylene puncture that hadnot self-healed and had then gone onto cause the capacitor to burst. Wecould find no signs of any material ormanufacturing defect. Besides, we hadthoroughly conditioned the samplesduring burn-in and had shipped only thegood units. We had also run samples atour in-house lab for 1000-hour testing,and we had not seen any failures.The failures received a lot of attentionfrom our company’s top managementbecause the customer was strategicto our business. It was now inevitablethat our marketing team would have tobite the bullet and push the customer toshare the application’s details with us.A week later, we received an e-mailfrom our company’s field-applicationsteam. The customer was using the capacitorsto filter motor-supply noise fordc-motor applications. It immediatelyhit us: The motor spikes were causingheavy overvoltage impulses across thecapacitor. These spikes would breach thebreakdown-threshold voltage of polypropylenecapacitors, and the capacitors’self-healing would go into overdrive,leading to the capacitors’ bursting.Two simple solutions were available.We could either adequately derate thecapacitors’ voltage or overdesign theparts to meet the customer’s applicationrequirements. Either of these optionswould incur additional cost. Once weexplained the failure and design criteria,the customer opted for us to implementboth approaches.The lessons we learned were, first,consider the application and environmentaldetails of a device early in thedesign process and, second, customersthemselves may fail to impart to you thesmall but essential requirements of theirapplications. Finally, in the corporateworld, speed means money—usually atthe cost of careful completion.EDNBrian Fernandes is a senior design engineerin Singapore.+ www.edn.com/tales58 EDN | SEPTEMBER 9, 2010


What is the missingcomponent?Electronics instructor Ollie Circuits planned to show his class of freshman electrical engineeringstudents how to use a super capacitor as a memory back-up capacitor, but first he wanted to showhow the students could make their own super capacitor and demonstrate its charge/discharge cycleswith the simple circuit above. Most of the components were already on his workbench, the homemadesuper capacitor would be made from several layers of lemon juice-soaked paper towels interleavedbetween several layers of a mystery material to form a multi-layer stack.The stacked layers wouldthen be sandwiched between the two copper-clad PC boards and held together with a rubber band.Ollie rushed to a nearby pet shop. What did he buy? Go to www.Jameco.com/brain7 to see if youare correct and while you are there, sign-up for our free full-color catalog.1-800-831-4242 | www.Jameco.com


2 GHz Clock GeneratorCG635...$2490 (U.S. list)· Square wave clocks from DC to 2.05 GHz· Random jitter


2,000 engineers with III-V semiconductor expertiseFor samples, design kits and whitepapers on these topics, go to:www.avagoresponsecenter.com/441


a solid history of innovation providesadvanced technologies for the future

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!