13.07.2015 Views

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

44.....end if;Pengaksesan alamat baca dilakukan pada proses yang terpisah, yaitu dct2_procdct2_proc:process(clk,rst,en2) beginif(rst='1')thena_out1

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!