13.07.2015 Views

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

61BAB IVHASIL PENELITIAN DAN PEMBAHASAN4.1. Simulasi RancanganPengujian hasil perancangan sistem kompresi citra salah satunya dilakukandengan simulasi menggunakan perangkat lunak Xilinx ISE simulator. Simulasisecara perangkat lunak dilakukan pada satu rangkaian kompresi secara utuh danpada tiap-tiap modul pada rangkaian kompresi. Data input berasal dari citragrayscale dengan ukuran 160X120. Timing diagram yang dihasilkan diamatiuntuk melakukan verifikasi terhadap bentuk gelombang masing-masing isyarat.Data output yang dihasilkan dari simulasi disimpan di dalam suatu file dandibandingkan dengan keluaran komputasi algoritma yang sama pada Matlab.4.1.1. Simulasi Modul DCT-1Da. Analisis perhitungan DCT-1DData yang menjadi input untuk menguji modul ini secara simulasi adalahdata dari citra grayscale berukuran 8x8 yang disampel dari citra asal 160x120.Citra asal ditunjukkan pada Gambar 4.1.Gambar 4.1 Citra asal untuk pengujian sistem kompresiDari citra asal, disampel sejumlah 8 x 8 piksel untuk pengujian DCT-1D.Data input untuk DCT-1D ditampilkan pada Tabel 4.1. Label kn berarti kolom ke-61

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!