??????????
??????????
??????????
Create successful ePaper yourself
Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.
Реклама<br />
Components & Technologies<br />
www.kit-e.ru<br />
№ 1 ’2013 (январь) ISSN 2079-6811<br />
Датчики<br />
Эффективность разработки конечных<br />
автоматов в базисе ПЛИС FPGA<br />
Обзор новинок<br />
ON Semiconductor<br />
Конструктивные преимущества<br />
решения Ml/O Extension
Реклама
Реклама
Главный редактор<br />
Павел Правосудов | pavel@finestreet.ru<br />
Заместитель главного редактора<br />
Ольга Зайцева | olga_z@finestreet.ru<br />
Выпускающий редактор<br />
Ксения Притчина | ksenia.pritchina@finestreet.ru<br />
Редактор<br />
Елена Якименко | elena.yakimenko@finestreet.ru<br />
Наталья Новикова | Natalia.Novikova@finestreet.ru<br />
Редакционная коллегия<br />
Александр Фрунзе, Иосиф Каршенбойм<br />
Светлана Муромцева, Виктор Лиференко<br />
Литературный редактор<br />
Мария Куликова<br />
Дизайн и верстка<br />
Ольга Ворченко | olga@finestreet.ru<br />
Отдел рекламы<br />
Ирина Миленина | irina@finestreet.ru<br />
Отдел подписки<br />
Наталия Виноградова | podpiska@finestreet.ru<br />
Москва<br />
105120, Нижняя Сыромятническая,<br />
д. 5/7, стр. 4, оф. 218<br />
Тел./факс: (495) 987-3720<br />
1 (138) '2013<br />
СанктПетербург<br />
190121, Садовая ул., 122<br />
Тел. (812) 4381538<br />
Факс (812) 3460665<br />
email: compitech@finestreet.ru, web: www.finestreet.ru<br />
Республика Беларусь<br />
«ПремьерЭлектрик»<br />
Минск, ул. Маяковского, 115, 7й этаж<br />
Тел./факс: (10*37517) 2973350, 2973362<br />
email: murom@premierelectric.com<br />
Отдел распространения<br />
СанктПетербург:<br />
Виктор Золотарев | victor.zolotarev@finestreet.ru<br />
Подписные индексы<br />
Каталог агентства «Роспечать» 80743<br />
Каталог «Почта России»<br />
полугодие 60194<br />
год 60195<br />
Агентство KSS 10358<br />
(тел. в Киеве (044) 2706220, 2706222)<br />
Подписано в печать 14.12.12<br />
Тираж 6000 экз.<br />
Свободная цена<br />
Журнал «Компоненты и технологии» зарегистрирован<br />
Министерством Российской Федерации по делам печати,<br />
телерадиовещания и средств массовых коммуникаций.<br />
Свидетельство о регистрации ПИ № ТУ 78-00653<br />
от 22 июля 2010 года.<br />
Учредитель<br />
ООО «Издательство Файнстрит»<br />
Адрес редакции<br />
121351, Москва, ул. Ивана Франко, д. 40, к. 1, стр. 2<br />
Издатель ООО «Издательство Файнстрит»<br />
190121, г. СанктПетербург, наб. р. Фонтанки, д. 193Б<br />
Отпечатано в типографии<br />
«Премиум Пресс» (ООО «Росбалт»),<br />
197374, г. Санкт-Петербург, ул. Оптиков, 4.<br />
Редакция не несет ответственности за информацию,<br />
приведенную в рекламных материалах.<br />
Полное или частичное воспроизведение материалов<br />
допускается с разрешения<br />
ООО «Издательство Файнстрит».<br />
Журнал включен в Российский индекс<br />
научного цитирования (РИНЦ).<br />
На сайте Научной электронной библиотеки eLIBRARY.RU<br />
(www.elibrary.ru) доступны полные тексты статей.<br />
Статьи из номеров журнала текущего года<br />
предоставляются на платной основе.<br />
Содержание<br />
Датчики<br />
1 (138) '2013<br />
Алексей СлАвгородСкий<br />
Датчики:<br />
статистика запросов на eFind.ru 6<br />
Светлана СыСоевА<br />
Мобильные МЭМС-датчики инерции.<br />
Стандарты де-факто и новые шаги<br />
производителей 9<br />
Светлана СыСоевА<br />
Технологии тепловых датчиков Omron:<br />
новый вклад МЭМС<br />
в энергосбережение и автоматизацию 16<br />
Александр БекмАчев<br />
Датчики Epic от Plessey Semiconductors —<br />
прорыв в сенсорных технологиях 21<br />
владимир дьяконов<br />
Цифровые USB-датчики<br />
мощности ВЧ- и СВЧ-сигналов<br />
корпорации Tektronix<br />
и их применение 25<br />
Сергей ШиШкин<br />
Ультразвуковой датчик<br />
жидких сред 32<br />
денис ПетлевАный<br />
Простые и эффективные<br />
способы калибровки датчиков 38<br />
максим круглов,<br />
Александр ЦыПин<br />
Повышение<br />
топливной экономичности<br />
и снижения вредных выбросов<br />
дизельных двигателей<br />
через измерение<br />
давления и температуры 42<br />
роман рыжейкин<br />
Оборудование<br />
для измерения давления<br />
компании Endress+Hauser:<br />
широкие возможности точного выбора 49<br />
Тестирование<br />
карлос Белтран АлмейдА<br />
(Carlos Beltrán AlmeidA),<br />
исабель качо техейрА<br />
(isabel Cacho TeixeirA),<br />
жоао Пауло техейрА<br />
(Joao Paulo TeixeirA),<br />
жоао вАрелА (Joao VArelA),<br />
жозе АугуСто (José AugusTo),<br />
марселино САнтоС<br />
(marcelino sAnTos),<br />
нуно кАрдоСо (nuno CArdoso)<br />
Перевод: галит городеЦкАя<br />
Тестопригодность системы индикации<br />
и запуска верхнего уровня<br />
электромагнитного калориметра<br />
компактного мюонного соленоида 52<br />
Есть мнение<br />
джон о’Бойл (John o’Boyle)<br />
Контрафактные микросхемы:<br />
серьезная проблема,<br />
которую можем решить только мы! 56<br />
Компоненты<br />
кива джуринСкий<br />
Все радиочастотные соединители мира.<br />
Часть 4.<br />
Микроминиатюрные соединители 59<br />
Андрей Строгонов,<br />
Алексей БыСтриЦкий,<br />
Эффективность разработки<br />
конечных автоматов<br />
в базисе ПЛИС FPGA 66<br />
николай БориСенко<br />
Подходы к организации<br />
унифицированного ряда<br />
синтезируемых моделей буферов FIFO,<br />
реализуемых в различных семействах<br />
программируемой логики.<br />
Часть 2 74<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Реклама
Editorinchief<br />
Pavel Pravosudov | pavel@finestreet.ru<br />
Deputy of editorinchief<br />
Olga Zaytseva | olga_z@finestreet.ru<br />
Managing editor<br />
Ksenia Pritchina | ksenia.pritchina@finestreet.ru<br />
Editor<br />
Elena Yakimenko | elena.yakimenko@finestreet.ru<br />
Natalia Novikova | Natalia.Novikova@finestreet.ru<br />
Editorial staff<br />
Alexander Frunze<br />
Svetlana Muromtseva<br />
Victor Liferenko<br />
Joseph Karshenbojm<br />
Literary editor<br />
Maria Kulikova<br />
Design and layout<br />
Olga Vorchenko | olga@finestreet.ru<br />
Advertising department<br />
Irina Milenina | irina@finestreet.ru<br />
Subscription department<br />
Natalia Vinogradova | podpiska@finestreet.ru<br />
Moscow<br />
Of. 218, 1 korp., 4, 5/7<br />
Nizhnjaja Syromjatnicheskaja,<br />
105120, Russia<br />
Tel. +7 (495) 987-3720<br />
St. Petersburg<br />
190121, Russia, Sadovaya str., 122<br />
Tel. (812) 4381538<br />
Fax (812) 3460665<br />
email: compitech@finestreet.ru<br />
web: www.finestreet.ru<br />
Belarus Republic<br />
Minsk, Premier Electric<br />
Tel./fax: (10*37517) 2973350,<br />
2973362<br />
email: murom@premierelectric.com<br />
Circulation department<br />
St. Petersburg:<br />
Victor Zolotarev | victor.zolotarev@finestreet.ru<br />
Subscription index<br />
for Components & Technologies<br />
Rospetchat Agency catalogue<br />
subscription index 80743<br />
KSS agency<br />
Tel. in Kiev: 0442706220, 2706222<br />
subscription index 10358<br />
1 (138) '2013<br />
Содержание<br />
валерий Зотов<br />
Аппаратные средства<br />
разработки и отладки встраиваемых<br />
микропроцессорных систем,<br />
проектируемых<br />
на основе расширяемых<br />
вычислительных платформ<br />
фирмы Xilinx<br />
семейства Zynq-7000 AP SoC 80<br />
Эрлендур криСтьянССон<br />
(erlendur KrisTJAnsson)<br />
Совместимость<br />
32-разрядных микроконтроллеров 90<br />
вячеслав гАвриков<br />
Обзор новинок<br />
ON Semiconductor 92<br />
Встраиваемые системы<br />
чечия хСу (Chechia Hsu),<br />
Санди чен (sandy CHen)<br />
Конструктивные преимущества<br />
решения Ml/O Extension 100<br />
Проектирование<br />
1 (138) '2013<br />
виктор лиференко,<br />
руслан ПроСкуряков,<br />
Александра коПтевА<br />
Имитационная модель<br />
первичного преобразователя<br />
радиоизотопной<br />
измерительной системы<br />
нефтяных потоков 106<br />
Анатолий дятлов,<br />
Александр ШоСтАк<br />
Моделирование<br />
автокорреляционного устройства<br />
тактовой синхронизации<br />
фазоманипулированных сигналов 110<br />
Новые технологии<br />
евгений Силкин<br />
Синтез озона<br />
в электрических разрядах<br />
и повышение его эффективности.<br />
Часть 2 116<br />
Святослав Юрьев<br />
Новое в технологиях<br />
электрических источников питания 122<br />
вадим ШПенСт<br />
Методы распараллеливания<br />
вычислительного алгоритма<br />
формирования изображения<br />
в радиолокационных станциях<br />
с синтезированной апертурой 127<br />
Технологии<br />
илья николАев<br />
Имитаторы сигналов GPS/ГЛОНАСС 136<br />
владимир дьяконов<br />
Многофункциональные<br />
бюджетные генераторы<br />
«АКТАКОМ» серии AWG-41хх 139<br />
джонни хЭнкок<br />
(Johnnie HAnCoCK)<br />
Использование функции<br />
запуска InfiniiScan Zone Trigger<br />
для выделения проблемных сигналов 150<br />
джонатан хАрриС<br />
(Jonathan HArris)<br />
Три ключевые метрики качества<br />
физического уровня<br />
для передатчика стандарта JESD204B 153<br />
виктор новоСёлов<br />
ERSA Vario:<br />
новый флагман поднимает паруса 158<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Реклама
6<br />
датчики<br />
Алексей СЛАВГОРОДСКИй,<br />
к. ф.-м. н.<br />
alexey@efind.ru<br />
Количество запросов. Популярные бренды<br />
На рисунке показана динамика ежемесячного числа запросов, посвященных<br />
датчикам. Видно, что за рассматриваемые 2,5 года число<br />
запросов существенно не изменилось. Есть только сезонные колебания<br />
с новогодним и летним спадами.<br />
В таблице 1 приведены производители датчиков, чью продукцию<br />
чаще всего запрашивают на eFind.ru. Данные приводятся по полугодиям.<br />
Указывается доля конкретного производителя среди всех запросов,<br />
посвященных датчикам. Производители ранжированы по их доле в первом<br />
полугодии 2012 года. Видно, что существенных изменений также<br />
не произошло. На протяжении всего рассматриваемого периода лидерами<br />
оставались Honeywell, Analog Devices и Maxim Integrated Products.<br />
Рисунок. Динамика ежемесячного числа поисковых запросов, посвященных датчикам<br />
Таблица 1. Самые запрашиваемые на eFind.ru производители датчиков<br />
Производители датчиков<br />
I полугодие<br />
2010 г.<br />
II полугодие<br />
2010 г.<br />
I полугодие<br />
2011 г.<br />
Датчики:<br />
статистика запросов на eFind.ru<br />
Поисковые запросы, задаваемые пользователями eFind.ru, представляют<br />
собой партномера различных электронных компонентов. Анализ этих<br />
партномеров позволяет делать выводы о спросе на тот или иной тип компонентов.<br />
В статье приводятся данные, касающиеся интереса пользователей eFind.ru<br />
к датчикам. В качестве основы для анализа мы взяли статистику поисковых<br />
запросов за период с января 2010 по июнь 2012 года.<br />
II полугодие<br />
2011 г.<br />
I полугодие<br />
2012 г.<br />
Honeywell 12,4% 11,5% 11,5% 12,1% 13,1%<br />
Analog Devices 10,7% 10,8% 11,8% 11,3% 10,7%<br />
Maxim Integrated Products 9,5% 9,3% 9,4% 9,1% 9,1%<br />
Freescale Semiconductor 7,2% 6,8% 8,1% 8,1% 7,8%<br />
STMicroelectronics 6,3% 7,1% 6,9% 6,4% 5,6%<br />
Texas Instruments 4,3% 4,7% 4,6% 4,8% 5%<br />
Vishay 3,7% 3,6% 4,3% 3,4% 4%<br />
Allegro MicroSystems 4% 4,8% 3,4% 3% 2,9%<br />
Epcos 2,7% 2,2% 2,4% 2,3% 2,2%<br />
Murata 1,5% 1,8% 1,9% 1,9% 1,8%<br />
Запрашиваемость различных типов датчиков<br />
Бóльшую часть датчиков удалось классифицировать по типу. Всего<br />
были выделены 18 типов датчиков, данные о запрашиваемости которых<br />
приведены в таблице 2. Указывается доля конкретного типа<br />
датчиков среди всех запросов, посвященных таким устройствам.<br />
Видно, что наиболее часто запрашивают датчики температуры, магнитные<br />
датчики (в том числе датчики Холла) и датчики ускорения.<br />
Из изменений можно отметить тенденцию к увеличению доли запросов<br />
по датчикам внешнего освещения и снижение доли запросов<br />
по гироскопам.<br />
Таблица 2. Запрашиваемость различных типов датчиков<br />
Типы датчиков<br />
I полугодие<br />
2010 г.<br />
II полугодие<br />
2010 г.<br />
I полугодие<br />
2011 г.<br />
II полугодие<br />
2011 г.<br />
I полугодие<br />
2012 г.<br />
Датчики температуры 28,7% 28,2% 26,9% 28% 28,8%<br />
Магнитные датчики 12,4% 12,3% 11,4% 11,8% 12%<br />
Датчики ускорения 11,5% 11,2% 12,8% 11,5% 10,4%<br />
Датчики давления 8,2% 7,7% 8,0% 8,7% 8,7%<br />
Датчики тока 6,6% 7,9% 6,3% 5,6% 5,7%<br />
Фотопрерыватели 3,6% 3,2% 3,3% 3,5% 3,5%<br />
Датчики движения<br />
и позиционирования<br />
2,4% 2,1% 2,2% 2,9% 2,5%<br />
Датчики приближения 3,1% 3,1% 2,5% 2,6% 2,9%<br />
Фотодиоды 2,4% 2,1% 2,3% 2,2% 2,7%<br />
Датчики изображения и цвета 2% 1,8% 2,4% 2% 2,2%<br />
Фототранзисторы 2,2% 2% 2,1% 1,8% 2,1%<br />
Датчики внешнего освещения 1,2% 1,3% 1,6% 1,7% 1,8%<br />
Гироскопы 2,7% 2,8% 2,8% 2,4% 1,6%<br />
Датчики влажности 1,4% 1% 1,1% 1,1% 1,3%<br />
Датчики уровня 0,8% 0,8% 0,6% 0,9% 1%<br />
Датчики потока 0,7% 0,6% 0,7% 1% 0,9%<br />
Аудиодатчики 0,7% 0,6% 0,6% 0,5% 0,6%<br />
Датчики наклона 0,4% 0,2% 0,2% 0,2% 0,2%<br />
Таблица 3. Самые популярные запросы, посвященные датчикам,<br />
и их количество за полугодие<br />
I полугодие<br />
2010 г.<br />
II полугодие<br />
2010 г.<br />
I полугодие<br />
2011 г.<br />
II полугодие<br />
2011 г.<br />
I полугодие<br />
2012 г.<br />
ds18s20 458 ds18b20 471 ds18b20 530 ds18b20 534 ds18b20 503<br />
ds18b20 419 ds18s20 414 ds18s20 324 ds18s20 302 ds18s20 251<br />
mpx4115 188 l3g4200d 224 l3g4200d 163 mlx90614 184 mpx4115 179<br />
ds1821 152 ds1821 172 mpx4115 152 mpx4115 154 ds1821 174<br />
mma7260q 141 acs712elctr-05b-t 165 lm335 132 ds1821 144 mlx90614 132<br />
acs712elctr-20a-t 124 lm335 159 adxl345 125 l3g4200d 140 lm335 130<br />
lsm303dlh 112 lsm303dlh 145 acs712elctr-05b-t 115 lm335 117 mpx4100a 112<br />
l-32p3c 111 mpx4115 132 lsm303dlh 112 mpx4100a 100 lis3dh 104<br />
acs712elctr-05b-t 108 mpx4100a 129 ds1821 110 sht21 96 bmp085 103<br />
adxl345 92 acs712elctr-20a-t 127 hmc5843 105 mma7260q 92 l3g4200d 92<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Самые популярные запросы<br />
В таблице 3 приведены самые популярные<br />
запросы, посвященные датчикам. Интересно<br />
отметить, что в этой таблице самый запрашиваемый<br />
производитель Honeywell представлен<br />
только одной позицией (hmc5843).<br />
То есть лидирующие позиции Honeywell связаны<br />
с широким ассортиментом счетчиков.<br />
В то же время практически треть всех запросов<br />
счетчиков Maxim Integrated Products приходится<br />
на запросы по трем датчикам температуры:<br />
ds18b20, ds18s20 и ds1821. Эти три<br />
компонента, как и датчик давления mpx4115<br />
(Freescale Semiconductor), находятся среди самых<br />
популярных запросов на протяжении<br />
всего рассматриваемого периода.<br />
Текущая ситуация<br />
В таблице 4 приведены самые запрашиваемые<br />
производители относительно каждого<br />
из наиболее запрашиваемых типов датчиков.<br />
Данные приводятся за 1-е полугодие<br />
2012 года. Для каждого производителя также<br />
указаны несколько популярных запросов, относящихся<br />
к этому типу компонентов.<br />
Хочется отметить запросы, относящиеся<br />
к магнитным датчикам производства NVE.<br />
Номенклатура этого производителя похожа<br />
на номенклатуру Analog Devices, поэтому нет<br />
никакой гарантии, что пользователи, делающие<br />
запрос ad420, имеют в виду партномер<br />
AD420-00 (NVE), а не AD420AR (Analog<br />
Devices), например, но это неизбежная погрешность<br />
проводимого нами анализа.<br />
Примечание. Анализ поисковых запросов<br />
проводился на основе базы партномеров<br />
датчиков, состоящей из более чем 60 000 наименований<br />
от более чем 50 производителей<br />
датчиков. n<br />
новости события<br />
датчики<br />
Таблица 4. Производители наиболее запрашиваемых<br />
типов датчиков (данные за I полугодие 2012 г.)<br />
Тип<br />
датчика<br />
Датчики температуры<br />
Магнитные датчики<br />
Датчики ускорения<br />
Датчики давления<br />
Датчики тока<br />
Производители<br />
Maxim Integrated<br />
Products<br />
Доля производителя,<br />
%<br />
25,5<br />
Analog Devices 15,4<br />
Texas Instruments 13,3<br />
Honeywell 44,5<br />
Allegro<br />
MicroSystems<br />
17,1<br />
NVE 11,9<br />
Analog Devices 40,3<br />
STMicroelectronics 35<br />
Freescale<br />
Semiconductor<br />
Freescale<br />
Semiconductor<br />
18,7<br />
64,5<br />
Honeywell 21,6<br />
Allegro<br />
MicroSystems<br />
40,1<br />
LEM 25,3<br />
Honeywell 21,6<br />
С 24 по 26 октября 2012 года прошла XII Международная специализированная<br />
выставка «RADEL-Expo: радиоэлектроника и приборостроение», а также<br />
выставки «Автоматизация» и «Промышленная электротехника», крупнейшие<br />
отраслевые мероприятия в Северо-Западном регионе России.<br />
Выставка «РадЭл 2012» была организована компанией FarExpo.<br />
Экспоненты единодушно отметили большое количество профессиональных<br />
посетителей (95%). За три дня работы выставку посетили более 5000 тысяч<br />
специалистов.<br />
В выставке и деловой программе приняли участие 187 компаний из России,<br />
Германии, Дании, Израиля, КНР, Польши, Белоруссии, Тайваня, Украины<br />
и Чешской Республики.<br />
Среди участников лидеры рынка — «УниверсалПрибор», «ЭЛТЕХ»,<br />
«ПРИСТ», «ЛионТех», «Платан» и другие российские предприятия — производители<br />
электронных компонентов.<br />
Среди дебютантов выставки: «НКТ», «Файн Лайн», «Аверон», «Ренишоу»,<br />
«Радиотех-Трейд», «Нанософт», «ЭКМ», «Бинар-КОМ», «Микран»,<br />
«ОНИКС», «Коронит», «Руичи», «Плутон», «Квазар-ИС». Впервые был представлен<br />
коллективный стенд компаний Тайваня.<br />
Площадь экспозиции выставки составила 2161 кв. м.<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
Запросы<br />
ds18b20<br />
ds18s20<br />
ds1821<br />
tmp36<br />
tmp36gt9<br />
adt7310<br />
lm335<br />
lm35dz<br />
lm335z<br />
ss495a<br />
ss495<br />
hmc6352<br />
acs712elctr-05b-t<br />
acs712elctr-20a-t<br />
acs758lcb-050b-pff-t<br />
ad421<br />
ad420<br />
ad5060<br />
adxl345<br />
adxl203<br />
adxl335<br />
lis3dh<br />
l3g4200d<br />
lis302dl<br />
mma7260q<br />
mma7260<br />
mma7260qt<br />
mpx4115<br />
mpx4100a<br />
mpx5010<br />
duxl01d<br />
26pc01smt<br />
mlh300psb02a<br />
acs712elctr-05b-t<br />
acs712elctr-20a-t<br />
acs712elctr-30a-t<br />
lv25<br />
lv25-p<br />
lts25-np<br />
cslw6b200m<br />
Cпециализированная промышленная выставка RADEL 2012<br />
csnx25<br />
csnr161<br />
7<br />
новости измерительная аппаратура<br />
Цифровой мультиметр<br />
Fluke 28 II Ex<br />
Корпорация Fluke представляет Fluke 28 II<br />
Ex — искрозащищенный цифровой мультиметр,<br />
с которым можно работать во взрывоопасных<br />
зонах классов 1 и 2 (IIC, газ) и классов<br />
21 и 22 (IIIC, пыль). Прибор предназначен<br />
для использования на предприятиях нефтяной<br />
и химической промышленности и фармацевтической<br />
отрасли.<br />
Fluke 28 II Ex обеспечивает выполнение<br />
всех необходимых тестов и работ по поиску<br />
неисправностей.<br />
Мультиметр должен выдерживать экстремальные<br />
условия. Поэтому он:<br />
• полностью герметичен;<br />
• водонепроницаем (соответствие IEC60529);<br />
• пыленепроницаем (класс IP67).<br />
Прибор также устойчив к падениям (протестирован<br />
на падения с высоты до 3 м).<br />
www.fluke.ru<br />
В рамках деловой программы прошли следующие семинары:<br />
• «АЛЕКСАНДЕР ЭЛЕКТРИК источники электропитания». Состояние и перспективы<br />
разработки и производства источников вторичного электропитания<br />
в ООО «АЛЕКСАНДЕР ЭЛЕКТРИК источники электропитания».<br />
• Tektronix (НПО «Серния»). Обзор оборудования Keithley.<br />
• «УниверсалПрибор». Автоматическая и оптическая инспекция. Паяльные<br />
материалы для инновационных производств радиоэлектроники.<br />
• TAG Technologies. Тестирование и диагностика смонтированных цифровых<br />
изделий с помощью технологии периферийного сканирования JTAG.<br />
• ПКК «Миландр». Современные разработки в области ЭКБ компании ЗАО<br />
«ПКК Миландр» для аппаратуры специального и двойного назначения.<br />
• НКТ. Высококачественные пассивные радиочастотные компоненты<br />
HUBER+SUHNER AG и Procom A/S.<br />
Проведение выставки совпало с юбилеем московской выставочной компании<br />
«ЧипЭкспо», которая отметила свое 10-летие. В праздновании приняли<br />
участие генеральные директора более 100 фирм.<br />
В 2013 году выставка «Радиоэлектроника и приборостроение» пройдет<br />
с 27 по 29 ноября в Петербургском СКК.<br />
www.farexpo.ru/radel
8<br />
новости события<br />
«ВакуумТехЭкспо 2013» — новая динамика развития<br />
С 16 по 18 апреля 2013 года в КВЦ «Сокольники»<br />
состоится VIII международная выставка вакуумной<br />
техники, материалов и технологий, где свои инновационные<br />
разработки в области вакуумного оборудования<br />
представят ведущие компании отрасли.<br />
Организатор выставки — Международная<br />
выставочная компания (MVK), в составе группы<br />
компаний ITE. Соорганизатор — НИИ ВТ<br />
им. С. А. Векшинского.<br />
Генеральным спонсором традиционно выступит<br />
компания «ИНТЕК Аналитика».<br />
«ВакуумТехЭкспо» — выставка, не имеющая<br />
аналогов в России и СНГ, она полностью посвящена<br />
вакуумному оборудованию и технологиям.<br />
Основные цели выставки — демонстрация уникальных<br />
достижений в области вакуумного оборудования,<br />
машин, техники, материалов и технологий и их<br />
продвижение на рынке; установление деловых контактов;<br />
привлечение инвестиций и оказание содействия<br />
в формировании и реализации национальных<br />
и региональных программ в вакуумной отрасли.<br />
Посетители выставки «ВакуумТехЭкспо» — это<br />
представители российских и зарубежных компаний<br />
из десяти стран и 39 регионов РФ.<br />
«ВакуумТехЭкспо» отличает исключительно качественный<br />
состав посетителей. Что же касается<br />
их количества, то в 2012 году оно выросло на 50%<br />
относительно 2011 года.<br />
В выставке 2013 года традиционно примут<br />
участие такие всемирно известные компании,<br />
как «ИНТЕК Аналитика», MSH Techno, БЛМ<br />
«Синержи», «Криосистемы», GNB Corporation,<br />
Busch Vacuum Pumps and Systems, CCS Services,<br />
Pfeiffer Vacuum, Solberg International (UK),<br />
Oerlikon Leybold Vacuum, VACOM, «ИЗОВАК»,<br />
«Миллаб», «Сигма плюс», «ТАКО Лайн», «ЭС ЭМ<br />
СИ Пневматика», «Ферри Ватт» и многие другие.<br />
Основные разделы, представленные на выставке:<br />
• Средства получения и измерения вакуума.<br />
• Криогенная техника.<br />
• Вакуумная техника и технологии в металлургии,<br />
электротехнике, нефтехимии и химическом<br />
машиностроении, нанотехнологиях и наноматериалах.<br />
• Комплектующие вакуумной техники.<br />
• Течеискатели и аналитическое оборудование,<br />
работающее в вакуумной среде.<br />
• Сублимационное оборудование.<br />
• Технологии и оборудование для нанесения<br />
функциональных покрытий.<br />
Свыше 52% посетителей «ВакуумТехЭкспо<br />
2012» проявили интерес к оборудованию и технологиям<br />
для напыления, в связи с чем в 2013 году<br />
в рамках выставки «ВакуумТехЭкспо» впервые<br />
будет организована специальная экспозиция<br />
«Оборудование и технологии для нанесения<br />
функциональных покрытий», проходящая при<br />
поддержке Fraunhofer Institute for Electron Beam<br />
and Plasma Technology FEP (ФРГ). В рамках этого<br />
раздела выставки состоится специализированный<br />
семинар «Функциональные покрытия для оптики,<br />
сенсорики и электроники».<br />
Тематика раздела:<br />
• Оборудование для нанесения функциональных<br />
покрытий.<br />
• PVD-методы:<br />
– метод испарения,<br />
– метод распыления,<br />
– метод имплантации ионов.<br />
• Готовая продукция с нанесением тонких пленок.<br />
• CVD-методы:<br />
– при атмосферном или пониженном давлении,<br />
а также в вакууме,<br />
– плазменные методы,<br />
– атомно-слоевый CVD,<br />
– CVD сгорания,<br />
– металлоорганический CVD.<br />
Целевая аудитория нового раздела: производители<br />
электроники, микроэлектроники, сенсорики,<br />
оптики промышленного и бытового назначения,<br />
инструмента; работники предприятий оборонной<br />
и полупроводниковой промышленности и сотрудники<br />
НИИ.<br />
Узнать подробнее о выставке и об участии в ней<br />
можно на сайте www.vacuumtechexpo.com или<br />
в дирекции.<br />
Директор выставки: Дмитрий Жуков.<br />
Менеджер выставки: Елена Кривицкая.<br />
Тел./факс: (495) 935-81-00, 935-81-01.<br />
e-mail: zhukov@mvk.ru, krivitskaya@mvk.ru.<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013<br />
Реклама
окончание. начало в № 12`2012<br />
Светлана СыСОЕВА<br />
Dr.Gold@sysoeva.com<br />
9-осевые комбидатчики.<br />
Все функции в корпусах<br />
с фут-принтом до 13,5 мм 2<br />
Лидирующие производители — STMicroelectronics,<br />
InvenSense, Bosch — представили<br />
свои предложения 9-осевых интегральных<br />
компонентов. Некоторые другие фирмы, например<br />
Murata (в состав которой вошла ранее<br />
известная компания VTI) и Kionix, располагают<br />
технологиями 9-осевого слияния сенсорных<br />
данных как программного решения, допускающего<br />
гибкий подбор дискретных, а также мультиосевых<br />
инерциальных компонентов.<br />
Лучшим в классе длительное время можно<br />
было считать мобильное 9-осевое устройство<br />
для слежения за данными о движении<br />
MPU-9150 (рис. 6), разработанное InvenSense<br />
в партнерстве с Asahi Kasei Microdevices<br />
Corporation (AKM) и представленное<br />
в 2012 году. InvenSense создала новое процессорное<br />
устройство на основе разработанного<br />
ранее MPU-6050, это первый в мире однокристальный<br />
гироскоп, объединенный с акселерометром.<br />
К процессорному блоку датчиков<br />
InvenSense добавила компас AK8975.<br />
Этот компас, присоединенный проводами<br />
к верхней части 6-осевого блока инерциальных<br />
измерений, интегрирован в тот же самый<br />
корпус.<br />
а<br />
Результатом стал модуль MPU-9150 в интегрированном<br />
корпусе размерами 441 мм,<br />
который включает 3-осевой акселерометр, гироскоп<br />
и компас от AKM, а также аппаратнопрограммные<br />
средства для осуществления<br />
слияния сенсорных данных.<br />
В настоящее время самым малым является<br />
9-осевой инерциальный компонент, недавно<br />
представленный Bosch Sensortec. Это также<br />
первый 9-осевой датчик, объединяющий<br />
в одном корпусе все технологии (акселерометр,<br />
гироскоп и геомагнитный датчик, аппаратное<br />
и программное обеспечение) от одного<br />
продавца.<br />
Bosch Bmx055 — самый малый<br />
9‑осевой датчик ориентации<br />
Bosch Sensortec сейчас оценивает абсолютный<br />
датчик ориентации BMX055, представляющий<br />
собой первый 9-осевой датчик<br />
на рынке со всеми компонентами — акселерометром,<br />
гироскопом, геомагнитным датчиком<br />
— в одном 20-выводном LGA-корпусе<br />
размерами 34,50,95 мм. Датчик отличается<br />
самым малым фут-принтом для устройств<br />
аналогичного класса и высокими характеристиками.<br />
BMX055 (рис. 7) содержит три высокоточных<br />
трехосевых датчика: 12-битный<br />
малошумящий акселерометр, гироскоп<br />
датчики<br />
Мобильные<br />
МЭМС-датчики инерции.<br />
Стандарты де-факто<br />
и новые шаги производителей<br />
Рис. 6. 9-осевой сенсорный модуль InvenSense MPU-9150:<br />
а) 3D-модель; б) системная диаграмма Рис. 7. Абсолютный датчик ориентации Bosch BMX055<br />
б<br />
с разрешением в 16 бит и программируемыми<br />
измерительными диапазонами, а также<br />
малошумящий широкодиапазонный геомагнитный<br />
датчик. Датчики оптимизированы<br />
для достижения лучшего соотношения<br />
характеристик и потребляемой мощности.<br />
Включающий все эти устройства абсолютный<br />
датчик ориентации BMX055 обеспечивает<br />
точные данные об ускорении, угловой скорости<br />
и данные геомагнитных измерений.<br />
BMX055 поддерживается ПО Bosch Sensortec<br />
для сенсорного слияния FusionLib, которое<br />
объединяет выходы сенсорных данных<br />
и генерирует вычисляемые виртуальные<br />
сенсорные выходы — кватернионы. Для разработчиков<br />
создана возможность быстро<br />
и с небольшими усилиями создавать передовые<br />
9-осевые решения для применений в области<br />
дополненной реальности, человекомашинного<br />
интерфейса (HMI), сервисов,<br />
основанных на местоположении (LBS),<br />
а также в системах навигации в помещениях,<br />
смартфонов и HMI для дистанционного<br />
контроля.<br />
BMX055 характеризуется тем же самым малым<br />
фут-принтом, что и 6-осевой блок инерциальных<br />
измерений IMU Bosch Sensortec<br />
BMI055, и программно совместим с ним.<br />
Поэтому новое устройство позиционируется<br />
производителем как интеллектуальное про-<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
9
10<br />
датчики<br />
Рис. 8. Развитие 9-осевой мультисенсорной интеграции ST:<br />
а) концепция 9-осевой аппаратно-программной интеграции, представленная в 2011 году;<br />
б) 9-осевые SiP-модули LSM333D STMicroelectronics с высокими рабочими характеристиками и технологиями слияния данных,<br />
полностью интегрированные в одном корпусе с малым форм-фактором;<br />
в) система на плате iNEMO-M1 — миниатюрный 9-осевой инерциальный модуль с 32-битным обрабатывающим процессором и ПО iNEMO<br />
должение существующих решений, предлагающее<br />
путь сглаженной миграции для пользователей<br />
BMI055 к более высокой функциональности.<br />
Все три датчика, интегрированные<br />
в BMX055, имеют лидирующие на рынке характеристики.<br />
Блок акселерометра BMX055<br />
имеет диапазон от ±2g до ±16g с чувствительностью<br />
от 1024 до 128 LSB/g. Характеристики<br />
шумовой плотности превосходят<br />
150 мкg/√Гц. Гироскоп отличается широким<br />
измерительным диапазоном с угловой скоростью<br />
от ±125 до ±2000 °/с. Одновременно<br />
устройство характеризуется стабильностью<br />
нулевого смещения (выхода при нулевой<br />
угловой скорости). Геомагнитный датчик<br />
имеет широкий измерительный диапазон:<br />
±1300 мкТл по оси X и Y и ±2500 мкТл<br />
по оси Z. Курсовая точность составляет 2,5°.<br />
Bosch Sensortec в настоящее время предлагает<br />
образцы BMX055 своим партнерам<br />
по разработке для оценивания.<br />
б<br />
9‑осевое слияние сенсорных данных<br />
от Kionix — решение на основе По<br />
для дискретных компонентов<br />
На мероприятии CES 2012 года компания<br />
Kionix представила свое решение на основе масштабируемого<br />
ПО с сенсорным слиянием данных<br />
акселерометра и магнитометра (AM), акселерометра<br />
и гироскопа (AG) и акселерометра,<br />
гироскопа и магнитометра (AMG). ПО доступно<br />
вместе с совместимыми датчиками этой компании<br />
и поддерживает датчики и ПО сторонних<br />
производителей. Целевые рынки — смартфоны,<br />
планшеты и другие мобильные устройства, поддерживающие<br />
ОС Android и iCore Windows 8<br />
и выполняющие обнаружение жестов, мониторинг<br />
активности или другие функции.<br />
Kionix уже получила сертификат Windows 8<br />
для своего 9-осевого решения для сенсорного<br />
слияния. Такой же сертификат получили<br />
фирмы ST и Freescale, разработавшие решения<br />
для детектирования еще большего числа<br />
входных параметров, чем 9 и даже 10.<br />
в<br />
а<br />
sT: 9‑осевые модули и дальнейшее<br />
расширение мультисенсорной<br />
платформы inemo<br />
Например, ST имеет решение на основе<br />
сенсорного узла, которое выбрали различные<br />
OEM и ODM из числа главных мировых производителей<br />
устройств на основе Windows 8.<br />
Сенсорное решение ST уже готово к продаже<br />
в высоких объемах при выпуске Windows 8,<br />
а его «сердцем» является интегрированный<br />
9-осевой мультисенсорный датчик инерции<br />
LSM333D (рис. 8а) или дискретные компоненты,<br />
дающие возможность детектирования<br />
до 10 степеней свободы и до 12 различных<br />
параметров.<br />
LSM333D — это инерциальный модуль<br />
с числом степеней свободы 9 DOF, объединяющий<br />
3D-акселерометр, 3D-гироскоп и 3Dмагнитометр<br />
в SiP-корпусе.<br />
LSM333D измеряет линейное ускорение<br />
в полных диапазонах ±2g/±4g/±8g/±16g, магнитное<br />
поле — ±0,2/±0,4/±0,8/±0,12 мТл<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
а б<br />
Рис. 9. Высокоточный датчик давления от Omron для мобильных применений:<br />
а) иллюстрация CMOS MEMS-интеграции;<br />
б) пьезорезистивная МЭМС-структура датчика (приведено поперечное сечение)<br />
и угловую скорость в диапазонах 250/±500/±2000 dps, избираемых пользователем.<br />
Выходные интерфейсы устройства — I 2 C и SPI.<br />
LSM333D — это самый высокоинтегрированный модуль в семействе<br />
iNEMO — модулей, способных системно интегрироваться<br />
с ПО и процессором верхнего уровня.<br />
ST iNEMO — это интеллектуальная мультисенсорная технология,<br />
или платформа на основе ПО для сенсорного слияния с применением<br />
фильтрации Калмана множественных входов датчиков, включая акселерометры,<br />
компасы, гироскопы и датчики давления.<br />
ST ранее представляла свое первое высокоинтегрированное решение<br />
iNEMO-M1 (рис. 8в) — систему на плате (System-on-Board, SoB),<br />
где интегрировано несколько датчиков ST (6-осевой геомагнитный модуль<br />
и 3-осевой гироскоп) с мощным вычислительным ядром — ARM<br />
Cortex M3 с 32-битным MCU, работающим под управлением ПО.<br />
ПO iNEMO еще с 2011 года допускает вычисление ориентации<br />
и сенсорное слияние с числом степеней свободы до 10, а в 2012 году<br />
возможности мультисенсорной интеграции iNEMO еще более повысились<br />
и позволяют объединять в вычислениях уже до 12 входов<br />
от различных датчиков согласно требованиям Windows 8.<br />
Но перед тем как рассмотреть эти решения, остановимся на новых<br />
предложениях датчиков инерции для детектирования ориентации<br />
с числом степеней свободы 10 и 10-осевого слияния сенсорных<br />
данных. Эти предложения включают дискретные датчики давления,<br />
точность которых неуклонно повышается, и первый 10-осевой SiPмодуль,<br />
аппаратно-программно интегрированный с электроникой<br />
обработки сигнала high-end уровня исполнения.<br />
Компоненты для 10-осевого детектирования.<br />
Повышение характеристик и уплотнение корпусов<br />
высокоточный датчик давления omron —<br />
для детектирования десятой степени свободы<br />
Компания Omron анонсировала выпуск высокоточного датчика<br />
абсолютного давления, способного детектировать вариации по высоте<br />
до 50 см и предназначенного для встраивания в мобильные<br />
устройства и другое компактное оборудование. Датчик отличается<br />
эффективностью потребления мощности, что важно для смартфонов<br />
и других батарейных устройств.<br />
Установка датчиков давления в таких устройствах позволяет принять<br />
в расчет высоту. В смартфонах детектирование высоты используется<br />
для пешеходной навигации по этажам. В мониторах активности,<br />
представляющих собой педометры, которые не только измеряют<br />
число шагов, но и интенсивность движения, инсталляция датчиков<br />
позволит учитывать изменение высоты. Инсталляция датчиков в системах<br />
автомобильной навигации даст возможность различать этажи<br />
в местах многоэтажной парковки или подъем при движении по равнинной<br />
дороге. Датчики можно применять также в системах безопасности<br />
зданий: они могут определить движение воздуха при открытии<br />
двери или окна.<br />
датчики<br />
Благодаря новому методу детектирования флуктуаций воздушного<br />
давления, точность датчиков Omron была повышена (рис. 9).<br />
Интеграция CMOS-схем и МЭМС-датчиков позволила Omron создать<br />
датчик абсолютного давления малых размеров (3,83,80,92 мм).<br />
В планах компании также выпуск интегрированных CMOS-MEMS<br />
пластин для более плотной интеграции других датчиков.<br />
Ключевые признаки датчика давления включают:<br />
• Субминиатюрные размеры: 3,83,80,92 мм.<br />
• Размер кристалла: 1,91,60,5 мм.<br />
• Диапазон измерения: 300–1100 гПа (1 гПа = 100 Па).<br />
• Высокая точность измерения: 6 Па, что соответствует 50 см высоты.<br />
• Малый ток потребления: от 0,5 до 9 мкА в зависимости от режима<br />
работы.<br />
• Встроенный датчик температуры — для температурной компенсации<br />
изменений воздушной среды.<br />
2 • Интерфейс I C.<br />
Демонстрация устройств состоялась в июле 2012 года на выставке<br />
Micromachine/MEMS ROBOTECH в Токио.<br />
Следующий шаг sTmicroelectronics<br />
в прецизионном 3d‑обнаружении локации<br />
В сентябре 2012 года ST объявила о новом датчике давления для вычисления<br />
с высокой точностью вертикального повышения мобильных<br />
устройств относительно уровня моря. Повышение точности — это<br />
новый шаг к тому, чтобы мобильные устройства не только «знали»,<br />
на каком этаже здания они находятся, но и могли контролировать<br />
практически каждый шаг человека на лестнице.<br />
Точная локация мобильных устройств — ключевой аспект для<br />
многих возникающих услуг, основанных на местоположении<br />
(Location-Based Services, LBS).<br />
Для горизонтальной локации (широты и долготы) универсальным<br />
решением является спутниковая навигация, определяющая горизонтальное<br />
расположение устройства на карте с точностью до 1 м (в оптимальных<br />
условиях, когда устройство может получать сигналы от четырех<br />
или более спутников). ST уже продемонстрировала решение<br />
для навигации в пределах помещения, разработанное в объединении<br />
с CSR, которое может осуществлять горизонтальную и вертикальную<br />
локацию устройств даже в отсутствие любого спутникового сигнала.<br />
Но измерения атмосферного давления могут обеспечивать более<br />
высокое разрешение по вертикали, чем это обеспечивает спутниковая<br />
навигация, особенно в тех случаях, когда поступает менее четырех<br />
спутниковых сигналов. Новый датчик давления ST LPS331AP (рис. 10)<br />
может точно измерять воздушное давление от 260 мбар, типичное<br />
на высоте порядка 10 км, до 1260 мбар, что соответствует воздушному<br />
давлению на высоте 1800 м ниже уровня моря. Имеющее корпус<br />
размерами 33 мм устройство рекомендуется для смартфонов, спор-<br />
Рис. 10. Новый датчик давления ST LPS331AP<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
11
12<br />
датчики<br />
тивных часов и другого портативного оборудования,<br />
а также погодных станций, автомобильных<br />
и промышленных применений:<br />
оно может работать при низком напряжении<br />
и с ультранизким потреблением мощности.<br />
LPS331AP уже используется в последней модели<br />
смартфона Samsung.<br />
Датчик ST LPS331AP выпускается на основе<br />
МЭМС-технологии под названием VENSENS,<br />
которая позволяет производить датчик<br />
давления на монолитном кремниевом кристалле.<br />
Это исключает присоединение пластин<br />
друг к другу и повышает надежность<br />
устройства. Сенсорный элемент в LPS331AP<br />
основан на гибкой кремниевой мембране,<br />
сформированной выше воздушной полости<br />
с контролируемым зазором и определенным<br />
внутренним давлением. Мембрана очень<br />
мала в сравнении с традиционными кремниевыми<br />
микромеханическими мембранами<br />
и защищена от повреждений посредством<br />
встроенных механических пробок-остановов.<br />
Пьезорезистор — малая структура с электрическим<br />
сопротивлением, различаемым при<br />
изгибе мембраны в соответствии с изменениями<br />
во внешнем давлении, — встраивается<br />
в мембрану и осуществляет мониторинг<br />
изменения сопротивления и, следовательно,<br />
давления. Температурно скомпенсированное<br />
и преобразованное в цифровое значение<br />
давления может прочитываться хостпроцессором<br />
оборудования посредством<br />
стандартных интерфейсов I2C или SPI.<br />
Главные технические признаки LPS331AP:<br />
• Высокоразрешающий, малошумящий датчик,<br />
способный обнаруживать различия<br />
в высоте в пределах 1 см.<br />
• Собственная технология ST VENSENS<br />
обеспечивает высокое сопротивление разрыву<br />
(burst resistance): до 20 раз от полной<br />
шкалы.<br />
• Избирательный ODR: от 1 до 25 Гц.<br />
• Низкое потребление мощности: в низкоразрешающем<br />
режиме — 5,5 мкА, в высокоразрешающем<br />
— 30 мкА.<br />
• Напряжение питания: 1,71–3,6 В.<br />
• Температурный диапазон: –40…+85 °C.<br />
• Интегрированный датчик температуры,<br />
допускающий температурную компенсацию<br />
диапазона.<br />
• Производственная калибровка давления<br />
и температуры, исключающая необходимость<br />
в калибровке в корпусе проданных<br />
устройств.<br />
• Поставляется в пластиковом корпусе размерами<br />
331 мм (HCLGA-16L) с отверстиями<br />
для подачи давления на сенсорный<br />
элемент.<br />
LPS331AP уже находится в массовом производстве<br />
и предлагается по стандартной<br />
цене в $2,6 в количестве свыше 1000 единиц.<br />
Образцы и оценочные комплекты доступны<br />
для поддержки дизайна и сокращения времени<br />
вывода изделий на рынок.<br />
Обратим внимание читателей и на новый<br />
цифровой датчик барометрического давле-<br />
ния BMP280 Bosch. Он имеет самый малый<br />
среди аналогичных устройств фут-принт —<br />
2,52 мм, а его высота — всего 0,95 мм.<br />
10‑doF mems imu Analog devices —<br />
первый siP‑модуль с интегрированным<br />
алгоритмом слияния данных датчиков<br />
в high‑end системах<br />
Analog Devices, Inc. (ADI) в 2012 году<br />
анонсировала MEMS-блок инерциальных<br />
измерений (IMU) с десятью степенями<br />
свободы (10-degree-of-freedom, 10-DoF)<br />
со встроенным алгоритмом сенсорного<br />
слияния, который обеспечивает высокоточное<br />
детектирование ориентации в системах<br />
стабилизации платформ, навигации<br />
и контрольно-измерительной аппаратуре.<br />
10-DoF MEMS IMU ADIS16480 (рис. 11) интегрирует<br />
в одном корпусе 3-осевой гироскоп,<br />
3-осевой акселерометр, 3-осевой магнитометр,<br />
датчик давления и процессор Analog<br />
Devices ADSP-BF512 Blackfin. Встроенный<br />
в устройство расширенный фильтр Калмана<br />
(Extended Kalman filter, EKF) выполняет<br />
слияние сенсорных входов во времени для<br />
воспроизведения позиционирования с высокой<br />
точностью — при снижении времени<br />
разработки и цены в сравнении с другими<br />
MEMS IMU.<br />
Фильтрация Калмана представляет собой<br />
математический алгоритм, который<br />
оценивает данное состояние зашумленного,<br />
переменного процесса посредством выполнения<br />
во времени множественных измерений<br />
и объединения их с предиктивными<br />
оценками от расчетчика состояния. При<br />
встраивании в ADIS16480 фильтр Калмана<br />
интеллектуально объединяет входы датчиков<br />
движения MEMS IMU и обеспечивает точные<br />
данные позиционирования даже в сложных<br />
рабочих условиях, характеризуемых<br />
постоянным, непредсказуемым движением.<br />
Фильтрация Калмана особенно полезна<br />
в системах, требующих позиционирования<br />
в реальном времени, где движение является<br />
постоянным, сложным и динамичным: в навигации<br />
военных и коммерческих летательных<br />
аппаратов, беспилотных транспортных<br />
средств, при позиционировании подвижных<br />
платформ, в промышленной робототехнике.<br />
Благодаря встраиванию фильтра в ядро<br />
процессора Blackfin, компания ADI также<br />
экономит время на разработку и сохраняет<br />
ее стоимость, так как нет надобности в интенсивной<br />
разработке кода, тестировании<br />
и внешней обработке, что требуется для других<br />
MEMS IMU.<br />
Для достижения необходимой точности<br />
в широком диапазоне окружающих условий<br />
допускается либо автономная настройка<br />
фильтра, либо его тюнинг через программируемый<br />
интерфейс.<br />
ADIS16480 имеет широкую полосу пропускания<br />
(bandwidth) — 330 МГц, что в шесть<br />
раз больше, чем у конкурирующих датчиков.<br />
Устройство использует технологии iMEMS<br />
Рис. 11. Модуль инерциальных измерений<br />
Analog Devices ADIS16480<br />
для достижения высоких характеристик<br />
и объединяет их с прецизионной обработкой<br />
сигналов датчиков для поддержания выровненных<br />
(0,05°) и согласованных по фазе<br />
осей с нелинейностью в 0,01%. Каждый<br />
MEMS IMU калибруется при производстве,<br />
что значительно снижает время и риск, ассоциируемый<br />
с разработкой и интеграцией,<br />
а также обеспечивает низкую чувствительность<br />
к тепловому дрейфу.<br />
Ключевые параметры ADIS16480 iSensor<br />
10-DoF MEMS IMU:<br />
• Расширенный адаптивный фильтр<br />
Калмана.<br />
• Трехосевой цифровой гироскоп:<br />
динамический диапазон ±450°/с.<br />
• Стабильность смещения:<br />
6°/ч (in-run bias stability).<br />
• Угловой случайный уход<br />
(angular random walk): 0,3°/√ч.<br />
• Трехосевой цифровой акселерометр:<br />
±10g.<br />
• Трехосевой цифровой магнитометр:<br />
±0,25 мТл.<br />
• Цифровой датчик давления:<br />
300–1100 мбар.<br />
• Быстрое время старта: ~500 мс.<br />
• SPI-совместимый последовательный<br />
интерфейс.<br />
• Выживаемость при ударах до 2000g.<br />
ADI далее расширила свое портфолио<br />
iSensor MEMS IMU введением MEMS-датчиков<br />
ADIS16448 10-DoF и ADIS16485 6-DoF.<br />
ADIS16448 разработан для стабилизации<br />
платформ, навигации и применений<br />
в контрольно-измерительной аппаратуре<br />
и обеспечивает лучшую в промышленности<br />
комбинацию показателей цены, характеристик<br />
и плотности корпусирования в объеме<br />
243811 = 10 032 мм3 . ADIS16485 MEMS IMU<br />
включает 3-осевой гироскоп и 3-осевой акселерометр<br />
и характеризуется лучшими комбинированными<br />
показателями стабильности<br />
смещения (in-run bias stability) гироскопа<br />
(6°/ч) и акселерометра (32 мкg) на фоне других<br />
доступных 6-DoF MEMS IMU.<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Рис. 12. 12-осевая сенсорная платформа и инерциальные компоненты в компактных корпусах:<br />
а) внешний вид продукта в работе; б) сенсорная плата; в) схема потока данных<br />
Мультисенсорные платформы<br />
с числом контролируемых<br />
входов до 12<br />
Freescale: 12‑осевая сенсорная платформа<br />
и новые мультиосевые компоненты<br />
в компактных корпусах<br />
Freescale представила в 2012 году новые решения<br />
для осуществления сенсорного слияния,<br />
включая 12-осевую сенсорную платформу<br />
Xtrinsic для портативных устройств,<br />
основанных на ОС Windows 8 (рис. 12), и два<br />
компонента, совместимых с ПО для сенсорного<br />
слияния Xtrinsic eCompass. К ним относятся<br />
6-осевой компас Xtrinsic FXOS8700CQ<br />
и 3-осевой акселерометр Xtrinsic MMA8652FC<br />
в компактном корпусе размерами 221 мм.<br />
Первой по времени появления и самой выдающейся<br />
из этого списка является 12-осевая<br />
сенсорная платформа для датчиков, удовлетворяющих<br />
требованиям ОС Windows 8.<br />
Это высокоинтегрированное сенсорное решение<br />
Freescale для портативных устройств<br />
(рис. 12а). Впрочем, повышение числа осей<br />
до 12 вместо 10 для описания данного решения<br />
— это указание на полное число входящих<br />
в него датчиков и поэтому в большей<br />
степени маркетинговый ход, а не действи-<br />
а<br />
б<br />
тельное число степеней свободы этих инерциальных<br />
датчиков.<br />
12-осевая сенсорная платформа Freescale<br />
включает следующие датчики:<br />
• 3-осевой акселерометр Xtrinsic MMA8451Q;<br />
• 3-осевой магнитометр Xtrinsic MAG3110;<br />
• прецизионный альтиметр Xtrinsic<br />
MPL3115A2;<br />
• датчик температуры;<br />
• аналоговый датчик окружающего освещения.<br />
Поддерживается выбор совместимых<br />
3-осевых гироскопов.<br />
Тем не менее решение уникально в том<br />
плане, что отличается высокой степенью<br />
аппаратно-программной интеграции входящих<br />
в него датчиков со встроенным сенсорным<br />
слиянием и интерфейсом разработчика. Все<br />
это снижает системную сложность и облегчает<br />
процесс разработки приложений. 12-осевая<br />
сенсорная платформа работает под управлением<br />
микропроцессора Freescale ColdFire+<br />
MCF51JU128VHS, выступающего в роли сенсорного<br />
узла, объединенного с ПО для слияния<br />
данных, поступающих от датчиков.<br />
Платформа поставляет калиброванные<br />
сенсорные данные и выполняет сенсорное<br />
слияние в формате Windows 8. С помощью<br />
датчики<br />
этой платформы можно получить полную<br />
информацию о положении и ориентации.<br />
Она имеет простой пользовательский интерфейс<br />
для выбора ориентации экрана, игр, обнаружения<br />
жестов, с ней легче подготовить<br />
данные для использования в навигации и выполнить<br />
другие, более сложные функции.<br />
12-осевая платформа позволяет синтезировать<br />
данные движения, локации, окружающего<br />
света, близости человека и объединять<br />
их в одно целое. Это дает более точные, надежные<br />
и чувствительные, более адаптированные<br />
к клиентским системам эффективные<br />
устройства и разгружает хост-процессор<br />
от задач, выполняемых датчиками Freescale.<br />
Локальная, распределенная обработка сенсорных<br />
данных согласно требованиям ОС<br />
Windows 8 в оптимизированном МК выполняется<br />
быстрее, чем с использованием центрального<br />
процессора, и это помогает сберечь<br />
мощность и повысить качество сенсорных<br />
данных при их слиянии с эффективной<br />
временной синхронизацией.<br />
Платформа Freescale разработана с фокусом<br />
на эффективное использование мощности<br />
в режиме ожидания (standby), общее<br />
снижение потребления мощности и ценовую<br />
эффективность решения. Коммуникация<br />
с ПК осуществляется посредством USB с помощью<br />
стандартных HID-драйверов.<br />
Эта платформа стала результатом сотрудничества<br />
Freescale с Microsoft. Недавно<br />
анонсированная ОС для планшетов Microsoft<br />
Windows 8 сфокусирована на аппаратнопрограммной<br />
интеграции сенсорных приложений<br />
на стандартной платформе. Microsoft<br />
намерена предлагать сенсорную аппаратную<br />
часть plug-and-play типа и программное решение<br />
для телефонов с Windows 8.<br />
Планы Freescale в отношении получения<br />
сертификации Windows 8 уже осуществились.<br />
Производство модуля стартовало<br />
в III квартале 2012 года, а для потребительских<br />
продуктов от OEM — уже с июня<br />
прошлого года. Сенсорный узел Xtrinsic<br />
от Freescale был интегрирован в следующее<br />
поколение ультрабуков, работающих под<br />
управлением Windows 8, а также гибридных<br />
ПК от ведущих производителей.<br />
Freescale предлагает плату RDFXWIN8USB,<br />
которая содержит акселерометр Xtrinsic<br />
MMA8451Q, магнитометр Xtrinsic<br />
FXMS3110CDR1 и прецизионный альтиметр<br />
Xtrinsic MPL3115A2, вместе с другими<br />
датчиками и специализированным МК<br />
MCF51JU128VHS MCU. Предложение полностью<br />
укомплектовано библиотечным ПО,<br />
нацеленным на Windows 8, для осуществления<br />
полного сенсорного слияния. Продажи<br />
RDFXWIN8USB планировалось начать<br />
в IV квартале 2012 года по цене $199.<br />
В планы Freescale также входит сотрудничество<br />
с ведущими разработчиками ПО —<br />
Movea и Hillcrest — для создания более широкого<br />
диапазона клиентских приложений<br />
с распознаванием жестов, а также сотрудни-<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
в<br />
13
14<br />
датчики<br />
чество с разработчиками систем позиционирования<br />
(навигации и GPS) и Wi-Fi.<br />
Интегрированные сенсорные решения<br />
будут лежать в основе следующего поколения<br />
устройств, предназначенных для более<br />
удобного обслуживания пользователя посредством<br />
интуитивных интерфейсов и контекстной<br />
осведомленности.<br />
Freescale констатирует увеличивающийся<br />
пользовательский интерес к возможности<br />
осуществления плавной системной регулировки<br />
согласно изменяющимся условиям<br />
окружения — от изменения яркости экрана<br />
при разных условиях освещения до варьирования<br />
набора предоставляемой информации<br />
в зависимости от того, например, насколько<br />
пользователь торопится.<br />
Существует интерес к обнаружению мобильным<br />
устройством расположения настроения<br />
или стресса пользователя. Информация<br />
о расположении духа может автоматически<br />
посылаться в социальную сеть.<br />
Один из проектов Freescale состоит в разработке<br />
игрового интерфейса, когда игра может<br />
изменяться согласно уровню стресса, что измеряется<br />
по частоте сердечных сокращений<br />
или влажности ладони.<br />
Для контекстной осведомленности имеются<br />
широкие перспективы. Для успешного<br />
осуществления услуг по предоставлению информации,<br />
основанной на местоположении,<br />
или рекламы базовыми функционалами остаются<br />
локация местоположения и навигация.<br />
В зависимости от доступности GPS интегрированные<br />
инерциальные датчики могут замещать<br />
полностью (в пределах помещения)<br />
или частично (за пределами помещения) GPSлокаторы<br />
для экономии мощности батарей.<br />
Поддерживая рост мобильных систем,<br />
Freescale представила 12-осевую сенсорную<br />
платформу, ПО eCompass и расширяет свое<br />
портфолио совместимыми с ними инерциальными<br />
компонентами.<br />
STMicroelectronics также сотрудничала<br />
с Microsoft с целью разработки сенсорного<br />
решения для детектирования движения<br />
и ориентации как устройства интерфейса<br />
с человеком (Human Interface Device, HID)<br />
и недавно сообщила о получении сертификата<br />
Windows 8 и своей готовности к старту<br />
объемного производства MEMS-датчиков<br />
и сенсорных узлов для планшетов и лэптопов<br />
на базе Windows 8.<br />
мультисенсорное узловое решение sT<br />
для Windows 8<br />
Сенсорный узел ST для операционной системы<br />
Windows 8 объединяет 3-осевой цифровой<br />
гироскоп, 3-осевой цифровой акселерометр,<br />
3-осевой цифровой магнитометр с датчиком<br />
близости, датчиком барометрического давления,<br />
а также микроконтроллер STM32 с высокими<br />
характеристиками, который работает<br />
под управлением алгоритмов для слияния<br />
сенсорных данных ST iNEMO Engine и HID<br />
с использованием протокола I 2 C.<br />
ST объявила о том, что MEMS-датчики<br />
и решение на основе сенсорного узла уже готовы<br />
к продаже в высоких объемах при выпуске<br />
Windows 8. Различные OEM и ODM<br />
из числа главных мировых производителей<br />
выбрали сенсорное решение ST для своих<br />
устройств на основе Windows 8.<br />
Более чем 20 планшетов от OEM используют<br />
сенсорные решения ST. Как было продемонстрировано<br />
посредством включения<br />
в устройства на основе Windows 8 со стандартным<br />
plug-and-play HID/I 2 C интерфейсом<br />
и протоколом, ST значительно снизила усилия<br />
по включению датчиков для OEM и ODM.<br />
Сенсорное узловое решение ST является масштабируемым,<br />
стоимость материалов и пространство<br />
на плате могут быть далее снижены<br />
после введения дополнительных признаков,<br />
включая мониторинг температуры и заряда<br />
батареи встроенным МК STM32.<br />
Оба представленных сенсорных узловых<br />
решения для Windows 8 демонстрируют движение<br />
лидеров рынка к дальнейшему повышению<br />
качества пользовательского интерфейса,<br />
навигации и будущих применений<br />
МЭМС-датчиков.<br />
Аналитики IHS iSupply считают, что сенсорное<br />
слияние особенно актуально для мобильных<br />
устройств, комбинирующих акселерометр,<br />
гироскоп и компас. IHS ожидает,<br />
что в 2016 году эти три датчика будут входить<br />
в 1,2 млрд хэндсетов, планшетов и лэптопов<br />
— в сравнении с 249 млн в 2011 году.<br />
Сенсорное слияние лежит в основе дополненной<br />
реальности и LBS.<br />
По прогнозам ABI Research, использование<br />
MEMS-датчиков в интеллектуальных портативных<br />
устройствах будет непрерывно расти<br />
в течение следующих пяти лет. К 2017 году<br />
поступления от продаж MEMS-датчиков<br />
в смартфонах составит $4,4 млрд, а их доходность<br />
в объемах продаж медиапланшетов<br />
возрастет приблизительно до $835 млн.<br />
Заключение<br />
Современная мобильная электроника характеризуется<br />
растущей потребностью в мультисенсорных<br />
интерфейсах для осуществления<br />
всеобъемлющего контроля входных параметров.<br />
Важнейшим примером является пользовательский<br />
интерфейс, усовершенствования<br />
которого нацелены на то, чтобы сделать его<br />
еще более интуитивным, «юзабельным», причем<br />
не только в отношении интерпретации<br />
пользовательских действий, но и в зависимости<br />
от окружающих условий.<br />
Мобильное устройство — это категория,<br />
ассоциируемая с потребительскими устройствами<br />
типа смартфонов и планшетов, но,<br />
безусловно, это понятие гораздо шире.<br />
Потребность в мобильной электронике существует<br />
везде, где требуется контроль движения<br />
мобильного объекта и окружающих<br />
условий в месте локации контрольного<br />
устройства.<br />
Возможности для более полного контроля<br />
входных параметров значительно повысились<br />
благодаря дальнейшему развитию<br />
технологий датчиков инерции до уровня<br />
интегрированных мультисенсорных решений<br />
и технологиям слияния сенсорных данных<br />
при объединении нескольких датчиков<br />
с процессором в сенсорном узле, которое<br />
демонстрируют достижения компаний ST<br />
и Freescale.<br />
Жестовые интерфейсы полностью вышли<br />
в 3D-измерение и достигли 6D, 9D, 10D и более<br />
(ND) степеней свободы, возможных при<br />
объединении с другими датчиками.<br />
В связи с актуализацией Windows 8 в настоящее<br />
время можно констатировать реальное<br />
повышение числа степеней свободы<br />
и параметров, контролируемых в одном<br />
мультисенсорном сенсорном узле или<br />
на мультисенсорной платформе, до 12.<br />
Аппаратно-программная узловая интеграция<br />
с процессором и ПО дает новый импульс<br />
для дальнейшего совершенствования алгоритмов<br />
сенсорного слияния с целью более<br />
точного контроля движения и уровня исполнения<br />
подключаемых дискретных датчиков<br />
— с тем чтобы разгрузить системный<br />
процессор от объема вычислений.<br />
Все это является стимулом для новых разработок<br />
дискретных компонентов и комбидатчиков.<br />
Новые инерциальные компоненты<br />
представляют собой мультиосевые, мультисенсорные<br />
и мультизадачные мобильные<br />
микродатчики, аппаратно-программно подключаемые<br />
в мобильную систему. Новые<br />
предложения датчиков инерции демонстрируют<br />
непрерывное расширение набора<br />
функциональных возможностей, повышение<br />
уровня характеристик и исполнения, интеграции<br />
и миниатюризации, потребления<br />
мощности и цены.<br />
Проникновение в сферу инерциальных<br />
измерений нанотехнологий обещает еще<br />
большие возможности, вплоть до создания<br />
монолитных мультиэлементных мобильных<br />
микродатчиков, органично интегрированных<br />
в надсистему, имеющую неограниченное<br />
число не только контролируемых степеней<br />
свободы, но и других входных параметров. n<br />
Литература<br />
1. Сысоева С. Мобильные датчики инерции. Все<br />
более высокие уровни миниатюризации, системной<br />
интеграции и «мобильности» // Компоненты<br />
и технологии. 2012. № 7.<br />
2. Сысоева С. Мобильные МЭМС-датчики с девятью<br />
и более степенями свободы // Электронные<br />
компоненты. 2011. № 5.<br />
3. Сысоева С. Мир МЭМС. Дальнейшая конвергенция<br />
датчиков движения и смежных технологий<br />
на массовых рынках // Компоненты и технологии.<br />
2011. № 6.<br />
4. Сысоева С. Новые сенсорные решения. Выход<br />
на новый уровень измерений //Компоненты<br />
и технологии. 2011. № 8.<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Реклама
16<br />
Введение<br />
датчики<br />
Светлана СыСОЕВА<br />
Dr.Gold@sysoeva.com<br />
Будущие системы предполагают широкие<br />
возможности внедрения технологий<br />
автоматического контроля домов, офисов,<br />
транспорта, производственных процессов,<br />
окружающей среды. Особое внимание будет<br />
уделяться развитию систем интерактивного<br />
взаимодействия с пользователем — жестового,<br />
тактильного, голосового или видеоинтерфейса.<br />
Потребительская и автомобильная<br />
электроника, где названные технологии<br />
пользовательского интерфейса уже в той или<br />
иной степени реализованы, наглядно показывает<br />
нам и будущие пути в развитии технологий<br />
управления.<br />
Электроника «научилась» и продолжает<br />
учиться распознавать как простые, так<br />
и сложные жесты пользователя, воспринимать<br />
не только явно сформулированные команды,<br />
но и ловить мимолетные проявления<br />
желаний и настроения, используя эту информацию<br />
по большей части для наиболее<br />
полного обслуживания пользователя, а также<br />
для оптимизации работы своих систем/подсистем.<br />
Речь идет об энергосбережении, что<br />
является важнейшей задачей электроники,<br />
имеющей ограниченный ресурс питания<br />
от батареи.<br />
Например, датчики близости выключают<br />
дисплей в тех случаях, когда владелец подносит<br />
его к уху, и вновь включают, когда<br />
владельцу необходимо посмотреть на экран.<br />
«Продвинутые» модели сотовых телефонов<br />
оснащены датчиками окружающего осве-<br />
Технологии<br />
тепловых датчиков Omron:<br />
новый вклад МЭМС<br />
в энергосбережение<br />
и автоматизацию<br />
Компания Omron недавно выпустила на рынок недорогие бесконтактные<br />
МЭМС-датчики теплового ИК-излучения для сегмента технологий энергосбережения.<br />
Датчики Omron способны на расстоянии порядка 4–6 м определять<br />
присутствие людей, даже находящихся в неподвижном состоянии,<br />
а система автоматического контроля, оборудованная этими датчиками,<br />
сможет автоматически регулировать температуру, включать/выключать<br />
освещение или мониторы дисплея. Технология Omron отличается низкой<br />
ценой и доступностью, что позволяет внедрять ее в системы автоматизации<br />
контроля зданий и производственных процессов, а также многих других<br />
мобильных и стационарных применений.<br />
щения, что позволяет регулировать яркость<br />
дисплея в зависимости от внешних условий,<br />
и фронтальными камерами, способными<br />
улавливать взгляд пользователя и автоматически<br />
включать дисплей. Все это одновременно<br />
создает комфорт для владельца и позволяет<br />
оптимально расходовать мощность<br />
устройства.<br />
Технологии мобильного пользовательского<br />
интерфейса уже мигрировали в автомобильную<br />
электронику, для которой также<br />
весьма актуальна проблема экономии ограниченных<br />
ресурсов энергии, доступной для<br />
ДВС и нескольких десятков других двигателей,<br />
растущего числа датчиков и исполнительных<br />
механизмов. Эта проблема возникла<br />
в связи с необходимостью ограничения<br />
эмиссии и удорожанием топлива.<br />
Потребительская и мобильная электроника<br />
демонстрируют, по какому пути идет<br />
развитие технологий управления, сконцентрированного<br />
вокруг пользователя: электроника<br />
повышает комфорт, безопасность и информационную<br />
насыщенность жизни, при<br />
этом освобождает человека и от рутинных<br />
операций, и от слишком частой перезарядки<br />
телефона или заправки топливного бака.<br />
Актуальность приобретают технологии эффективного,<br />
автоматического, оптимального,<br />
адаптивного управления — и все это достаточно<br />
просто осуществляется посредством<br />
современных технологий микросистем, интегрированных<br />
с системами управления, —<br />
CMOS- и МЭМС-датчиков, микроконтроллеров<br />
c соответствующим ПО и беспроводных<br />
компонентов. Это также позволяет сокращать<br />
число проводов и шире использовать<br />
батареи и технологии собирания энергии<br />
в зданиях и промышленной автоматизации.<br />
Многие технологии интерфейса с пользователем<br />
уже стали частью повседневности<br />
современного человека, и непрерывно разрабатываются<br />
новые. Одна из новых идей<br />
состоит в том, чтобы объединить пользовательский<br />
интерфейс с полностью автоматическим<br />
контролем, который может происходить<br />
без связи с человеком посредством<br />
телематики машин (M2M), интерфейса<br />
машина-машина (Machine-Machine Interface,<br />
MMI) или интерфейса машина-окружение<br />
(Machine-Environment Interface, MEI).<br />
Возможность осуществления этой идеи<br />
основана на том, чтобы поставить в соответствие<br />
какой-либо стационарной или мобильной<br />
функции фактически само появление<br />
поблизости хозяина для инициации<br />
автоматической функции. Другие идеи состоят<br />
в том, чтобы одним из аргументов для<br />
активации или коррекции значения функции<br />
послужили внешние условия, например<br />
окружающая температура.<br />
Объединение этих идей было достигнуто<br />
фирмой Omron посредством встраивания<br />
МЭМС ИК-датчика в мобильный терминал.<br />
Компания таким образом продемонстрировала<br />
применение мобильного телефона для<br />
контроля температуры внешнего объекта.<br />
Перспективы, которые открывает технология<br />
Omron для применения в системах<br />
автоматизации зданий и производства, еще<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Рис. 1. Новый высокочувствительный тепловой (ИК)<br />
МЭМС-детектор D6T Omron<br />
шире. Теперь приобретает актуальность<br />
возможность использования тех же технологий<br />
в целях энергосбережения и автоматизации<br />
домов, офисов, производства, например<br />
для автоматического регулирования<br />
освещения, температуры в помещениях,<br />
яркости офисных дисплеев в зависимости<br />
от присутствия людей. Omron предлагает<br />
для этого датчик пользователя, или датчик<br />
теплового ИК-излучения, поступающего<br />
из внешней среды, источником которого является<br />
пользователь. Потенциальные применения<br />
включают медицину и охранную<br />
безопасность, а также контроль занятости<br />
помещений посетителями.<br />
Что особенно важно, новое решение является<br />
недорогим и достаточно простым,<br />
но весьма эффективным. Например, новые<br />
технологии автоматизации освещения могут<br />
быть реализованы посредством только<br />
нескольких модулей встроенных датчиков<br />
и микроконтроллера(ов), интегрированных<br />
с системами управления подачи тока, работающих<br />
под управлением сравнительно<br />
простых алгоритмов ПО. Другим применением<br />
для встроенных модулей и алгоритмов<br />
является возможность с помощью датчика<br />
ИК-излучения автоматически контролировать<br />
пожарную безопасность в здании или<br />
на производстве.<br />
Судя по дальнейшему анализу технологии<br />
Omron, осуществление многих, некогда<br />
футуристических концепций становится реальным<br />
— благодаря современному уровню<br />
развития технологий МЭМС и доступности<br />
новых возможностей для различных сегментов<br />
рынков.<br />
Рис. 2. Применение тепловой сенсорной<br />
МЭМС-технологии Omron для детектирования людей<br />
и автоматизации зданий<br />
Мобильныe МЭМС ИК-датчики D6T<br />
Omron<br />
Японская компания Omron (www.omron.<br />
com) объявила о том, что выпустила на рынок<br />
новый сверхчувствительный тепловой<br />
(ИК) МЭМС-детектор D6T, имеющий большие<br />
перспективы применения в качестве<br />
энергосберегающего устройства, чувствительного<br />
к присутствию людей или нагретых<br />
объектов (рис. 1–3).<br />
Сверхчувствительный ИК-датчик Omron<br />
способен эффективно детектировать людей<br />
и другие нагретые, в том числе стационарные<br />
объекты — в отличие от менее чувствительных<br />
пироэлектрических аналогов, разработанных<br />
конкурентами, для которых необходимо,<br />
чтобы объект находился в движении. В отличие<br />
от датчиков, измеряющих температуру<br />
в конкретной точке, тепловой детектор Omron<br />
способен измерять температуру в пространственной<br />
области, выполнять многоуровневый<br />
контроль температуры в жилом помещении<br />
или на производственной линии, идентифицировать<br />
необычные отклонения, указывающие<br />
на перенагрев или остановку работы.<br />
Тепловой датчик Omron основан на эффекте<br />
Зеебека, согласно которому термоЭДС<br />
генерируется вследствие разницы температур<br />
в точках контакта двух металлов (термопары)<br />
(рис. 4а). Тепловые датчики Omron<br />
сформированы последовательным соединением<br />
термопар, состоящих из N+ и P+ поликремния<br />
и алюминия. Горячие и холодные<br />
датчики<br />
Рис. 3. Применение ИК МЭМС-датчика<br />
для мобильного контроля нагретой чашки<br />
переходы на диэлектрических мембранах<br />
с высоким тепловым сопротивлением обеспечивают<br />
быстрое срабатывание и эффективность<br />
преобразования энергии ИК-лучей<br />
в температуру и затем в термоЭДС.<br />
Сигналы, сгенерированные ИК-лучами,<br />
весьма слабы. Чтобы повысить надежность<br />
детектирования, Omron уделила внимание<br />
разработке и производству каждой части<br />
своего высокочувствительного детектора,<br />
начиная с MЭМС-датчика до ASIC и других<br />
частей согласно их применению (рис. 4б).<br />
Также, помимо самих тепловых детекторов,<br />
технологии D6T Omron включают микрозеркальную<br />
МЭМС-структуру с кремниевыми<br />
линзами для фокусировки ИК-лучей на термопары.<br />
ASIC выполняет соответствующие<br />
вычисления и преобразует сенсорные сигналы<br />
в цифровые выходы I 2 C.<br />
Датчик отличается высоким уровнем<br />
шумовой устойчивости и SNR (соотношения<br />
сигнал/шум), которому соответствует<br />
эквивалентная шуму разница температур<br />
(Noise-Equivalent Temperature Difference,<br />
NETD) в 0,14 °C. Точность специфицируется<br />
в ±1,5 °C, что является весьма высоким показателем.<br />
Разработаны версии матриц МЭМС-элементов<br />
размерностью 44 и 18 (рис. 5). Им<br />
соответствуют две версии модулей МЭМСтермоэлементов:<br />
D6T-44L-06 на основе матрицы<br />
размерностью 44 и D6T-8L-06 —<br />
на основе матрицы-столбца. Оба продукта<br />
питаются от 5-вольтного источника, имеют<br />
целевой диапазон детектирования +5…50 °C<br />
с заявленной точностью ±1,5 °C и выход I 2 C.<br />
а б<br />
Рис. 4. Технология теплового ИК-датчика Omron на уровне сенсорного элемента и ASIC:<br />
а) измерительный принцип теплового ИК-датчика (поперечное сечение сенсорного кристалла); б) конфигурационная диаграмма датчика<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
17
18<br />
датчики<br />
а б<br />
Рис. 5. Версии модулей тепловых датчиков D6T<br />
на основе:<br />
а) МЭМС-матрицы размерностью 4×4;<br />
б) МЭМС матрицы-столбца размерностью 1×8<br />
Первая демонстрация устройств состоялась<br />
в июле 2012 года на выставке Micromachine/MEMS<br />
ROBOTECH в Токио. Для демонстрации<br />
использовался смартфон, в котором<br />
ИК МЭМС-датчик был размещен под<br />
задней камерой. Было показано, как на дисплее<br />
смартфона посредством камеры может<br />
быть получено изображение чашки с горячим<br />
напитком, а приложение под названием<br />
“Too hot to eat sensor” по сигналам от датчика<br />
дополнительно сообщало, насколько напиток<br />
остыл для его употребления (рис. 3).<br />
Данное применение не стало рыночным<br />
ходом Omron относительно сегмента мобильных<br />
телефонов. В большей степени оно<br />
раскрыло новые возможности сенсорных<br />
технологий смартфонов и новые пути для<br />
сбережения энергии в домашнем хозяйстве,<br />
управления электроэнергией в зданиях и промышленном<br />
производстве, а также повышения<br />
безопасности пользования энергией.<br />
Omron уже вывела на рынок свои тепловые<br />
датчики и имеет планы продолжения работы<br />
для практического использования этой<br />
технологии, в частности для детектирования<br />
устройств, потребляющих большую мощность:<br />
кондиционеров, осветительных, нагревательных<br />
и других бытовых приборов<br />
и, при необходимости, их автоматического<br />
выключения (например, в отсутствие людей).<br />
Датчик можно использовать для мониторинга<br />
и поддержки оптимальной температуры<br />
в комнате, он может выявлять незначительные<br />
температурные изменения и идентифицировать<br />
области с различным нагревом.<br />
Устройство может использоваться в промышленности<br />
для выявления остановок производственных<br />
линий или областей с высоким нагревом<br />
как потенциально пожароопасных.<br />
Ввиду того что рабочий температурный<br />
диапазон модулей D6T специфицирован<br />
в диапазоне 0…+50 °C, применение устройства<br />
ограничено только пределами помещений<br />
или теплым временем года. Абсолютные<br />
пределы температуры хранения составляют<br />
–10…+60 °C, а влажности — 85%.<br />
Рабочий диапазон детектирования объектов<br />
составляет +5…50 °C относительно референсного<br />
(эталонного) значения окружающей<br />
температуры в диапазоне +5…45 °C (рис. 6).<br />
Более высокая точность (±1,5 °C) достигается<br />
в большинстве измерительных условий (точность<br />
1 на рис. 6). С точностью порядка 3 °C<br />
Рис. 6. Диапазон детектирования<br />
температуры объектов, соответствующий различным<br />
измерительным условиям и специфицированной<br />
для них точности<br />
охарактеризованы измерительные условия,<br />
соответствующие точности 2 на рис. 6.<br />
Технические характеристики датчика D6T<br />
сведены в таблицу.<br />
Важную роль в характеристиках играет<br />
угловая область обзора, который определяется<br />
как угловой диапазон, в котором выход<br />
датчика составляет 50% или более при изменении<br />
угла воздействия излучения на датчик.<br />
а<br />
б<br />
Таблица. Технические характеристики датчика D6T<br />
Технические характеристики<br />
Угол<br />
обзора<br />
Выходная точность<br />
температуры<br />
объекта<br />
D6T-44L-06<br />
(матрица 4×4)<br />
D6T-8L-06<br />
(матрица 1×8)<br />
Направление по оси Х 44,2° 62,8°<br />
Направление по оси Y 45,7° 60°<br />
Точность 1<br />
Точность 2<br />
Не более ±1,5 °С<br />
Условия измерения: Vcc = 5 В<br />
- Tx = 25 °С, Ta = 25 °С;<br />
- Tx = 45 °С, Ta = 25 °С;<br />
- Tx = 45 °С, Ta = 45 °С<br />
Не более ±3 °С<br />
Условия измерения: Vcc = 5 В<br />
- Tx = 25 °С, Ta = 45 °С<br />
Потребление тока 5 мА<br />
Диапазон определения<br />
температуры объекта<br />
+5…50 °С<br />
Диапазон определения эталонной<br />
окружающей температуры<br />
+5…45 °С<br />
Выходные спецификации<br />
Цифровые значения, относящиеся<br />
к температуре объекта (Тх)<br />
и эталонной температуре (Та),<br />
выводятся через<br />
последовательный порт связи<br />
Форма вывода<br />
Двоичный код (зафиксированная<br />
температура (°С) ×10)<br />
Форма передачи данных Соответствует I2C Температурное разрешение (NETD) 0,14 °С<br />
Для двух разных исполнений D6T эти характеристики<br />
будут различаться по горизонтали<br />
и вертикали (рис. 7).<br />
Некоторые другие аспекты применения<br />
требуют понимания границ использования<br />
технологии и оценок системы и характеристик<br />
со стороны пользователя/клиента.<br />
Не рекомендовано устанавливать датчики<br />
там, где на них будут влиять неблагоприятные<br />
условия: пыль, загрязнения, масло, вода<br />
в связи с наличием в них линз. Также не сле-<br />
Рис. 7. Характеристики угла обзора для двух различных исполнений модулей датчиков D6T:<br />
а) D6T-44L-06; б) D6T-8L-06<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Реклама<br />
дует эксплуатировать тепловые ИК-датчики<br />
за пределами помещения, при ярком солнечном<br />
свете, в коррозионной газовой среде<br />
(хлориды, сульфиды, аммиак и др.), при<br />
перепадах температуры, обледенении или<br />
конденсации, вибрации и ударах.<br />
Датчики не имеют защитных схем, и при<br />
обращении с ними должны приниматься<br />
меры по устранению статического электричества.<br />
Модули ИК МЭМС-датчиков требуют<br />
заботливого обращения — как, впрочем,<br />
и другие МЭМС-устройства. Но многие проблемы<br />
могут быть решены за счет корпуси-<br />
рования следующего уровня при интегрировании<br />
в систему управления. Кроме того,<br />
любые вопросы, связанные с приобретением,<br />
эксплуатацией и установкой при современных<br />
технологиях коммуникации могут быть<br />
легко решены в процессе взаимодействия<br />
клиента и технических специалистов поставщика<br />
и/или дистрибьюторов.<br />
Заключение<br />
МЭМС-технологии в последнее время<br />
не только развиваются, но и стремительно де-<br />
датчики<br />
шевеют и быстро выходят на рынок, демонстрируя<br />
новые неограниченные возможности<br />
для своего применения как устройств интерфейса<br />
с человеком, машиной или окружением.<br />
Одно из таких применений МЭМС-технологий<br />
в составе систем автоматизации следующего<br />
поколения было рассмотрено в этой<br />
статье. Новое техническое решение, разработанное<br />
Omron, привносит свой первый<br />
вклад в реализацию широких возможностей<br />
для энергосбережения, повышения комфорта<br />
и безопасности людей в доме, офисе<br />
и на производстве. n<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
19
20<br />
новости<br />
Высокопроизводительная система сбора данных ADAS3022<br />
Компания Analog Devices приступила к серийному<br />
производству уникальной микросхемы — восьмиканальной<br />
системы сбора данных ADAS3022.<br />
Основой ADAS3022 является 16-разрядный<br />
1 MSPS АЦП последовательного приближения<br />
с перераспределением заряда.<br />
Устройство имеет встроенный мультиплексор,<br />
который позволяет организовать восемь однополярных<br />
или четыре дифференциальных канала<br />
ввода с диапазоном до ±24,576 В. Кроме того,<br />
микросхема имеет дополнительные выводы —<br />
входы АЦП, позволяющие подключать ее непосредственно,<br />
минуя мультиплексор (то есть диапазон<br />
входного сигнала будет определяться ИОН).<br />
Основное отличие ADAS3022 от подобных<br />
компонентов — встроенный инструментальный<br />
усилитель, что обеспечивает высокое входное сопротивление,<br />
позволяет разработчику задавать<br />
коэффициент усиления в каждом из каналов<br />
самостоятельно, а кроме того, отказаться от согласующих<br />
усилителей на входе, что существенно<br />
удешевляет схему.<br />
Встроенный усилитель обеспечивает согласование<br />
уровней, необходимое усиление/ослабление<br />
сигнала, ослабление синфазного сигнала, то есть<br />
все те функции, которые обычно возлагают на операционные<br />
усилители на входе АЦП.<br />
ADAS3022 дает уникальную возможность обеспечить<br />
ввод всех типов сигналов: биполярный<br />
дифференциальный, биполярный несимметричный,<br />
псевдобиполярный, псевдооднополярный —<br />
практически весь набор выходных аналоговых<br />
сигналов с датчиков.<br />
Передачу данных обеспечивает 4-проводной последовательный<br />
интерфейс, позволяющий подключаться<br />
к ADAS3022 по SPI и SPORT.<br />
Микросхема имеет встроенный источник опорного<br />
напряжения 4,096 В (1 ppm/1 °C) и температурный<br />
датчик (800 мкВ/1 °C).<br />
Основные технические параметры:<br />
• количество входных каналов — до 8;<br />
• преобразователь данных — 16-разрядный<br />
1 MSPS SAR АЦП;<br />
• входное сопротивление — до 500 МOм;<br />
• отношение сигнал/шум — 91,5 дБ;<br />
• динамический диапазон — 92 дБ;<br />
• диапазон, свободный от искажений, — 100 дБ;<br />
• коэффициент подавления синфазного сигнала<br />
— 110 дБ;<br />
• температурный диапазон — от –40 до +85 °C;<br />
• корпус — 6×6<br />
мм LFCSP-40.<br />
www.eltech.spb.ru<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013<br />
Реклама
Александр БЕКМАчЕВ,<br />
к. т. н.<br />
beck@ranet.ru<br />
Датчик EPIC представляет собой совершенно новую область<br />
сенсорных технологий, он измеряет изменения в электрическом<br />
поле подобно тому, как магнитометр обнаруживает изменения<br />
в магнитном поле. Датчик EPIC не требует ни механического,<br />
ни резистивного контакта для проведения замеров. На его основе<br />
производят такие инновационные продукты, как медицинские сканеры.<br />
Их достаточно просто расположить вблизи груди пациента,<br />
чтобы получить качественную электрокардиограмму (ЭКГ). Датчик<br />
может быть интегрирован в специализированной микросхеме с другими<br />
функциями, к которым относятся преобразование данных,<br />
цифровая обработка сигналов и беспроводная связь.<br />
Рассмотрим подробнее принцип работы и особенности датчиков<br />
линейки EPIC.<br />
что такое EPIC?<br />
EPIC — это сокращение английского термина Electric<br />
Potential Integrated Circuit: интегральная микросхема для измерения<br />
электрического потенциала. Но эта аббревиатура стала уже синонимом<br />
и технологии ИМС, и самого датчика, а в более широком понимании<br />
— физических принципов работы устройства в составе системы.<br />
EPIC — это бесконтактный электрометр, что подразумевает отсутствие<br />
прямого прохождения сигналов постоянного тока извне<br />
через входные каскады датчика, подобно электроду затвора МОПтранзистора.<br />
Электрод защищен слоем диэлектрического материала,<br />
который нанесен на него, чтобы изолировать электрод от измеряемого<br />
объекта. Устройство имеет полосу пропускания по переменному<br />
току (по срезу –3 дБ) от нескольких десятков до 200 МГц, эта характеристика<br />
регулируемая и может быть адаптирована к конкретным<br />
датчики<br />
Датчики Epic<br />
от Plessey Semiconductors —<br />
прорыв в сенсорных<br />
технологиях<br />
В 1917 г. в Плимуте (Великобритания) была создана компания Plessey.<br />
В 1929 г. здесь был начат серийный выпуск электромеханических телевизоров<br />
системы Джона Бэрда, и компания продолжала их производство вплоть<br />
до начала Второй мировой войны. В конце 1950-х Plessey вышла на мировой<br />
рынок с полупроводниковыми микросхемами собственного производства.<br />
С тех пор Plessey Semiconductors является ведущей компанией в области<br />
разработки и производства полупроводниковых компонентов, используемых<br />
в качестве датчиков, средств измерения и управления. Продукты<br />
Plessey находят широкое применение в системах связи, технологическом<br />
оборудовании, медицинской, оборонной и аэрокосмической промышленности.<br />
Фирма обладает полным циклом производства высокоточных<br />
компонентов для работы в области высоких температур и радиационного<br />
излучения и разрабатывает изделия для ответственных и высокопроизводительных<br />
приложений, а ассортимент готовой продукции включает в себя<br />
КМОП-датчики изображения, сверхъяркие светодиоды линейки HBLED,<br />
устройства на основе эффекта Холла и датчики электрического поля EPIC.<br />
Технологии EPIC и ее применению посвящена эта статья.<br />
условиям применения. Такой электрометр не может иметь связи<br />
по постоянному току, поскольку сила электрического поля Земли<br />
вблизи поверхности достигает значений 100–150 В/м.<br />
В униполярном режиме устройство можно использовать для регистрации<br />
электрического потенциала; в дифференциальном режиме<br />
оно может измерять локальное электрическое поле или же может<br />
быть развернуто в решетки, что обеспечит отображение пространственного<br />
распределения потенциала — обнаружение проводящих<br />
Рис. 1. Блок-схема датчика EPIC<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
21
22<br />
Рис. 2. Входной каскад датчика EPIC<br />
датчики<br />
или диэлектрических материалов в трехмерном<br />
пространстве.<br />
На рис. 1 представлена принципиальная<br />
схема блока EPIC-датчика [1]. Размер электродов<br />
показан условно и зависит от входной<br />
емкости, необходимой для конкретного<br />
применения. Для тел, расположенных<br />
близко к электроду, размер электрода имеет<br />
значение, и работу устройства можно рассматривать<br />
с точки зрения емкостной связи.<br />
Для устройств, которые находятся на расстоянии<br />
нескольких метров, емкостная связь<br />
определяется только собственной емкостью<br />
электрода, и отклик устройства в значительной<br />
степени является функцией входного<br />
импеданса, тем, как он взаимодействует с полем.<br />
Как это ни удивительно, для функционирования<br />
в активном режиме датчику EPIC<br />
достаточно очень небольшого количества<br />
энергии, получаемого от внешнего поля.<br />
Входное сопротивление устройства может<br />
быть повышено путем использования компенсационной<br />
обратной связи, в то время как<br />
входная емкость может быть уменьшена блокировочными<br />
цепями. Таким образом, удается<br />
достичь уровня входной емкости в 10 –17 Ф<br />
с входным сопротивлением, имеющим значение<br />
примерно 10 15 Ом, при этом обеспечивается<br />
минимальное влияние на поле исследуемого<br />
объекта и гарантируется наличие только малых<br />
токов смещения между датчиком и объектом.<br />
Рис. 3. ЛАХ, соответствующая функции (2)<br />
Для более глубокого понимания механизмов<br />
обратной связи обратимся к рис. 2 и рассмотрим<br />
входной буфер усилителя и связанные<br />
с ним сопротивления. Резисторы RG1 и RG2<br />
используются для установки коэффициента<br />
усиления в первом каскаде, который номинально<br />
является единым. C in и R in представляют<br />
собой соответственно собственные входную<br />
емкость и сопротивление усилителя и включают<br />
в себя также паразитные составляющие,<br />
возникающие из-за несовершенства схемы или<br />
подложки. Конденсатор C ext имитирует емкостную<br />
связь с измеряемым объектом.<br />
Для сильной связи (C ext >> C in ), справедливо<br />
выражение:<br />
C ext = ε 0 ε r a/d, (1)<br />
где a — эквивалентный общий электрод/площадь<br />
объекта; d — расстояние между объектом<br />
и датчиком; ε 0 — диэлектрическая<br />
проницаемость промежутка между объектом<br />
и датчиком; ε r — относительная диэлектрическая<br />
проницаемость диэлектрика, которым<br />
покрыт датчик.<br />
Для слабой связи (C ext
Рис. 4. Записи ЭКГ, показывающие результаты, полученные с помощью:<br />
а) датчиков EPIC; б) традиционных «мокрых» электродов<br />
активностью мышц глаза, при движении<br />
глазного яблока влево-вправо и вверх-вниз.<br />
Эти сигналы обладают уникальными сигнатурами,<br />
поэтому в данном случае ЭОГ необходима<br />
для отслеживания положения глаз,<br />
и, следовательно, на основании ЭОГ можно<br />
формировать информацию для контроля<br />
прицеливания в военных и игровых приложениях.<br />
Но, пожалуй, самым захватывающим применением<br />
в медицинской сфере является<br />
электроэнцефалография (ЭЭГ), которая фиксирует<br />
электрическую активность головного<br />
мозга. Применение датчика EPIC в этой области<br />
все еще незначительно, но потенциальная<br />
возможность записать и идентифицировать<br />
сигналы от известных моделей мышления<br />
открывает возможности, которые сейчас<br />
описаны только в научно-фантастической<br />
литературе.<br />
Системы безопасности<br />
Сам физический принцип работы позволяет<br />
применять датчик EPIC для обнаружения<br />
любых нарушений в локальном электрическом<br />
поле на расстояниях до нескольких десятков<br />
метров. Организм человека, который<br />
является для датчика большим контейнером<br />
проводящего и поляризуемого материала,<br />
вызывает большое возмущение в электрическом<br />
поле и, таким образом, представляет<br />
собой легко обнаружимую цель. Человеку,<br />
сидящему в нескольких метрах от датчика,<br />
стоит только оторвать ноги от поверхности,<br />
чтобы создать сильный сигнал.<br />
Массивы датчиков могут быть использованы<br />
для обеспечения желаемого уровня<br />
пространственного разрешения и, следовательно,<br />
для определения места и дальности<br />
расположения цели. Такие массивы могут<br />
также различать человека и животных, потому<br />
что время получения ответной сигнатуры<br />
находится в прямой зависимости от ритма<br />
и размера шага. Такую систему датчиков<br />
можно было бы использовать для охраны периметров<br />
объектов и границы в отдаленных<br />
районах страны.<br />
а<br />
человеко‑машинный интерфейс<br />
Способность EPIC различать сигналы,<br />
уникальные для различных мышц или групп<br />
мышц, открывает новые возможности для<br />
улучшения человеко-машинного взаимодействия.<br />
Например, парализованный человек,<br />
который сейчас зависит от сиделки или сопровождающего,<br />
может с помощью систем<br />
на датчиках EPIC, реагирующих на минимальную<br />
мышечную активность, повысить<br />
свою мобильность или частично обслуживать<br />
себя. Кроме того, поскольку EPIC можно<br />
обучить распознавать уникальную сигнатуру<br />
определенных групп мышц, открывается<br />
много возможностей для взаимодействия<br />
протезов конечностей и управления ими.<br />
микроскопия<br />
Технология EPIC будет также полезна в микроскопии.<br />
Миниатюрные датчики для сканирования<br />
полупроводниковых кристаллов<br />
(микрочипов) могут показать области с высоким<br />
или низким потенциалом, что позволит<br />
пользователю получить карту распределения<br />
токов в металлических дорожках и других<br />
элементах схемы. Дефекты в диэлектрических<br />
материалах могут быть обнаружены пассивными<br />
средствами (при выявлении пьезоэлектрического<br />
эффекта) или при определении<br />
путей утечки в активной цепи.<br />
Рис. 6. Датчики PS25101 и PS25102<br />
в металлическом корпусе для контактных измерений —<br />
электрод пациента (сухой контакт)<br />
датчики<br />
Рис. 5. Микрофотография, полученная<br />
с использованием технологии EPIC<br />
Недавно с помощью 6-мкм датчика была<br />
продемонстрирована возможность идентифицировать<br />
отпечатки человеческих пальцев,<br />
оставленных на изоляционном материале<br />
из группы фторопластов (рис. 5), и охарактеризовать<br />
степень их распада [3]. Таким<br />
образом, подтверждена возможность применять<br />
этот метод в криминалистике и судмедэкспертизе<br />
для датировки отпечатков<br />
пальцев. Кроме того, поскольку этот метод<br />
является неразрушающим и после его применения<br />
не остается никаких химических<br />
остатков, можно использовать эти же образцы<br />
и для последующего анализа ДНК.<br />
Заключение<br />
Конечно, это только малая доля возможных<br />
областей применения. Например,<br />
не вызывает сомнения, что датчики EPIC<br />
будут востребованы в системах контроля<br />
физиологического состояния операторов<br />
на опасных производствах, водителей всех<br />
видов транспортных средств, включая и общественный<br />
транспорт. Их будут использовать<br />
также для индивидуального мониторинга<br />
здоровья и контроля нагрузок в спорте<br />
высоких достижений, в телемедицине,<br />
при массовой диспансеризации, в системах<br />
визуализации, виртуальной реальности,<br />
Рис. 7. Датчики PS25251, PS25253 и PS25255<br />
в корпусе QFN для контактных измерений<br />
(сухой контакт)<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
б<br />
23
24<br />
Рис. 8. PS25201, PS25203 и PS25205 —<br />
гибридная сборка с датчиком на печатной плате<br />
для контактных измерений (сухой контакт)<br />
Рис. 9. PS25451 — датчик в корпусе QFN<br />
для бесконтактных измерений<br />
датчики<br />
Рис. 10. PS25401 — гибридная сборка с датчиком<br />
на печатной плате для бесконтактных измерений<br />
новости промышленные компьютеры<br />
Рис. 11. PS25003 — отладочный набор со встроенными фильтрами 50/60 Гц<br />
Рис. 12. PS25012A1, PS25012A3 и PS25014A1 —<br />
выносной зонд для контактных и бесконтактных<br />
измерений (к отладочному набору)<br />
распознавания жестов и образов, в системах<br />
контроля целостности конструкций, зданий<br />
и сооружений.<br />
На рис. 6–13 представлены примеры серийных<br />
изделий Plessey Semiconductors,<br />
использующих технологию EPIC. n<br />
Литература<br />
1. Breakspear R., Connor S. A single-arm ambulatory<br />
EKG measurement system using capacitive sensors //<br />
Рис. 13. PS25503 Impulse —<br />
демонстрационный набор с ПО для передачи ЭКГ<br />
по каналу Bluetooth на устройства с ОС Android<br />
Electronics goes medical paper — http://<br />
plesseysemiconductors.com/library-plesseysemiconductors.php<br />
2. Harland C. J., Peters N. S., et al. A compact electric<br />
potential sensor array for the acquisition and<br />
reconstruction of 7-lead ecg without electrical charge<br />
contact with the skin // Physiol. Meas. 2005. No. 26.<br />
3. Watson P., Prance R. J., Beardsmore-Rust S. T.<br />
Latent electrostatic fingerprints and their decay:<br />
towards a forensic timeline // Science International.<br />
2011.<br />
Toughbook CF-H2 Field — полностью защищенный планшетный компьютер<br />
Компания Panasonic начала поставку на российский рынок полностью<br />
защищенного планшета Toughbook CF-H2 Field.<br />
Этот компьютер, ориентированный для работы в полевых условиях, построен<br />
на базе современного процессора IntelCore i5-2557M (1,7 ГГц). Модель<br />
оснащена трансфлективным дисплеем с диагональю 10,1 дюйма, 2 Гбайт<br />
оперативной памяти (максимум — 8 Гбайт), жестким или твердотельным<br />
диском на 160 или 120 Гбайт соответственно.<br />
Для удовлетворения различных технических требований CF-H2 снабжен интерфейсами<br />
RS-232, Ethernet, USB и разъемом для подключения док-станции.<br />
Планшет имеет большой набор средств беспроводной связи, включая Wi-Fi,<br />
Bluetooth 2.1 + EDR и опционально — 3G и GPS. По специальному заказу воз-<br />
можна поставка CF-H2 с веб-камерой 3 Мпикс, считывателем штрих-кодов и отпечатков<br />
пальцев.<br />
Устройство работает под управлением операционной системы Microsoft<br />
Windows 7 Professional. В планшете установлены две съемные батареи емкостью<br />
по 3400 мА·ч, обеспечивающие максимальную производительность<br />
и безопасность с возможностью «горячей замены». Для обеспечения работы<br />
пользователей в полевых условиях, где отсутствует доступ к источнику питания,<br />
Toughbook CF-H2 Field может работать около 6,5 ч без подзарядки.<br />
Планшет весит 1,58 кг, имеет степень защиты IP65, устойчив к вибрации<br />
и ударам в соответствии с военным стандартом MIL-STD-810 -G.<br />
www.prosoft.ru<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Владимир ДьяКОНОВ,<br />
д. т. н., профессор<br />
vpdyak@yandex.ru<br />
Датчики мощности СВч-сигналов<br />
корпорации Tektronix<br />
Серии датчиков мощности PSM<br />
от Tektronix PSM3000, PSM4000 и PSM5000 —<br />
это компактные устройства, обладающие<br />
высокой производительностью и точностью<br />
измерений. Датчики мощности PSM могут<br />
работать в СВЧ-диапазоне частот до 26,5 ГГц,<br />
а максимальный динамический диапазон<br />
может составлять до 80 дБ. Нижняя граница<br />
— от 10 до 50 МГц — частично охватывает<br />
область радиочастот, что расширяет сферу<br />
применения датчиков.<br />
В литературе эти устройства часто именуются<br />
измерителями мощности. Но это неверное<br />
название, поскольку датчики не имеют<br />
индикаторной части и даже своего источника<br />
электропитания, сами по себе они не способны<br />
что-либо измерять. Датчики питаются<br />
от универсальной последовательной шины<br />
USB обычного компьютера, передают по ней<br />
данные об измерениях в цифровой форме<br />
и используют вычислительные возможности<br />
персонального компьютера для обработки<br />
измеряемых сигналов и коррекции погрешности<br />
и эффективно используют видеосистему<br />
ПК для качественной индикации результатов<br />
обработки сигналов и измерения их<br />
параметров. В состав датчиков входят нагрузочный<br />
резистор, СВЧ-детектор, устройство<br />
коррекции его передаточной характеристики,<br />
аналого-цифровой преобразователь, USBпорт,<br />
осциллографическая часть и др.<br />
В линейке датчиков мощности PSM<br />
от Tektronix представлено несколько моделей,<br />
Таблица 1. Основные характеристики датчиков мощности СВЧ-сигналов корпорации Tektronix<br />
Характеристика Серия PSM3000 Серия PSM4000 Серия PSM5000<br />
Частотный диапазон От 10 МГц до 26,5 ГГц От 10 МГц до 20 ГГц От 50 МГц до 20 ГГц<br />
Измеряемая мощность, дБм –55…+20 –60…+20<br />
Скорость измерения, измерений/c 2000<br />
Функции измерения<br />
Истинное значение средней мощности +<br />
Средняя мощность (CW) + +<br />
Пиковая и импульсная мощность + +<br />
Пиковая и средняя мощность<br />
в пачке импульсов<br />
+ +<br />
Регистрация данных + + +<br />
Длительность, выброс,<br />
время нарастания/спада, спад импульса<br />
+<br />
Измерения в стробированной<br />
временной области<br />
+<br />
Маркерные измерения импульса +<br />
датчики<br />
Цифровые USB-датчики<br />
мощности Вч- и СВч-сигналов<br />
корпорации Tektronix<br />
и их применение<br />
Измерение мощности СВч-сигналов давно является актуальной задачей.<br />
Ее значение особенно возросло с разработкой множества интегральных<br />
схем СВч-диапазона, например генераторов и синтезаторов СВч-сигналов,<br />
усилителей и т. д. Перспективным решением в создании дешевых средств<br />
измерения мощности СВч-сигналов cтала разработка USB-датчиков (сенсоров)<br />
таких сигналов, подключаемых к персональному компьютеру (ПК).<br />
Такие датчики серии U2000 выпустила корпорация Agilent Tecnologies [1].<br />
В начале 2012 г. корпорация Tektronix (www.tektronix.com) также анонсировала<br />
серию из 13 цифровых USB-датчиков мощности Вч- и СВч-сигналов<br />
[2, 3], предназначенных для построения совместно с ПК измерителей мощности<br />
СВч-сигналов в широком динамическом диапазоне (до 80 дБм)<br />
и в широкой полосе частот (от 10–50 МГц до 26,5 ГГц). Эти новинки описаны<br />
в данной статье.<br />
которые разделены на три серии: PSM3000,<br />
PSM4000 и PSM5000. Различие серий в основном<br />
функциональное, хотя внутри серий у моделей<br />
есть некоторые конструктивные особенности.<br />
Основные технические параметры<br />
измерителей мощности серии PSM3000 и других<br />
серий приведены в таблице 1.<br />
Модели датчиков, в наименовании которых<br />
третья цифра «1» (например, XX1X),<br />
в качестве входного разъема используют<br />
3,5-мм разъем (штырь). Модели, в наименовании<br />
которых третья цифра «2» (XX2X),<br />
в качестве входного разъема используют<br />
разъем типа BNC (штырь). Внешний вид<br />
датчиков показан на рис. 1.<br />
Для синхронизации с другими измерительными<br />
приборами все модели имеют триггерные<br />
входы и выходы TTL-уровня.<br />
Рис. 1. Внешний вид датчиков мощности корпорации Tektronix<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
25
26<br />
датчики<br />
датчики мощности серии Psm5000<br />
Датчики мощности серии PSM5000 — наиболее<br />
функциональные из описанных устройств.<br />
Их внешний вид показан на рис. 2. Спереди<br />
к датчикам подключается коаксиальный кабель<br />
с разъемом, подающий измеряемый сигнал,<br />
сзади расположены разъемы USB-линии<br />
и управления запуском и синхронизацией при<br />
работе с импульсными сигналами.<br />
Рис. 2. Датчики мощности серии PSM5000<br />
Таблица 2. Основные характеристики<br />
датчиков мощности серии PSM5000<br />
Характеристика PSM5410 PSM5320 PSM5120 PSM5110<br />
Диапазон частот<br />
Входной разъем<br />
От 50 МГц<br />
до 20 ГГц<br />
3,5 мм<br />
(штырь)<br />
От 50 МГц<br />
до 18,6 ГГц<br />
N-тип<br />
(штырь)<br />
От 100 МГц до 8 ГГц<br />
N-тип<br />
(штырь)<br />
3,5 мм<br />
(штырь)<br />
Динамический<br />
диапазон, дБм<br />
–40…+20 –60…+20<br />
Статистический анализ + + + +<br />
Габариты, мм:<br />
–диаметр<br />
– длина<br />
48<br />
74<br />
48<br />
62<br />
Вес, г 124 163 110 149<br />
Основные технические параметры измерителей<br />
мощности серии PSM5000 приведены<br />
в таблице 2.<br />
Функции измерения, осуществляемые ПО<br />
Pulse Profiling:<br />
• время нарастания и спада;<br />
• коэффициент заполнения;<br />
• выброс;<br />
• спад импульса;<br />
• крест-фактор;<br />
• длительность импульса, период;<br />
• занимаемый диапазон;<br />
• частота и период повторения;<br />
• средняя, пиковая и импульсная мощность.<br />
Кроме тех возможностей, которые предоставляет<br />
серия PSM4000, в «старшей» серии<br />
появляются дополнительные возможности<br />
в области пиковых и импульсных<br />
измерений.<br />
датчики мощности<br />
серии Psm4000<br />
PSM4000 расширяют возможности «младшей»<br />
серии и обеспечивают измерение<br />
не только средней мощности (CW), но и могут<br />
работать в импульсном режиме и дополнительно<br />
позволяют измерять импульсную<br />
и пиковую мощность СВЧ-сигналов. Все датчики<br />
имеют интерфейс USB2.0 и обеспечивают<br />
до 2000 измер./с.<br />
Рис. 3. Внешний вид датчика PSM4120<br />
Таблица 3. Основные характеристики датчиков<br />
мощности серии PSM4000<br />
Характеристика PSM4410 PSM4320 PSM4120<br />
Диапазон частот<br />
От 50 МГц<br />
до 20 ГГц<br />
От 50 МГц<br />
до 18,6 ГГц<br />
От 10 МГц<br />
до 8 ГГц<br />
Входной разъем<br />
3,5 мм<br />
(штырь)<br />
N-тип<br />
(штырь)<br />
Динамический диапазон, дБм<br />
Габариты, мм:<br />
–40…+20 –60…+20<br />
– диаметр<br />
48<br />
48<br />
– длина<br />
74<br />
62<br />
Вес, г 124 163 149<br />
Измерение средней,<br />
импульсной, пиковой<br />
мощности, крест-фактор<br />
и коэффициент заполнения<br />
+ + +<br />
Основные технические параметры измерителей<br />
мощности серии PSM4000 приведены<br />
в таблице 3. Внешний вид датчика PSM4120<br />
изображен на рис. 3.<br />
датчики мощности серии Psm3000<br />
Внешний вид датчика мощности серии<br />
PSM3000 показан на рис. 4. Это «младшая» серия<br />
таких датчиков — самая дешевая, но малофункциональная.<br />
Но она позволяет измерять<br />
истинную среднюю мощность сигналов.<br />
В таблице 4 указаны параметры этой серии.<br />
Рис. 4. Датчик мощности серии PSM3000<br />
Таблица 4. Основные характеристики<br />
датчиков мощности серии PSM3000<br />
Характеристика<br />
Диапазон частот<br />
От 10 МГц<br />
до 26,5 ГГц<br />
От 10 МГц<br />
до 18 ГГц<br />
От 10 МГц<br />
до 8 ГГц<br />
Входной разъем<br />
3,5 мм<br />
(штырь)<br />
N-тип<br />
(штырь)<br />
3,5 мм<br />
(штырь)<br />
Динамический диапазон, дБм<br />
Габариты, мм:<br />
–55…+20<br />
– диаметр<br />
48<br />
– длина<br />
74<br />
Вес, г 164 203 164<br />
PSM3510<br />
PSM3320<br />
PSM3310<br />
PSM3120<br />
PSM3110<br />
Подключение датчиков мощности<br />
к персональному компьютеру<br />
Датчики подключаются к ПК с помощью<br />
кабеля для универсальной последовательной<br />
шины USB2.0 длиной 2–3,5 м. ПК должен<br />
иметь одну из следующих распространенных<br />
операционных систем:<br />
• Windows XP, Service Pack 3;<br />
• Windows Vista;<br />
• Windows 7 (32- или 64-бит, или XP mode).<br />
На рис. 5 показан чертеж задней стенки<br />
датчика мощности. Показаны расположенные<br />
там USB-разъем и разъемы триггера<br />
и ТТЛ-сигнала синхронизации внешних<br />
устройств.<br />
Рис. 5. Назначение основных разъемов<br />
датчика мощности на его задней крышке<br />
На рис. 6 показано подключение датчика<br />
мощности к персональному компьютеру —<br />
ноутбуку, нетбуку или планшету. Разумеется,<br />
можно подключить его и к настольному компьютеру.<br />
Рис. 6. Подключение датчика мощности<br />
к персональному компьютеру<br />
Каждый датчик может выполнять высокоскоростные<br />
измерения (до 2000 операций/с)<br />
и поставляется с программным обеспечением<br />
под Windows, которое обеспечивает<br />
управление датчиком, считывание и запись<br />
данных. Подобная комбинация обеспечивает<br />
полное решение измерительной задачи<br />
при обеспечении компактности, и при этом<br />
отпадает необходимость в отдельном мэйнфрейме.<br />
Датчик мощности PSM подключает-<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Рис. 7. Одновременное применение нескольких датчиков мощности<br />
ся к ПК по интерфейсу USB 2.0, а кроме того,<br />
до 12 датчиков могут быть интегрированы<br />
в многоканальную измерительную систему<br />
(рис. 7), включены в среду LabVIEW и использоваться<br />
совместно с другими приборами<br />
Tektronix, которые поддерживают эту<br />
возможность.<br />
установка По<br />
и индикация результатов измерения<br />
В комплект датчиков мощности входит<br />
программное обеспечение. Для его установки<br />
служит инсталлятор с файлом setup.exe.<br />
Рис. 9. Окно со списком приложений,<br />
устанавливаемых программой<br />
Рис. 8. Поиск директории с инсталлятором Setup и подготовка к его пуску<br />
датчики<br />
работа со средствами измерений<br />
На рис. 10 показано окно измерений, характерное<br />
для датчиков серии PSM3000.<br />
Помимо вывода цифр — результатов измерений<br />
мощности и частоты — выводится<br />
и аналоговая шкала мощности: она показана<br />
в нижней части окна измерения на рис. 10.<br />
Могут измеряться следующие величины,<br />
связанные с мощностью: дБм, дБ·Вт, дБ·кВт,<br />
дБ·мкВ, дБ·мВ, дБ·В, Вт, В, дБ. Меню основных<br />
операций расположено под титульной<br />
строкой окна программы. Максимальный<br />
уровень сигнала +23 дБм, 200 мВт или<br />
3,15 ВRMS . Его не следует превышать во избежание<br />
выхода датчика из строя.<br />
Датчики мощности PSM5000 позволяют<br />
производить самое большое число видов измерений.<br />
Они отображаются в окне, представленном<br />
на рис. 11. Это окно состоит<br />
из трех частей:<br />
• меню видов измерений (сверху);<br />
• двух окон с осциллограммами;<br />
Поиск директории этой программы на прилагаемом<br />
диске поясняет рис. 8.<br />
Инсталлятор служит для установки USB- • общего перечня всех измерений (справа).<br />
драйвера и следующих прикладных про- По сравнению с рис. 10 меню операций знаграмм:чительно<br />
расширено. Предусмотрено большое<br />
• PowerMeter application — программные число импульсных измерений для огибающих<br />
средства измерителя мощности на основе сигналов, автоматические и маркерные измере-<br />
описанных выше датчиков мощности; ния, измерения в выбранной и стробируемой<br />
• High-speed Logging application — про- временной областях. Подробное описание опе-<br />
граммные средства для создания высокораций этого меню можно найти в инструкции<br />
скоростного логгера данных и их записи; пользователя [2]. Подробные технические ха-<br />
• Pulse Profiling application: (только для датчи- рактеристики, в частности данные о погрешно-<br />
ков PSM5000) — программное средство для стях, имеются в datasheet на приборы [3].<br />
профилирования импульсов огибающей Программа измерений обеспечивает про-<br />
сигнала и вычисления их параметров; ведение хорошо известных в технике ра-<br />
• Sample Code — примеры применения, бот с осциллографами курсорных измере-<br />
управление датчиком, его описание и обний. Вкладка таких измерений в позиции<br />
ращение на сайт корпорации Tektronix. Markers основного меню показана на рис. 12.<br />
Работа с инсталлятором Setup под- На вкладке можно задать до пяти курсоров<br />
робно документирована и не требует осо- различного типа и обеспечить их перемещебых<br />
пояснений. Разве что стоит отметить ние на панелях осциллограмм. На рис. 13 по-<br />
одно из окон этой программы, показанное казано окно, позволяющее задать толщину<br />
на рис. 9, в котором имеется список прило- и цвет каждого курсора.<br />
жений (видов измерений). Он может быть Чтобы измерить тот или иной параметр<br />
разным для разных моделей датчиков мощ- импульса (отдельно или в составе импульсной<br />
ности. В ходе инсталляции ПО устанавли- последовательности), нужно должным обравается<br />
USB-драйвер и прикладные програмзом его выделить. Это показано на рис. 14.<br />
мные средства. Завершается инсталляция Многие измерения делаются в специаль-<br />
выводом окна с сообщением installation ных временных окнах Gates. Вкладка глав-<br />
Complete.<br />
ного меню работы с такими окнами показана<br />
Рис. 10. Окно измерений при работе с датчиком PSM3000<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
27
28<br />
датчики<br />
Рис. 11. Пример измерений для датчика мощности серии PSM5000<br />
Рис. 12. Вкладка маркеров Markers<br />
Рис. 14. Диаграмма импульсов и выделения<br />
Рис. 15. Вкладка временных окон Gates главного меню<br />
на рис. 15. На ней видно, что программа измерений<br />
позволяет задавать до пяти таких<br />
окон, которые обозначаются как A, B, C, D<br />
и F. В любой момент времени можно работами<br />
с одним из этих окон.<br />
Имеется также окно определения временных<br />
окон (рис. 16). В нем задаются размер<br />
окна и его цвет.<br />
С помощью установленного программного<br />
обеспечения датчики мощности PSM5000<br />
обеспечивают следующие виды автоматических<br />
измерений:<br />
• Rise Time (RT) — время нарастания импульса<br />
огибающей;<br />
• Fall Time (FT) — время спада импульса<br />
огибающей;<br />
• Pulse Width (PW) — ширина импульса;<br />
• Pulse Repetition Time (PRT) — время повторения<br />
нулевого импульса;<br />
• Pulse Repetition Frequency (PRF) — частота<br />
повторения импульса;<br />
• Duty Cycle (DC) — скважность импульс;<br />
• Pulse Power (Pls) — мощность импульсная;<br />
• Peak Power (Pk) — пиковая мощность;<br />
Рис. 13. Окно задания толщины и цвета маркеров<br />
Рис. 16. Вкладка временных окон Gates<br />
основного меню<br />
• Average Power (Avg) — средняя мощность;<br />
• Crest Factor (CF or CrF) — крест-фактор;<br />
• Overshoot (OvSh) — выброс;<br />
• Droop — наклон;<br />
• On/Off Ratio — отношение вкл./выкл.<br />
В панели в правой части окна на рис. 11<br />
программы дан пример вывода результатов<br />
всех измерений в позиции Gate. Еще один<br />
пример измерений в этой позиции меню дан<br />
на рис. 17. В верхней панели осциллограмм<br />
приведены осциллограммы последовательности<br />
прямоугольных импульсов, а в нижней<br />
— осциллограмма одного импульса.<br />
Импульс выделен красными курсорами для<br />
измерения его длительности.<br />
При импульсных измерениях параметров<br />
вспышки несущей используются ее параметры,<br />
представленные на рис. 18.<br />
Благодаря программе Tektronix Pulse<br />
Profiling Software можно проводить анализ<br />
во временной области повторяющихся импульсных<br />
сигналов с вычислением таких<br />
параметров, как время нарастания и спада<br />
огибающей сигнала, коэффициент заполнения,<br />
выброс, спад импульса, крест-фактор,<br />
длительность импульса, период, занимаемый<br />
диапазон, частота и период повторения, средняя,<br />
пиковая и импульсная мощность. Кроме<br />
того, программное обеспечение позволяет<br />
строить и графически воспроизводить огибающую<br />
импульса, проводить статистический<br />
анализ графических данных, включая<br />
применение интегральных функций распределения<br />
(CCDF) и функций плотности вероятности<br />
(PDF).<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Рис. 17. Работа с разделом меню Gates<br />
Рис. 18. Основные параметры<br />
вспышки (burst) несущей<br />
Работа<br />
с высокоскоростным логгером<br />
Датчики серии PSM5000, совместно с ПК,<br />
способны выполнять еще одну важную<br />
функцию логгера — устройства для записи<br />
большого числа данных в память. Это нужно,<br />
к примеру, для контроля уровня мощности<br />
радиопередающих устройств в течение<br />
Рис. 20. Установка записи данных<br />
на вкладке Data Storage<br />
Рис. 19. Окно высокоскоростного логгера<br />
длинного промежутка времени. Окно запуска<br />
и управления высокоскоростным логгером<br />
показано на рис. 19.<br />
Рис. 21. Установка параметров измерений<br />
на вкладке Measurement<br />
датчики<br />
Для установки параметров логгера служит<br />
окно с шестью вкладками. Оно показано<br />
на рис. 20 с открытой вкладкой Data Storage.<br />
Здесь задаются данные о файле, его директории,<br />
имена и т. д.<br />
Во вкладке Measurement (рис. 21) указываются<br />
данные об измерениях. Здесь задается<br />
режим измерения мощности непрерывных<br />
или импульсных сигналов, а также<br />
единицы измерения, частота и число усреднений.<br />
Установки запуска измерений представлены<br />
на вкладке Meas Start (рис. 22). Здесь указывается<br />
действие, которое должно запускать<br />
логгер, например нажатие кнопки, начало измерений<br />
и т. д.<br />
Рис. 22. Установка запуска измерений<br />
на вкладке Meas Start<br />
Рис. 23. Установка окончания измерений<br />
на вкладке Meas Stop<br />
Рис 24. Установка интервалов между измерениями<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
29
30<br />
датчики<br />
Установки, ведущие к завершению работы<br />
логгера, указаны на вкладке Meas Stop<br />
(рис. 23). Здесь также указывается действие,<br />
которое ведет к остановке работы логгера.<br />
Последняя вкладка Meas Spacing (рис. 24)<br />
задает интервалы между измерениями.<br />
Заключение<br />
Высокая функциональность, быстродействие<br />
и непревзойденные технические<br />
характеристики датчиков мощности позволяют<br />
использовать их в различных областях<br />
измерений. К ним относятся, например:<br />
новости события<br />
• стандартные ВЧ- и СВЧ-измерения малой<br />
и средней мощности;<br />
• измерение параметров повторяющихся<br />
импульсных сигналов, таких как сигналы<br />
от навигационных и метеорологических<br />
устройств и подобных радаров;<br />
• измерение пиковой и средней мощности<br />
модулированных сигналов, с такими<br />
протоколами, как GSM, CDMA, WCDMA,<br />
HSPA, WiMAX с частотой выше 10 МГц;<br />
• измерение пиковой и средней мощности<br />
модулированных сигналов различных систем<br />
связи и радиовещания, цифрового<br />
телевидения и подобных коммуникационных<br />
устройств;<br />
Воронежский промышленный форум —<br />
деловая площадка инновационных возможностей<br />
В период с 27 февраля по 1 марта 2013 года в Воронеже в шестой раз<br />
пройдет промышленный форум — самая главная дискуссионная площадка<br />
в Центрально-Черноземном регионе, на которой обсуждаются вопросы промышленного<br />
развития страны в целом и регионов в частности. Модернизация<br />
и перевооружение существующих и запуск новых предприятий, внедрение<br />
в промышленность энергосберегающих технологий и повышение энергоэффективности,<br />
подготовка высококвалифицированных кадров — вот те<br />
задачи, решить которые призван Воронежский промышленный форум.<br />
В 2012 году в проекте приняло участие 133 компании и свыше 4000 человек:<br />
предприниматели и промышленники из Москвы, Санкт-Петербурга,<br />
Новосибирска, Саратова, Краснодара, Пензы, Тольятти, Новочеркасска,<br />
Ростова-на-Дону, Тамбова, Белгорода, Воронежа, Курска, Орла и Липецка<br />
обменялись деловыми контактами, поделились опытом, заключили соглашения<br />
о сотрудничестве и посетили многочисленные деловые мероприятия<br />
в рамках форума. Кроме того, его гостями и участниками стали делегации<br />
из Германии, Финляндии, Республики Беларусь и Украины.<br />
Необходимо отметить возросшую динамику продаж и деловых контактов<br />
на Воронежском промышленном форуме, что говорит об улучшающемся качественном<br />
составе посетителей и участников и расширении их географии.<br />
Участники форума утверждают, что приобрели большое количество перспективных<br />
контактов: на стендах активно проводились деловые переговоры.<br />
75% экспонентов предыдущей выставки укрепили рыночные позиции, провели<br />
исследование рынка, достигли договоренности об открытии представительства<br />
в Воронежской области и ЦЧР, заключили договоры с покупателями<br />
и поставщиками. Это обусловлено тем, что у Воронежского промышленного<br />
Реклама<br />
• проверка работоспособности и измерение<br />
характеристик усилителей, коммутаторов<br />
и других ВЧ- и СВЧ-устройств. n<br />
Литература<br />
1. Дьяконов В. П. СВЧ-аксессуары фирмы Agilent<br />
Technologies // Компоненты и технологии. 2011.<br />
№ 8.<br />
2. PSM3000, PSM4000 and PSM5000 Series RF and<br />
Microwave Power Sensors/Meters. User Manual.<br />
Tektronix, 2012.<br />
3. PSM3000, PSM4000 and PSM5000 Series RF<br />
and Microwave Power Sensors/Meters. Data Shift.<br />
Tektronix, 2012.<br />
форума целевой посетитель: 90% гостей — это руководители и специалисты<br />
крупных российских и зарубежных промышленных объединений, ассоциаций<br />
и предприятий.<br />
В рамках Воронежского промышленного форума в 2013 году состоятся<br />
четыре межрегиональные специализированные выставки: «Промэкспо»,<br />
«Энергоресурс», «Инновационные технологии», «Экология в промышленности»,<br />
расширенные секции «Автоматизация в промышленности»<br />
и «Безопасность в промышленности».<br />
Деловая программа охватит темы развития и модернизации промышленной<br />
автоматизации, инвестиций, инноваций технологических процессов, банковские<br />
программы по поддержке бизнеса и многое другое.<br />
Форум, проходящий в форматах B2B и B2G, объединит интересы всех<br />
специалистов промышленной индустрии — промышленников, энергетиков,<br />
инвесторов, представителей бизнес-сообщества, отраслевых ассоциаций,<br />
союзов, органов власти.<br />
Организаторами форума являются Правительство и Торгово-промышленная<br />
палата Воронежской области. Форум проводится под патронажем<br />
Торгово-промышленной палаты РФ, а также при активном участии и поддержке<br />
администрации города Воронежа и объединения работодателей<br />
«Совет промышленников и предпринимателей Воронежской области».<br />
По вопросам участия в VI Воронежском промышленном форуме обращайтесь<br />
в исполнительную дирекцию форума по телефонам в Воронеже:<br />
(473) 251-20-12, доб. 212, 240 (многоканальный) или по<br />
e-mail: prom@veta.ru.<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013<br />
Реклама
Реклама
32<br />
датчики<br />
Сергей ШИШКИН<br />
В<br />
жидких и газообразных средах с помощью<br />
ультразвуковых датчиков можно<br />
осуществлять контроль жидкости в открытых<br />
и закрытых резервуарах и емкостях.<br />
Резервуары и емкости при этом могут находиться<br />
под повышенным давлением, а жидкость<br />
в них — иметь высокую температуру.<br />
Выдаваемая ультразвуковыми датчиками<br />
информация может быть как цифровой, так<br />
и аналоговой и в большинстве случаев используется<br />
для контроля и автоматизации<br />
процессов, протекающих в пространстве,<br />
зондируемом ультразвуковым датчиком.<br />
Разнообразие условий эксплуатации и требований<br />
к контролю уровня жидкости, скорости<br />
ее потока и расхода в магистралях<br />
и трубопроводах в сочетании с различными<br />
физическими и химическими свойствами<br />
контролируемых жидких продуктов в широком<br />
диапазоне значений температуры<br />
и давления обусловили широкую гамму разновидностей<br />
и модификаций применяемых<br />
ультразвуковых датчиков. Конструктивное<br />
исполнение и схемотехническое решение<br />
датчика может быть различным и зависит<br />
от конкретного применения.<br />
Для указанных целей могут использоваться<br />
различные датчики: поплавковые,<br />
емкостные, термометрические, оптические<br />
и, конечно, ультразвуковые. Принцип работы<br />
перечисленных датчиков основан на различии<br />
тех или иных параметров среды. Так,<br />
при контроле уровня воды и воздуха учитываются<br />
следующие параметры: плотность ρ,<br />
диэлектрическая проницаемость ε, теплопроводность<br />
α, оптическая плотность и акустическое<br />
сопротивление ρc, где с — скорость<br />
распространения ультразвуковых колебаний.<br />
Для ультразвукового метода отношение<br />
данных акустического сопротивления имеет<br />
большее значение, чем отношение соответствующих<br />
параметров ρ, ε, α при других способах<br />
контроля. Его легко подсчитать по известным<br />
значениям ρ и c в воде и воздухе:<br />
(ρc) ж /(ρc) в =<br />
= (9971,49710 3 )/(1,293,4310 2 ) ≈ 3400.<br />
В то же время отношение диэлектрической<br />
проницаемости для емкостного метода<br />
Ультразвуковой датчик<br />
жидких сред<br />
В статье дано теоретическое обоснование ультразвукового метода контроля.<br />
Рассматривается конкретный пример применения ультразвукового<br />
датчика в медицинской технике.<br />
не превышает 2,5 и только для воды равно 80.<br />
Отношение параметров для различных методов<br />
при контроле уровня среды и воздуха<br />
приведено в таблице 1.<br />
Таблица 1. Отношение контролируемых параметров<br />
для различных методов контроля<br />
Виды среды<br />
Вода<br />
и водные растворы<br />
Отношение параметров<br />
для различных методов контроля<br />
Емкостный Поплавковый Ультразвуковой<br />
80 770 3400<br />
Различные нефтепродукты:<br />
Нефть 2 640 2500<br />
Машинное масло 2,2 710 2900<br />
Бензин 2,3 580 2000<br />
Для поплавкового метода отношение значений<br />
плотности велико, но применение<br />
поплавкового датчика ограничивается при<br />
большой вязкости контролируемой жидкости<br />
вследствие залипания поплавка, а при<br />
малой плотности жидкости поплавок тонет.<br />
Малая чувствительность емкостного датчика<br />
и низкая эксплуатационная надежность поплавкового<br />
послужили в ряде случаев причиной<br />
их замены на более эффективные и надежные<br />
ультразвуковые датчики.<br />
Простота и надежность конструкции ультразвуковых<br />
датчиков, их универсальность,<br />
возможность использования практически<br />
в любых жидких средах, большой динамический<br />
диапазон чувствительности, хорошее<br />
соотношение сигнал/помеха, достаточно<br />
простая схемотехника, высокая точность<br />
(0,1–0,5 мм), повышенная надежность и большой<br />
срок службы — все это обусловило более<br />
широкое применение ультразвуковых<br />
сигнализаторов уровня в промышленности,<br />
судостроении, медицинской технике и т. д.<br />
Достоверность и надежность систем, использующих<br />
ультразвуковые датчики, очень высоки.<br />
Следует отметить, что ультразвуковые<br />
датчики не контактируют с предметами или<br />
объектами контроля.<br />
Рассмотрим работу ультразвукового<br />
датчика в качестве сигнализатора уровня.<br />
Ультразвуковые сигнализаторы уровня<br />
универсальны и применяются практически<br />
в любых жидкостях: прозрачных и оптиче-<br />
ски непрозрачных, вязких и в виде суспензий<br />
взвешенных частиц; пожаро- и взрывоопасных;<br />
агрессивных; электропроводных<br />
и неэлектропроводных. Кроме того, в сигнализаторах<br />
отсутствуют механически движущиеся<br />
элементы и детали, что обеспечивает<br />
их надежность и долговечность в процессе<br />
эксплуатации.<br />
Принцип работы подобных сигнализаторов<br />
уровня жидкости основан на фиксации<br />
изменения энергии ультразвуковой волны,<br />
проходящей через жидкость или газ, вследствие<br />
резких различий значений акустического<br />
сопротивления этих сред. Для измерения<br />
уровня жидкости в большинстве случаев используется<br />
принцип прохождения ультразвуковых<br />
колебаний между излучателем и приемником<br />
акустического датчика. В качестве<br />
материала преобразователей электрических<br />
колебаний высокой частоты (порядка 1 МГц)<br />
в ультразвуковые, распространяющиеся<br />
в контролируемой среде между излучателем<br />
и приемником, обычно используется пьезокерамика<br />
цирконата-титанта свинца в виде<br />
круглых пластин диаметром 6–30 мм, толщиной<br />
1–2 мм. Резонансная частота колебаний<br />
— от 0,5 до 2 МГц. Возбуждение и прием<br />
колебаний производится в непрерывном или<br />
импульсном режиме.<br />
Имеются две разновидности конструктивного<br />
исполнения дискретных сигнализаторов<br />
уровня:<br />
• Излучатель и приемник являются самостоятельными<br />
датчиками и устанавливаются<br />
напротив друг друга на заданной базе<br />
контроля.<br />
• Излучатель и приемник совмещены<br />
в одной конструкции в едином корпусе<br />
(двухщуповые датчики).<br />
В обоих случаях чувствительные головки<br />
сигнализаторов выполняются практически<br />
одинаково, но во втором случае задача конструирования<br />
сигнализатора (как конструктивное<br />
исполнение, так и выбор схемотехнического<br />
решения) усложняется в связи с возможностью<br />
передачи сигнала акустической<br />
помехи по металлу или другому материалу<br />
корпуса сигнализатора. Сигнал помехи, минуя<br />
рабочий зазор, распространяется от излучателя<br />
к приемнику и может вызвать лож-<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
ное срабатывание устройства. Выбор той или<br />
иной конструкции акустических датчиков<br />
дискретных сигнализаторов уровня жидкости<br />
определяется рядом факторов, из которых<br />
главными являются:<br />
• условия эксплуатации (физические и химические<br />
свойства среды, давление и температура,<br />
взрывоопасность);<br />
• акустические характеристики, в основном<br />
определяемые отношением сигнал/помеха;<br />
• требования к конструктивному исполнению<br />
(габаритные размеры, способ закрепления<br />
пьезоэлементов).<br />
Конструктивное исполнение чувствительной<br />
головки таких датчиков имеет три<br />
основные разновидности: стержневая, П-<br />
и С-образная.<br />
При работе ультразвуковых сигнализаторов<br />
в импульсном режиме используется<br />
временная селекция сигналов по жидкости<br />
от возможных сигналов помехи: по газу через<br />
рабочий зазор датчика и металлу корпуса<br />
датчика. Это разделение возможно из-за<br />
различной скорости распространения звука<br />
в этих средах: в жидкости — 1500 м/c;<br />
в газе — 340 м/c, то есть в пять раз меньше,<br />
чем в жидкости; в металлах — 6000 м/c,<br />
то есть в четыре раза выше, чем в жидкости.<br />
База прозвучивания (b) — это расстояние<br />
между излучающим и приемным преобразователем<br />
сигнализатора уровня, оно составляет<br />
4–40 мм. Время распространения между<br />
излучателем и приемником определяется<br />
базой детектора и средой, в которой распространяется<br />
сигнал. Скорость распространения<br />
звука в жидкости (с) — порядка 1500 м/с.<br />
Если взять базу b = 20 мм, то для воды время<br />
распространения колебаний между излучателем<br />
и приемником в детекторе будет равно:<br />
t в = b/с = 0,02/1500 ≈ 13 мкс.<br />
Поскольку сигнал помехи по газу достигает<br />
приемного преобразователя в пять раз медленнее,<br />
то его легко можно разделить во времени<br />
с сигналом по жидкости. Разделить<br />
во времени сигнал помехи по жидкости с сигналом<br />
помехи по металлу намного сложнее.<br />
При одинаковых путях распространения сигналов<br />
в жидкости и металле последний придет<br />
в четыре раза быстрее. Но так как длительность<br />
сигнала, распространяющегося в металле, гораздо<br />
больше длительности сигнала, распространяющегося<br />
в жидкости, то для разделения<br />
сигналов целесообразно сделать путь распространения<br />
сигнала по металлу в 6–10 раз большим<br />
базы прозвучивания. Например, если<br />
путь распространения по металлу l = 180 мм,<br />
то t м = 0,180/6000 ≈ 30 мкс.<br />
Наиболее приемлемым для этих целей является<br />
С-образный датчик. Возбуждение излучателя<br />
осуществляется короткими периодическими<br />
видеоимпульсами прямоугольной<br />
формы длительностью t порядка 0,5–1 мкс,<br />
с периодом 3–10 мс. При этом резонансная ча-<br />
стота излучателя будет ≈1 МГц. Использование<br />
радиоимпульсов повышает коэффициент передачи<br />
акустических датчиков, но значительно<br />
увеличивает длину зондирующих импульсов,<br />
которая иногда становится сравнимой с базой<br />
прозвучивания. Поэтому в большинстве<br />
случаев в импульсных сигнализаторах уровня<br />
жидкости возбуждение излучателя производится<br />
короткими видеоимпульсами прямоугольной<br />
формы.<br />
Существуют две основные модификации<br />
сигнализаторов уровня по расстоянию<br />
между излучателем и приемником ультразвуковых<br />
колебаний: для малого (4–40 мм)<br />
и большого (0,5–5 м). В первой модификации<br />
(для рассматриваемых дальше примеров)<br />
используются двухщуповые датчики,<br />
работающие на частоте ≈1 МГц, но при этом<br />
применяются конструктивные элементы<br />
и особый алгоритм работы для развязки излучателя<br />
от приемника, то есть для обеспечения<br />
значительного ослабления (до 1000 раз)<br />
помехи по металлу.<br />
Учитывая вышеизложенное, структурную<br />
схему импульсного сигнализатора уровня<br />
можно условно разделить на две части:<br />
передающую и приемную. Передающая<br />
часть будет включать в себя задающий генератор,<br />
который формирует периодические<br />
импульсы с указанными выше параметрами,<br />
и выходной усилитель. Нагрузкой выходного<br />
усилителя является излучатель,<br />
периодические импульсы с генератора поступают<br />
на вход усилителя. Приемная часть<br />
включает в себя входной усилитель, на вход<br />
которого поступают сигналы с приемника<br />
акустического датчика; временной селектор;<br />
триггер. Временной селектор принимает сигнал<br />
с входного усилителя только в момент<br />
возможного прихода сигнала по жидкости,<br />
то есть временные «ворота» открываются<br />
на время, равное длительности сигнала, через<br />
время прохождения сигнала по жидкости.<br />
Отсчет времени в цикле обработки идет<br />
по фронту импульса с задающего генератора.<br />
Вначале цикла временной селектор сбрасывает<br />
триггер и при наличии полезного сигнала<br />
взводит его. Таким образом, при наличии<br />
жидкости между излучателем и приемником<br />
выходной сигнал триггера имеет уровень<br />
«лог. 1», а при ее отсутствии — «лог. 0».<br />
Применение микроконтроллера упрощает<br />
схему управления импульсного сигнализатора<br />
в целом, значительно сокращая количество<br />
радиоэлементов. Приемная и передающая<br />
часть при этом размещаются на одной<br />
плате, размеры печатной платы минимизируются.<br />
Наличие в микроконтроллере универсального<br />
асинхронного, последовательного<br />
приемопередатчика (УАПП) упрощает<br />
задачу встраивания (сопряжения) в распределенную<br />
систему сбора данных и управления,<br />
например по последовательному каналу<br />
связи (по RS-232 или RS-485).<br />
Рассмотрим конкретный пример практического<br />
применения ультразвукового сиг-<br />
датчики<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
33<br />
нализатора уровня. В медицинской технике,<br />
в кровопроводящей аппаратуре, а также<br />
в приборах и аппаратах, предназначенных<br />
для проведения инфузий различных лекарственных<br />
сред (инфузата), возникает проблема<br />
контроля воздушных включений в крови<br />
или инфузате. Конструктивно в применяемых<br />
в медицине отечественных и зарубежных<br />
кровопроводящих и инфузионных магистралях<br />
предусмотрена ловушка воздуха.<br />
Контроль воздушных включений должен<br />
осуществляться посредством контроля уровня<br />
крови или инфузата в вертикально расположенной<br />
ловушке воздуха. Для контроля<br />
уровня жидкости (крови, инфузата) в ловушке<br />
воздуха необходим датчик воздуха (или<br />
датчик наличия жидкости).<br />
Основные технические требования, предъявляемые<br />
к такому датчику:<br />
• Первичный преобразователь (датчик или<br />
сигнализатор уровня) должен учитывать<br />
конструктивные особенности ловушки<br />
воздуха магистрали (материал, диаметр<br />
ловушки воздуха и т. д.).<br />
• Конструктивно датчик должен быть выполнен<br />
в виде компактного, функционально<br />
законченного модуля с оптимальным<br />
дизайном. Габаритные размеры модуля<br />
необходимо минимизировать и учесть<br />
при этом, что датчик будет встроен в аппараты<br />
медицинской техники. Конструкция<br />
и электрическая схема детектора должны<br />
быть достаточно просты.<br />
• Датчик должен работать достоверно и надежно.<br />
• Энергопотребление должно быть небольшим<br />
при минимуме питающих напряжений.<br />
• Датчик должен иметь минимум настроек<br />
и регулировок как в процессе проверки, так<br />
и в период эксплуатации.<br />
• Целесообразно, если выходной сигнал<br />
детектора будет иметь уровень ТТЛ:<br />
«лог. 1» — жидкость, «лог. 0» — воздух,<br />
а также если работа датчика будет визуально<br />
продублирована светодиодом.<br />
• Датчик должен срабатывать (менять<br />
уровень выходного сигнала с «лог. 1»<br />
на «лог. 0») при снижении уровня жидкости<br />
в ловушке не более чем на 5 мм от контролируемого<br />
уровня.<br />
Датчик воздуха состоит из держателя ловушки<br />
воздуха, первичного датчика (сигнализатора<br />
уровня) и платы усилителя сигнала<br />
детектора (платы управления).<br />
Применение любого датчика в качестве<br />
сигнализатора уровня в составе детектора<br />
воздуха накладывает на него особые требования.<br />
Они обусловлены спецификой условий<br />
эксплуатации медицинской техники.<br />
В первую очередь необходимо выполнить<br />
все требования по электробезопасности, которые<br />
предъявляются к медицинской технике.<br />
Нужно также учесть вероятность облива<br />
устройства; его быстродействие; вероятность<br />
правильного обнаружения жидкости (крови<br />
или инфузата); уровень радиопомех; точ-
34<br />
датчики<br />
Рис. 1. Принципиальная схема ультразвукового датчика<br />
ность, устойчивость корпуса к многократной<br />
дезинфекции и т. д. Кроме того, работа<br />
датчика не должна быть привязана к прозрачности<br />
среды. При перемещении крови<br />
в ловушке воздуха магистрали в некоторых<br />
случаях происходит активное пенообразование.<br />
Датчик должен исключить любые ложные<br />
срабатывания. Именно ультразвуковой<br />
сигнализатор уровня, работающий в составе<br />
датчика воздуха, в полной мере отвечает<br />
этим требованиям. И главное — по сравнению<br />
с оптическими датчиками ультразвуковые<br />
обеспечивают работоспособность<br />
в оптически непрозрачных средах.<br />
База прозвучивания сигнализатора (расстояние<br />
между излучателем и приемником)<br />
определяется диаметром ловушки воздуха.<br />
В настоящее время на рынке представлен<br />
широкий спектр одноразовых кровопро-<br />
Таблица 2. Основные технические<br />
характеристики датчика<br />
Характеристика Значение<br />
Питающие напряжения, В +5, +12, –12<br />
Допустимые отклонения<br />
каждого питающего напряжения<br />
±5%<br />
Габаритные размеры (не более), мм 91×70×47<br />
Масса (не более), г 250<br />
Выходной сигнал уровня ТТЛ:<br />
– жидкость<br />
– воздух<br />
Нагрузочная способность выхода<br />
(выход микроконтроллера АT89C2051), мА<br />
Допустимое отклонение жидкости от контролируемого<br />
уровня (контролируемый уровень обозначен риской<br />
на корпусе держателя ловушки воздуха датчика)<br />
(не более), мм<br />
«лог. 1»<br />
«лог. 0»<br />
До 20<br />
Диапазон рабочих температур, °С –10…+50<br />
Частота запускающих импульсов, кГц 5<br />
Время непрерывной работы детектора (не менее), ч 12<br />
10<br />
водящих и инфузионных магистралей, как<br />
отечественных, так и зарубежных фирм.<br />
Подобные магистрали изготавливают из полимерных<br />
материалов, в основном из силикона<br />
или поливинилхлорида. Диаметр ловушки<br />
воздуха у разных типов магистралей<br />
может быть от 16 до 32 мм.<br />
Рассмотрим датчик, работающий с одноразовыми<br />
полимерными магистралями, у которых<br />
внешний диаметр ловушки воздуха<br />
может быть от 18 до 20 мм, а толщина стенки<br />
— до 1,5 мм. В таблице 2 приведены основные<br />
технические характеристики датчика.<br />
Принципиальная схема датчика представлена<br />
на рис. 1. Осциллограммы, поясняющие<br />
работу датчика, приведены на рис. 2.<br />
Датчик разработан на базе микроконтроллера<br />
AT89C2051PI фирмы Atmel. Этот<br />
микроконтроллер со своей архитектурой<br />
и структурой вполне подходит для решения<br />
задач схемы управления первичным ультразвуковым<br />
сигнализатором. Аппаратных<br />
и программных ресурсов микроконтроллера<br />
AT89C2051 с лихвой хватит для решения<br />
конкретной задачи.<br />
Алгоритм работы датчика следующий.<br />
Микроконтроллер с вывода 8 подает импульс<br />
«лог. 1» длительностью 1 мкс (рис. 2а) на вход<br />
усилителя, собранного на D2.1. Импульс через<br />
конденсатор C6 и резистор R8 поступает<br />
на базу транзистора D2.1. Нагрузкой D2.1 служит<br />
пьезоэлемент BQ2. Усиленный импульс<br />
возбуждает BQ2 (рис. 2б). Пьезоэлемент BQ2<br />
является составной частью излучателя датчика.<br />
Конструктивно излучатель и приемник<br />
абсолютно одинаковы.<br />
Внешний вид излучателя (приемника) показан<br />
на рис. 3.<br />
Сигнал через время t 2 (отсчет времени идет<br />
по фронту запускающего импульса) поступает<br />
на пьезоэлемент BQ3 (приемник датчика)<br />
(рис. 2в) и возбуждает его. Время t 2 (время<br />
распространения между излучателем и приемником),<br />
как мы уже вычислили, порядка<br />
13 мкс. (Экспериментально установлено,<br />
что, например, при комнатной температуре<br />
для воды t 2 ≈ 15–17 мкс.) С пьезоэлемента<br />
BQ3 сигнал поступает на вход двухтактного<br />
усилителя, собранного на D2.3 и D2.4.<br />
Положительные полуволны сигнала, усиленные<br />
двухтактным усилителем, через<br />
фильтр C7, R6 поступают на базу элемента<br />
D2.2 и с коллектора D2.2 — на вывод 6 микроконтроллера<br />
D1 (рис. 2г).<br />
В микроконтроллере временной селектор<br />
организован следующим образом. В момент<br />
времени t 2 микроконтроллер начинает анализировать<br />
состояние вывода 6 и при наличии<br />
уровня «лог. 0» устанавливает на выводе<br />
2 сигнал уровня «лог. 1». Время t 2 = 20 мкс<br />
задается программно. Отчет времени (начало<br />
каждого цикла) идет по фронту запускающего<br />
импульса с вывода 8 микроконтроллера<br />
D1. С момента времени t 2 микроконтроллер<br />
анализирует состояние вывода 6 (ждет<br />
«лог. 0») только 15 мкс. То есть можно сказать,<br />
что микроконтроллер открывает временные<br />
«ворота». Значение задержки, равное<br />
t 2 = 20 мкс (рис. 2д), подобрано экспериментально<br />
с учетом среды, с которой будет работать<br />
датчик (раствор глюкозы, физиологический<br />
раствор и т. д.). Длительность «ворот»<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Рис. 2. Осциллограммы, поясняющие работу датчика<br />
Рис. 3. Внешний вид излучателя (приемника)<br />
определяется длительностью принимаемого<br />
сигнала, приходящего с излучателя через рабочую<br />
среду на приемник.<br />
Длительность принимаемого сигнала t с<br />
(рис. 2в) может быть от 10 до 60 мкс и определяется<br />
рядом факторов: пьезоэлементами<br />
а<br />
б<br />
в<br />
г<br />
д<br />
е<br />
датчики<br />
BQ2, BQ3; качеством склейки этих пьезо- В [1] приведены конструкции сигнализаэлементов<br />
в стаканах приемника и излучатеторов, в которых крепление пьезопластин<br />
ля; центровкой; коэффициентами усиления (пьезоэлементов) осуществляется с помо-<br />
транзисторов в транзисторной матрице; акущью цилиндрических и тарельчатых прустическим<br />
контактом стакана (поз. 1 рис. 3) жин. Пружины поджимаются гайкой либо<br />
с корпусом ловушки воздуха и т. д.<br />
стопорным кольцом. Независимо от способа<br />
В момент времени t2 , перед тем как открыть крепления необходимо обеспечить хороший<br />
«ворота», микроконтроллер устанавливает акустический контакт между пьезоэлемен-<br />
вывод 2 в «лог. 0». Таким образом, врементом и внутренней поверхностью донышка<br />
ные «ворота» настроены только на полезный стакана (позиция 1 на рис. 3). В передатчике<br />
сигнал по жидкости. Если между излучателем центральная жила экранированного прово-<br />
и приемником (в рабочем зазоре) есть жидда (позиция 4 на рис. 3) припаивается к пьекость,<br />
то в интервале времени t2 –t3 на входе зоэлементу (позиция 2 на рис. 3) и к клем-<br />
запроса прерывания INT0 (вывод 6 микроме 1 на плате усилителя (рис. 1), а наружконтроллера)<br />
будет присутствовать уровень ная оплетка провода (экран) припаивается<br />
«лог. 0», а на выводе 2 микроконтроллера — к корпусу (позиция 3 на рис. 3) и к клемме 2<br />
«лог. 1» (рис. 2е). Индикатор HL1 детектора на плате усилителя. Соответственно, в при-<br />
включен. Если жидкости нет (воздух), на выемнике центральная жила экранированного<br />
воде 2 микроконтроллера «лог. 0». Индикатор провода (позиция 4 на рис. 3) припаивается<br />
HL1 детектора выключен.<br />
к пьезоэлементу (поз. 2 на рис. 3) и к клем-<br />
Время каждого цикла Tц = 35 мкс. Период ме 3 на плате усилителя, а наружная оплетка<br />
следования запускающих импульсов (вре- провода (экран) припаивается к корпусу (помя<br />
между циклами) — 5 мс. Сигнал по мезиция 3 на рис. 3) и к клемме 4 на плате уситаллу<br />
не попадает во временные «ворота». лителя. Тип провода — МГТЭФ-1 0,12.<br />
Временной селектор реализован програм- При пайке центральной жилы экраниромно.<br />
Схема управления с этим алгоритмом ванного провода к пьезоэлементу необхо-<br />
работает устойчиво и достоверно. Элементы димо выдержать особый температурный ре-<br />
С5 и R4 при подаче напряжения питания жим, чтобы металлизированное напыление<br />
+5 В осуществляют системный аппаратный не отстало от корпуса пьезоэлемента. После<br />
сброс микроконтроллера: сброс происходит выдержки место пайки заливается клеем.<br />
при подаче «лог. 1» на вход 1 (RST) микро- Внешний вид датчика и его разрез показаны<br />
контроллера. Питающие напряжения посту- на рис. 4.<br />
пают на плату датчика через соединитель Х1. Правильно собранный датчик начинает<br />
Потребление тока по каждому каналу: работать сразу. Датчик не нужно настраивать<br />
• для +5 В — не более 20 мА;<br />
и регулировать.<br />
• для +12 В — не более 10 мА;<br />
Проверку работоспособности датчика про-<br />
• для –12 В — не более 10 мА.<br />
водят в такой последовательности:<br />
С контакта 2 соединителя Х1 напряжение • Подать с источника питания питающее на-<br />
+12 В поступает на фильтр из элементов R3 пряжение на соединитель Х1.<br />
и C4. С контакта 3 напряжение –12 В посту- • Проконтролировать на контакте 5 соедипает<br />
на фильтр из элементов R2 и C3. Схема нителя Х1 (сигнал «выход») сигнал уровня<br />
разведена на двусторонней печатной плате «лог. 0». Индикатор HL1 должен быть вы-<br />
размерами 4070 мм.<br />
ключен.<br />
В детекторе использованы резисторы типа • Установить вертикально ловушку воз-<br />
С2-33Н, но подойдут и любые другие с по- духа перфузионной магистрали в дергрешностью<br />
±5%. Конденсаторы С1, С5 — жатель ловушки воздуха датчика (метка<br />
К50-35 USL; C2–С6, С8 — К10-17б-Н90; на корпусе держателя ловушки воздуха<br />
С7 — К10-17а-М47. Кварцевый резонатор должна быть на нижней поверхности).<br />
BQ1 — 11,0592 МГц, тип корпуса — HC-49S. Проконтролировать на контакте 5 соеди-<br />
Индикатор HL1 КИПД02Б-1К красного цвенителя Х1 детектора воздуха сигнал уровта.<br />
Микроконтроллер AT89C2051PI можно ня «лог. 0». Индикатор HL1 должен быть<br />
заменить на AT89C4051PI.<br />
выключен.<br />
Как уже упоминалось выше, конструк- • Заполнить ловушку воздуха водой до уровтивно<br />
приемник и излучатель абсолютно ня риски на корпусе держателя ловушки<br />
одинаковы (рис. 3). В принципиальной схе- воздуха. Проконтролировать включение<br />
ме (рис. 1) передатчик (пьезоэлемент BQ2) индикатора HL1, а на контакте 5 соедини-<br />
и приемник (пьезоэлемент BQ3) взаимозаметеля Х1 — сигнал уровня «лог. 1».<br />
няемы. В приемнике пьезоэлемент (позиция • Слить воду из ловушки так, чтобы уровень<br />
2 на рис. 3) приклеивается к резонансным воды был на 10 мм ниже уровня риски.<br />
стаканам (позиция 1). Тип пьезоэлемен- Проконтролировать выключение индиката<br />
— ЭПЧД-010. Пьезоэлемент с обеих стотора HL1, а также сигнал уровня «лог. 0»<br />
рон имеет металлизированное напыление. на контакте 5 соединителя Х1.<br />
При склейке необходимо выдержать особый • Необходимо проверить работу датчика<br />
технологический режим, так как от этого за- на пену (мыльный раствор). Для этого нужвисит<br />
получение идентичных акустических но заполнить магистраль и ловушку воз-<br />
параметров как приемника, так и излучателя. духа пеной. Индикатор HL1 должен быть<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
35
36<br />
Рис. 4. Внешний вид датчика<br />
датчики<br />
при этом выключен. Проконтролировать<br />
на контакте 5 соединителя Х1 сигнал уровня<br />
«лог. 0».<br />
Коротко о программе. Она состоит<br />
из четырех основных частей: процедуры<br />
инициализации, основной программы,<br />
работающей в замкнутом цикле, подпрограммы<br />
обработки прерывания от таймера<br />
T/C0, подпрограммы обработки прерывания<br />
по входу INT0 микроконтроллера D1 (вывод<br />
6), а также подпрограмм, реализующих<br />
временные задержки. Запрос прерывания<br />
новости блоки питания<br />
Компания Mascot представила модель 2820 —<br />
внешний источник питания с максимальной выходной<br />
мощностью 100 Вт. Модель 2820 оптимальна<br />
для питания средств связи, приборов и внешнего<br />
оборудования по работе с данными, в том числе<br />
в устройствах медицинского назначения.<br />
Новый импульсный источник питания отвечает<br />
критериям CEC (Калифорнийской комиссии<br />
по энергетике, California Energy Commission) для<br />
по входу INT0 имеет приоритет по отношению<br />
к прерыванию от таймера T/C0. Период<br />
следования запускающих импульсов с вывода<br />
8 микроконтроллера, длительностью<br />
5 мс, реализован на таймере T/C0. Временная<br />
задержка 20 мкс (время t 2 на рис. 2в) реализована<br />
на регистре R3, а временные «ворота»<br />
длительностью 15 мкс — в регистре R4.<br />
В этот промежуток времени разрешается<br />
прерывание по входу INT0 микроконтроллера,<br />
и при наличии «лог. 0» на этом входе<br />
микроконтроллер выставляет на выводе 2<br />
Импульсный источник питания от Mascot<br />
с максимальной выходной мощностью 100 Вт<br />
2-го класса и стандарту экономичного энергопотребления<br />
(V уровень) для внешних импульсных<br />
источников питания. Он также соответствует требованиям<br />
стандарта EN 60601-1 для устройств медицинского<br />
назначения.<br />
Основные характеристики:<br />
• Максимальная выходная мощность: 100 Вт.<br />
• Фиксированное выходное напряжение: 13,2;<br />
24; 28; 48 В.<br />
Рис. 5. Датчик воздуха<br />
(сигнал «выход») и выводе 9 (включение индикатора<br />
HL1) «лог. 1». Это говорит о наличии<br />
жидкости (раствора) между излучателем<br />
и приемником датчика.<br />
Следует отметить, что все временные интервалы<br />
и задержки, реализованные в программе,<br />
выдерживаются для кварцевого резонатора<br />
с частотой 11,052 МГц (BQ1 на рис. 1).<br />
Допускается подключать к микроконтроллеру<br />
кварцевые резонаторы с частотой до 24 МГц.<br />
При использовании кварцевых резонаторов<br />
с другими значениями частоты (с учетом приведенного<br />
условия) необходимо программно<br />
выдержать все временные интервалы и задержки,<br />
указанные в алгоритме работы детектора.<br />
Внешний вид датчика воздуха представлен<br />
на рис. 5.<br />
Итак, в статье представлен пример практической<br />
реализации ультразвукового датчика<br />
жидких сред. Он может работать на трубопроводе<br />
или магистрали, которые изготовлены<br />
из эластичных полимерных материалов,<br />
например из силикона или поливинилхлорида,<br />
с внешним диаметром от 18 до 20 мм<br />
и толщиной стенки до 1,5 мм. n<br />
Литература<br />
1. Бабиков О. И. Ультразвуковые приборы контроля.<br />
Л.: Машиностроение, 1985.<br />
2. Донской А. В., Келлер О. К., Кратыш Г. С.<br />
Ультразвуковые технологические установки.<br />
Л.: Энергия, 1982.<br />
• Максимальный выходной ток: 7,5 А (для варианта<br />
исполнения 13,2 В).<br />
• Защита от перегрузок, короткого замыкания<br />
и перегрева, перенапряжения на выходе и кратковременных<br />
помех на входе.<br />
• Влагозащитное (IP67) исполнение по запросу.<br />
• Габариты: 135×80×44<br />
мм.<br />
• Вес: 485 г.<br />
www.west-l.ru<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Реклама<br />
Реклама<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
37
38<br />
датчики<br />
Денис ПЕТЛЕВАНый<br />
petlevany@tut.by<br />
В<br />
соответствии с мировыми тенденциями<br />
во многих моделях цифровых преобразователей<br />
заложена возможность<br />
использовать один и тот же выход и как аналоговый,<br />
и как цифровой. Несмотря на то,<br />
что такие микросхемы появились достаточно<br />
давно и широко используются, складывается<br />
впечатление, что производители датчиков зачастую<br />
забывают об их полезном свойстве.<br />
В частности, речь пойдет о микросхемах серии<br />
ZSC фирмы ZMDI. Статья является результатом<br />
исследования этого вопроса. Автор<br />
предлагает читателю полезную информацию<br />
о том, как наиболее полно раскрыть потенциал,<br />
заложенный в микросхемах сенсорных<br />
преобразователей.<br />
Нередко возникает необходимость перенастроить<br />
какой-нибудь датчик, который<br />
уже функционирует в системе. Причины для<br />
перенастройки могут быть разными. Сбой<br />
параметров может произойти под воздействием<br />
критических нагрузок. Например, гидравлический<br />
удар в системе может вызвать<br />
смещение нуля в датчиках давления, подключенных<br />
к ней. В некоторых случаях для согласования<br />
с другими приборами может понадобиться<br />
перенастроить рабочий диапазон<br />
датчика. Старение материалов, из которых<br />
изготовлен датчик, приводит к медленному<br />
дрейфу параметров сенсора, что требует<br />
проведения периодических поверок. Вообще<br />
говоря, в подобных случаях датчик должен<br />
быть снят с объекта и отправлен в специальную<br />
лабораторию для перекалибровки.<br />
Произвести полную перенастройку датчика<br />
на месте при всех значениях температуры<br />
практически невозможно. Однако не всегда<br />
все калибровочные коэффициенты нуждаются<br />
в подстройке. Нужно отметить, что<br />
коэффициенты, отвечающие за температурную<br />
компенсацию, в цифровых микросхе-<br />
Простые и эффективные<br />
способы калибровки датчиков<br />
Современные измерительные датчики уже много лет создаются на базе<br />
цифровых микросхем преобразователей сигнала. Входной аналоговый<br />
сигнал, несущий информацию об измеренной физической величине, усиливается<br />
и оцифровывается, а затем осуществляется пересчет полученного<br />
значения в соответствии с коэффициентами, записанными в память<br />
микросхемы при калибровке. В зависимости от формы выходного сигнала<br />
происходит разделение на датчики с цифровым и аналоговым выходом.<br />
В перспективе датчики с цифровым выходом по многим причинам должны<br />
вытеснить аналоговые стандарты передачи сигнала. Но пока доля рынка<br />
датчиков с аналоговым интерфейсом достаточно широка, и производителям<br />
приходится подстраиваться под существующие стандарты.<br />
мах преобразователей отделены и не зависят<br />
от коэффициентов, отвечающих за форму<br />
передаточной кривой. Благодаря этому возможно<br />
в небольших пределах производить<br />
настройку нетемпературных параметров,<br />
при этом произведенные изменения автоматически<br />
транслируются на весь температурный<br />
диапазон.<br />
Понятно, что, если есть возможность, гораздо<br />
выгоднее производить перенастройку<br />
датчика прямо на месте, не извлекая его<br />
из системы. То, что на практике такая регулировка<br />
действительно проводится, доказывает<br />
тот факт, что во многих датчиках можно<br />
встретить подстроечные элементы. Для того<br />
чтобы разобраться, как лучше осуществлять<br />
мобильную подстройку датчиков, рассмотрим<br />
возможные способы.<br />
Первый способ традиционный, он заключается<br />
во введении в схему дополнительных<br />
корректирующих цепей с подстроечным<br />
резистором. Его достоинство в том, что для<br />
подстройки не требуется специальных инструментов,<br />
все операции можно выполнить<br />
простой отверткой. Однако у метода есть<br />
серьезные недостатки. Схема с потенциометром<br />
занимает значительное место на плате.<br />
Корпус датчика должен быть сконструирован<br />
так, чтобы к подстроечному элементу<br />
был обеспечен простой доступ. Один подстроечный<br />
резистор может изменять только<br />
один определенный параметр. Обычно вводят<br />
подстройку нуля, корректировка других<br />
параметров при этом не производится.<br />
Второй способ, более прогрессивный,<br />
основан на изменении коэффициентов калибровки,<br />
прописанных в памяти микросхемы<br />
преобразователя. Для корректировки необходимо<br />
использовать специальное устройство,<br />
подключаемое к датчику по цифровому<br />
интерфейсу. Устройство получает доступ<br />
ко всем настройкам микросхемы и может<br />
осуществлять любые корректировки. При<br />
этом в самом датчике никаких дополнительных<br />
корректирующих компонентов размещать<br />
не нужно. Более того, если цифровой<br />
интерфейс выведен наружу, отпадает всякая<br />
необходимость разбирать датчик для его<br />
настройки.<br />
Второй способ однозначно подходит датчикам<br />
с цифровым выходом. Для датчиков<br />
с аналоговым выходом выбор, на первый<br />
взгляд, не так очевиден. Проблема, с которой<br />
приходится в этом случае столкнуться, заключается<br />
в том, что каждый электрический вход<br />
и выход любого промышленного устройства<br />
должен иметь определенную степень защиты.<br />
Защита от электростатики, неправильного<br />
подключения, превышения допустимых<br />
параметров, помех, наводок и т. д. определяет<br />
степень надежности и класс прибора.<br />
Практически получается так, что цепи<br />
входных фильтров хорошего датчика занимают<br />
около половины полезного объема.<br />
Поскольку каждый дополнительный внешний<br />
контакт требует такой же надежной защиты,<br />
как и все остальные, производители<br />
датчиков стремятся минимизировать общее<br />
количество сигнальных выводов. Именно<br />
с этой целью производители цифровых преобразователей<br />
закладывают возможность использовать<br />
один и тот же выход микросхемы<br />
и как аналоговый, и как цифровой. Однако<br />
на практике часто приходится сталкиваться<br />
со случаями, когда эта возможность игнорируется.<br />
Вместо того чтобы задействовать<br />
возможности универсального интерфейса,<br />
разработчики идут по пути использования<br />
дополнительных цифровых выводов, а некоторые<br />
и вовсе отказываются от цифровой<br />
коррекции и отдают предпочтение первому<br />
способу коррекции датчиков.<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Рис. 1. Стандартная схема включения микросхемы ZSC31015<br />
Рис. 2. Подключение микросхемы ZSC31050 в случае использования токового выхода 4–20 мА<br />
Решения на базе универсальных однопроводных<br />
интерфейсов являются промежуточ-<br />
Рис. 3. Схема, поясняющая устройство цифро-аналоговой токовой петли<br />
ным звеном на пути к цифровым стандартам<br />
обмена информацией. С одной стороны, со-<br />
датчики<br />
храняется полная совместимость с аналоговыми<br />
приборами, с другой — открыт доступ<br />
к возможностям цифровой микросхемы.<br />
Все необходимое для реализации универсального<br />
интерфейса присутствует в специализированных<br />
микросхемах серии ZSC и ZSSC<br />
фирмы ZMDI (Германия).<br />
Основой для создания комбинированного<br />
выхода является поддержка микросхемой<br />
преобразователя цифрового однопроводного<br />
протокола передачи данных. Хотя<br />
стандарт LIN поддерживается некоторыми<br />
микросхемами, например ZSSC3170, для<br />
комбинированного выхода ZMDI использует<br />
другой однопроводной протокол — ZACwire.<br />
Инициализация цифрового режима работы<br />
выхода осуществляется путем подачи специальной<br />
команды сразу после включения<br />
питания. Если на протяжении первых 20 мс<br />
инициализации не происходит, выход переключается<br />
в аналоговый режим работы.<br />
Особенностью такого устройства интерфейса<br />
является то, что перейти в цифровой режим<br />
работы можно только предварительно сняв<br />
питание с датчика.<br />
Во всех микросхемах преобразователей<br />
ZSC, в которых предусмотрен аналоговый<br />
способ передачи измеренного сигнала, цифровой<br />
выход протокола совмещен с выходом<br />
ШИМ. Соответственно в датчиках, где выход<br />
ШИМ используется напрямую (выход<br />
по напряжению), использование универсального<br />
интерфейса не вызывает никаких<br />
проблем. В качестве примера на рис. 1 приведена<br />
стандартная схема включения микросхемы<br />
ZSC31015 с универсальным цифроаналоговым<br />
выходом.<br />
Выход по напряжению весьма распространен<br />
в тех приложениях, где датчик<br />
не сильно удален от принимающего устройства,<br />
например в автомобильной технике.<br />
Существенным недостатком этого способа<br />
передачи сигнала является его сильная зави-<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
39
40<br />
Рис. 4. Комплект разработчика<br />
датчики<br />
симость от качества электрического соединения<br />
с датчиком. Любое падение напряжения<br />
в линии искажает передаваемый сигнал.<br />
Этого недостатка лишен другой широко используемый<br />
в промышленности стандарт<br />
передачи данных — под названием «токовая<br />
петля». Для включения датчика в токовую<br />
петлю нужно всего два провода, при<br />
этом измеренный сигнал передается путем<br />
изменения тока потребления от 4 до 20 мА.<br />
Поддержку токовой петли имеет микросхема<br />
ZSC31050. Для организации токового выхода<br />
необходимо использовать дополнительные<br />
компоненты (рис. 2), из-за этого универсальный<br />
выход не может быть задействован<br />
напрямую.<br />
Однако, немного усложнив схему подключения<br />
(рис. 3), можно сохранить возможность<br />
цифровой связи с микросхемой.<br />
Вся необходимая информация о том, как это<br />
сделать, приводится в описании к ZMC31050.<br />
В отличие от существующего коммерческого<br />
аналога, HART-протокола, предлагаемое<br />
ZMDI решение позволяет по максимуму<br />
воспользоваться возможностями, уже заложенными<br />
в микросхему, без привлечения<br />
дополнительных сложных устройств. Хотя<br />
микросхема ZMC31050 выпускается много<br />
лет и широко применяется в датчиках, практических<br />
решений с использованием описанного<br />
универсального интерфейса до сих пор<br />
не было известно.<br />
Чтобы облегчить задачу разработчику,<br />
который захочет внедрить универсальный<br />
однопроводной интерфейс в свои изделия,<br />
компания «Оникс-Электро» предлагает базовый<br />
набор устройств для работы с цифроаналоговым<br />
токовым интерфейсом (рис. 4).<br />
Комплекс разработан с учетом всех приведенных<br />
выше замечаний и позволяет<br />
по максимуму воспользоваться возможностями<br />
микросхемы ZMD31050. Помимо са-<br />
мого преобразователя сигнала, в комплект<br />
входит переходник для подключения отладчика<br />
микросхем SSC Communication Board,<br />
а также пульт мобильной подстройки калибровочных<br />
коэффициентов. Переходник позволяет<br />
использовать стандартные средства<br />
разработки, предлагаемые ZMDI, без ограничения<br />
функциональности. Представленный<br />
на рис. 4 пульт может осуществлять подстройку<br />
отдельных параметров датчика,<br />
например сдвиг или растяжение диапазона<br />
выходного сигнала, путем включения<br />
его в разрыв токовой петли. В зависимости<br />
от конкретных нужд пульт можно легко модернизировать<br />
для выполнения любых калибровочных<br />
операций.<br />
Использование универсального однопроводного<br />
интерфейса совместно с мобильным<br />
пультом цифровой подстройки параметров<br />
позволяет решить ряд проблем, связанных<br />
с удобством производства и эксплуатации<br />
датчиков. К достоинствам применения этого<br />
интерфейса относятся следующие:<br />
• Отсутствие необходимости разбирать корпус<br />
датчика для его настройки.<br />
• Возможность настройки уже установленных<br />
в систему датчиков.<br />
• Возможность настройки всех параметров<br />
датчика.<br />
• Отсутствие лишних проводов.<br />
• Компактность конструкции.<br />
• Надежная защита всех входов и выходов<br />
датчика.<br />
• Возможность проведения поверок с автоматической<br />
коррекцией.<br />
Практическое применение рассмотренного<br />
решения позволило бы получить выгоду<br />
благодаря уменьшению размеров преобразователя<br />
и снижению цены за счет отсутствия<br />
дорогих элементов, а также добиться надежного<br />
и комфортного обслуживания датчиков<br />
во время их эксплуатации. n<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013<br />
Реклама
Реклама
42<br />
датчики<br />
Максим КРУГЛОВ<br />
Александр ЦыПИН,<br />
к. т. н.<br />
Задачи и методы решения<br />
В современном мире все чаще приходится<br />
слышать об энергоэффективности, «зеленых»<br />
технологиях, повышении КПД и прочих<br />
аспектаах, обеспечивающих максимум<br />
работы при использовании минимума ресурсов<br />
и с наименьшим влиянием на окружающую<br />
среду. Эта тенденция не обошла<br />
стороной и мощные промышленные двигатели,<br />
используемые в судостроении, дизельгенераторах<br />
и других сферах.<br />
Рис. 1. Принципиальная схема работы двигателя с общей топливной рампой<br />
Повышение<br />
топливной экономичности<br />
и снижения вредных выбросов<br />
дизельных двигателей<br />
через измерение<br />
давления и температуры<br />
Энергосберегающие технологии невозможны без внедрения высокоточных<br />
систем регулирования технологических процессов, которые в свою<br />
очередь невозможны без надежных и, опять же, точных измерений технологических<br />
параметров. В настоящее время конструкторы промышленных<br />
двигателей стараются использовать все возможности по снижению потребления<br />
топлива и выброса парниковых газов, что ставит перед производителями<br />
средств измерений сложные задачи по разработке приборов,<br />
способных обеспечить качественные измерения таких параметров, как<br />
давление и температура. Решение поставленных задач лежит в области<br />
применения самых передовых технологий.<br />
Как показывает практика, наибольший<br />
эффект повышения эффективности любого<br />
оборудования и организации лежит в области<br />
оптимизации технологических процессов<br />
и внедрения прецизионных систем регулирования.<br />
Принцип работы дизельного двигателя<br />
наиболее близок к идеальному циклу тепловой<br />
машины, предложенному французским<br />
инженером Сади Карно: в максимально экономичной<br />
тепловой машине нагревать рабочее<br />
тело до температуры горения топли-<br />
ва необходимо «изменением объема», то есть<br />
быстрым сжатием. В 1890 году Рудольф<br />
Дизель предложил практическую реализацию<br />
этого принципа, который смог воплотить<br />
в 1897 году в тепловой машине, названной<br />
им дизель-мотор, которая дала имя<br />
целому классу поршневых двигателей внутреннего<br />
сгорания. Принцип действия этих<br />
двигателей широко известен, и можно лишь<br />
выделить разделение на четырехтактные<br />
двигатели, наиболее распространенные в настоящее<br />
время, и двухтактные, применяемые<br />
прежде всего в качестве главных двигателей<br />
судовых энергетических установок.<br />
Наибольший ресурс повышения эффективности<br />
дизельных двигателей лежит<br />
в области усовершенствования систем топливоприготовления,<br />
топливоподачи и газораспределения.<br />
В настоящее время большое<br />
распространение получили двигатели<br />
с аккумуляторной топливной системой с общей<br />
рампой, более известной как система<br />
Common Rail (рис. 1).<br />
Создание давления и непосредственный<br />
процесс впрыска топлива в этой системе полностью<br />
разделены. Блок управления дизельным<br />
двигателем поддерживает, меняя производительность<br />
насоса, высокое давление<br />
при различных режимах работы двигателя,<br />
то есть независимо от его оборотов и нагрузки<br />
при любой последовательности впрыска по цилиндрам.<br />
Форсунки оснащены специальными<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Рис. 2. Принципиальная схема системы рециркуляции выхлопных газов<br />
электромагнитными (или пьезоэлектрическими)<br />
клапанами и управляются электроникой<br />
в соответствии с разработанными алгоритмами<br />
и в зависимости от конкретных условий работы<br />
дизельного двигателя. Высокое давление,<br />
под которым топливо впрыскивается в цилиндр,<br />
создается уже при самом малом числе<br />
оборотов коленчатого вала.<br />
Высокое давление и электронное управление<br />
процессом впрыска позволяют лучше<br />
подготовить смеси в цилиндрах, поэтому<br />
происходит уменьшение расхода топлива<br />
и снижение токсичности выхлопа.<br />
Оптимальные результаты на каждом конкретном<br />
режиме работы дизельного двигателя<br />
достигаются благодаря тому, что электроника<br />
регулирует момент впрыска, количество<br />
впрыскиваемого топлива и саму его подачу.<br />
Общая магистраль снабжена датчиком давления<br />
и обратным клапаном, перепускающим<br />
топливо обратно в бак.<br />
Дальнейшее развитие систем, позволяющее<br />
улучшить эффективность работы современного<br />
двигателя, оснащенного системой<br />
Common Rail, лежит в области выравнивания<br />
цилиндровой мощности двигателя. Это позволяет<br />
избежать ситуации перегруза одних<br />
цилиндров в сочетании с неполной нагрузкой<br />
других. Особенно это актуально при работе<br />
двигателя на 100%-ной нагрузке. В такой ситуации<br />
выравнивание мощности по цилиндрам<br />
позволяет обеспечить 100%-ную отдачу мощности<br />
двигателя в сочетании с его наилучшими<br />
ресурсными показателями. Для реализации<br />
такого алгоритма необходимо постоянно<br />
иметь информацию о давлении в каждом цилиндре<br />
на каждом рабочем такте.<br />
Мощность, развиваемая каждым отдельно<br />
взятым цилиндром, оценивается по индикаторной<br />
диаграмме давления в цилиндре. Для<br />
снятия индикаторной диаграммы существуют<br />
различные методы, отличающиеся между<br />
собой способом измерения (механические<br />
или электронные датчики) и длительностью<br />
измерения (однократные измерения последовательно<br />
по цилиндрам или постоянно установленные<br />
датчики). Например, снятие индикаторной<br />
диаграммы с помощью механических<br />
средств измерения, устанавливаемых<br />
на индикаторную трубу, требует значительных<br />
затрат времени на последовательную<br />
переустановку датчика поочередно по цилиндрам.<br />
И за это время может поменяться<br />
нагрузка на двигателе вследствие изменения<br />
внешних условий движения объекта, на котором<br />
он установлен. Соответственно, стоит<br />
задача постоянного контроля давления в цилиндрах<br />
двигателя.<br />
Среди других задач по повышению экологичности<br />
промышленных дизельных<br />
двигателей выделяется снижение выбросов<br />
оксидов азота. Одним из наиболее распространенных<br />
методов стало внедрение системы<br />
рециркуляции выхлопных газов.<br />
Оксиды азота образуются в двигателе под<br />
действием высокой температуры. Чем выше<br />
температура в камерах сгорания, тем больше<br />
образуется оксидов азота. Возврат части отработавших<br />
газов во впускной коллектор позволяет<br />
снизить температуру сгорания топливновоздушной<br />
смеси и, тем самым, уменьшить<br />
образование оксидов азота. При этом соотношение<br />
компонентов в топливно-воздушной<br />
смеси остается неизменным, а мощностные<br />
датчики<br />
характеристики двигателя изменяются незначительно.<br />
Ключевыми сигналами для управления<br />
системой рециркуляции являются температура<br />
воздуха, температура выхлопных<br />
газов и температура газо-воздушной смеси<br />
на входе и выходе системы рециркуляции выхлопных<br />
газов (рис. 2).<br />
Таким образом, для решения задачи оптимального<br />
управления современным дизельным<br />
двигателем с минимизацией расхода<br />
топлива, сокращением выбросов вредных<br />
веществ и повышением ресурса необходимо<br />
измерять такие параметры, как:<br />
• температура охлаждающей жидкости;<br />
• температура выхлопных газов;<br />
• температура в системе рециркуляции выхлопных<br />
газов;<br />
• давление наддува;<br />
• давление картерных газов;<br />
• давление в топливной рампе;<br />
• давление в цилиндрах двигателя;<br />
• частота вращения коленчатого вала;<br />
• массовый расход воздуха.<br />
Измерение температуры<br />
Рассмотрим подробнее сложности, возникающие<br />
при измерении давления и температуры<br />
дизельных двигателей. Начнем с температуры.<br />
Долгое время для измерения температуры<br />
использовались термоэлектрические<br />
преобразователи, более известные как термопары.<br />
Это простое и недорогое решение<br />
имеет ряд существенных недостатков, среди<br />
которых выделяются необходимость компенсации<br />
температуры свободных концов<br />
и нелинейность статической характеристики.<br />
Это обусловило тренд в сторону термометров<br />
сопротивления, которые также не лишены<br />
недостатков: дороговизна материала<br />
(платина) для чувствительных элементов,<br />
обеспечивающего долговременную стабильность,<br />
и влияние сопротивления соединительных<br />
проводов. Появление технологии<br />
тонкопленочных чувствительных элементов<br />
на порядки сократило потребность в количестве<br />
материала, но появились проблемы<br />
виброустойчивости и долговременной стабильности.<br />
Фирма Danfoss нашла решение путем<br />
тщательного подбора материалов подложки,<br />
меандра, наполнителя гильзы и контроля<br />
изготовления всех контактных соединений<br />
с учетом возможности теплового расширения<br />
материалов. Изначально эти технологии были<br />
воплощены для чувствительных элементов<br />
Pt 100, однако осталась проблема влияния<br />
температуры соединительных проводов. Было<br />
очевидно, что необходимо переходить к использованию<br />
высокоомных чувствительных<br />
элементов Pt 1000. Конструкторам Danfoss<br />
удалось решить и эту задачу. Вначале на рынке<br />
были представлены термометры сопротивления<br />
серии MBT 5250 (рис. 3) с чувствительным<br />
элементом Pt 1000 для низкотемпературных<br />
измерений до +200 °С. Характерной особен-<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
43
44<br />
датчики<br />
Рис. 3. Термометр сопротивления для температуры<br />
охлаждающей жидкости Danfoss MBT 5250<br />
ностью этого термометра является сменная<br />
измерительная вставка, которая позволяет использовать<br />
его без дополнительных гильз, что<br />
обеспечивает максимально быструю реакцию<br />
прибора.<br />
Для системы рециркуляции газов<br />
был необходим датчик с очень коротким<br />
временем отклика, что невозможно достичь<br />
без снижения габаритов и массы термометра.<br />
Другое требование — стойкость материалов<br />
к агрессивным средам (при наличии<br />
в выхлопе паров воды и оксидов серы, азота<br />
велика вероятность образования кислот).<br />
Конструкторы Danfoss смогли решить эту<br />
задачу и представили два новых термометра<br />
сопротивления: MBT 3270 (рис. 4) для системы<br />
рециркуляции и MBT 5114 для измерения<br />
температуры выхлопных газов.<br />
Рис. 4. Термометр сопротивления для системы<br />
рециркуляции газов Danfoss MBT 3270<br />
Оба прибора имеют ряд уникальных характеристик.<br />
MBT 3270 — это один самых<br />
миниатюрных термометров сопротивления,<br />
способных измерять температуру до +300 °С<br />
в условиях повышенной вибрации и в агрессивной<br />
среде.<br />
Уникальный чувствительный элемент<br />
(Pt 100 или Pt 1000) помещен в корпус из кислотостойкой<br />
нержавеющей стали AISI 316Ti<br />
диаметром 3 или 6 мм и длиной погружной<br />
части 40 мм. Столь малые размеры обеспечивают<br />
высокую точность измерений и минимальное<br />
время реакции, которое до 80%<br />
ниже, чем у стандартных термометров сопротивления<br />
(рис. 5).<br />
Рис. 5. Результаты испытаний на инерционность термометров сопротивления<br />
Вместо стандартной пайки электрических<br />
соединений используется механический обжим<br />
контактов, выполняемый в газонепроницаемой<br />
камере, что обеспечивает надежность<br />
соединений при тепловом расширении и исключает<br />
коррозию. Защитная арматура термометра<br />
заполнена специальной термостойкой<br />
пастой для повышения вибростойкости.<br />
Высокое качество продукции достигается полной<br />
автоматизацией производственных процессов,<br />
исключающей человеческий фактор.<br />
При разработке термометра сопротивления<br />
для измерения температуры выхлопных<br />
газов перед конструкторами были поставлены<br />
следующие задачи, решение которых<br />
в совокупности позволило создать уникальный<br />
продукт. Среди этих задач наиболее значимыми<br />
были:<br />
1. Применение чувствительного элемента<br />
Pt 1000, поскольку именно в системе выхлопа<br />
наблюдалось сильное влияние на изменение<br />
температуры соединительных проводов.<br />
2. Обеспечение долговременной стабильности<br />
и надежности измерений.<br />
3. Минимально возможные габариты для<br />
снижения инерционности и возможности<br />
установки на двигатели средней мощности,<br />
где выхлопная труба имеет относительно<br />
малые размеры.<br />
4. Стойкость к агрессивным средам.<br />
Решая эти задачи методами подбора материалов<br />
и оптимизации производственных<br />
процессов, специалисты Danfoss смогли представить<br />
не имеющий аналогов термометр сопротивления<br />
для измерения температуры выхлопных<br />
газов серии MBT 5114 (рис. 6).<br />
Измерение давления<br />
Преобразование давления в электрический<br />
сигнал является одним из наиболее интересных<br />
методов в прикладной метрологии.<br />
Существует широкое разнообразие методов,<br />
каждый из которых хорош для решения своей<br />
задачи. Так, например, для измерения давления<br />
в системе турбонаддува необходимо использовать<br />
преобразователи абсолютного давления.<br />
Основная проблема таких приборов состоит<br />
в том, что чувствительные элементы, как правило,<br />
не могут находиться долгое время под<br />
действием глубокого вакуума из-за его негативного<br />
влияния на их надежность. Danfoss предложила<br />
использовать газонаполненную камеру<br />
с референсным значением давления, равным<br />
одной атмосфере. Это решение, в частности,<br />
воплощено в серии преобразователей давления<br />
MBS 3100 (рис. 7), которая нашла широкое<br />
применение в судовых силовых установках.<br />
Измерение давления картерных газов<br />
четырехтактных двигателей играет огромную<br />
роль в диагностике ресурса двигателя.<br />
Повышенное давление в картере двигателя<br />
— важный показатель неисправностей цилиндропоршневой<br />
группы и турбонаддува.<br />
Сложность измерения давления картерных<br />
газов заключается в том, что это очень малые<br />
значения (для большинства дизелей критичным<br />
будет давление 40 мбар (0,004 МПа)).<br />
Есть также вероятность возникновения разрежения<br />
в картере двигателя. Для решения<br />
этой задачи Danfoss предлагает преобразователь<br />
давления MBS 9300.<br />
Рис. 6. Термометр сопротивления для измерения<br />
температуры выхлопных газов Danfoss MBT 5114 Рис. 7. Преобразователь давления Danfoss MBS 3100<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Рис. 8. Конструкция чувствительного элемента<br />
преобразователя давления Danfoss MBS 9300<br />
Чувствительный элемент MBS 9300 (рис. 8)<br />
выполнен по технологии «кремний на кремнии»,<br />
обеспечивающей долговременную стабильность<br />
метрологических характеристик<br />
и высокую перегрузочную способность, что<br />
выгодно отличает ее от наиболее распространенной<br />
в России технологии «кремний<br />
на сапфире». Для снижения влияния вибрации<br />
и пиков давления кристалл чувствительного<br />
элемента помещен в капсулу, с одной<br />
стороны которой находится мембрана, воспринимающая<br />
давление среды, а с другой —<br />
электрическое соединение. Сама капсула заполнена<br />
силиконовым гелем, сохраняющим<br />
свои свойства в широком диапазоне температур.<br />
Благодаря этой и ряду других технологий<br />
Danfoss смогла сконструировать надежный<br />
электронный аналог стрелочных тягонапоромеров<br />
с минимальным диапазоном измерений<br />
от 0 до 40 мбар (от 0 до 0,004 МПа).<br />
Еще более сложной задачей является измерение<br />
давления в общей топливной рампе.<br />
Это связано с тем, что величина давления<br />
нередко превосходит 2000 бар (200 МПа)<br />
в сочетании с возможно высокой температурой<br />
окружающей среды и существенными<br />
вибрациями. Эти проблемы были удачно<br />
решены в преобразователе давления Danfoss<br />
MBS 6300 (рис. 9).<br />
Было решено использовать тонкопленочную<br />
технологию (рис. 10), в которой тензорезисторы<br />
наносятся непосредственно на мембрану<br />
из нержавеющей стали, находящуюся<br />
под воздействием среды. Возможность размещения<br />
на мембране полупроводникового<br />
термометра сопротивления позволяет обе-<br />
Рис. 9. Преобразователь давления Danfoss MBS 6300<br />
Рис. 10. Чувствительный элемент преобразователя<br />
давления Danfoss MBS 6300<br />
спечить термокомпенсацию во всем диапазоне<br />
допустимых температур. Среди других<br />
преимуществ технологии нужно отметить<br />
долговременную стабильность, высокую скорость<br />
реакции (
46<br />
датчики<br />
Датская фирма Danfoss уже более 60 лет разрабатывает и производит<br />
контрольно-измерительные приборы для строения двигателей,<br />
применяя самые передовые технологии. Все это позволяет<br />
решить сложную задачу оптимального управления современными<br />
дизельными двигателями. n<br />
новости<br />
Реклама<br />
Литература<br />
1. Баринов И. Высокотемпературные тензорезистивные датчики давления<br />
на основе карбида кремния. Состояние разработок и тенденции развития //<br />
Компоненты и технологии. 2010. № 8.<br />
Энкодер MTRE с инстинктивной технологией распознавания жестов<br />
Компания Grayhill представляет энкодер MTRE<br />
(Multi-Touch Ring Encoder) с инстинктивной технологией<br />
распознавания жестов. Этот инновационный<br />
продукт обладает рядом особенностей: технологией<br />
распознавания движения пальцев, мультитачповерхностью,<br />
прочным корпусом, задней подсветкой,<br />
а также встроенной монохромной или цветной<br />
LCD touch-панелью (по желанию заказчика).<br />
Изделие имеет цилиндрическую форму с плоской<br />
поверхностью, диаметр которой составляет<br />
50 мм. Эта поверхность и является мультитачпанелью,<br />
при помощи которой осуществляется<br />
управление. Информация с устройства передается<br />
в компьютер через USB-интерфейс для обработки<br />
при помощи ПО Instinct Software.<br />
Для осуществления механического управления<br />
предусмотрен внешний вращательный диск, расположенный<br />
по окружности touch-поверхности,<br />
и встроенная кнопка в ее центре. Они позволяют<br />
оператору перемещаться между пунктами меню.<br />
Еще одной уникальной особенностью MTRE является<br />
встроенный датчик движения. Он реагирует<br />
на приближение руки к устройству и выводит его<br />
из режима покоя, о чем также свидетельствует изменение<br />
яркости задней подсветки touch-панели.<br />
Кроме задней подсветки, у энкодера есть круговая<br />
подсветка по контуру touch-панели: белого, красного,<br />
зеленого или голубого цветов (на выбор).<br />
Для работы с устройством Grayhill предлагает<br />
ПО Instinct Software, в состав которого входят библиотеки<br />
на языке C. Вместе с Instinct Software<br />
предоставляется драйвер для удобства работы<br />
с ОС Windows 7.<br />
Instinct Software может распознавать как одно<br />
(single touch), так и несколько прикосновений сразу<br />
(multi-touch) к панели. Single touch режим включает<br />
в себя контроль курсора, нажатие на центральную<br />
кнопку и перемещение между пунктами меню. Multitouch<br />
режим включает в себя вращение, прокрутку<br />
и zoom — при использовании двух пальцев. 3Dвращение<br />
обеспечивается прикосновением трех пальцев<br />
сразу. В библиотеке Instinct Software содержится<br />
много дополнительных touch-комбинаций, в том числе<br />
«динамичные жесты», разнообразные по продолжительности<br />
нажатия или прикосновения.<br />
Устройство имеет прочный корпус с защитой<br />
от царапин, который устойчив к чистке реагентами.<br />
Предполагается использовать MTRE в таких отраслях,<br />
как медицина (ультразвуковое оборудование,<br />
рентген, МРТ, инвалидные коляски и др.),<br />
промышленное и сельскохозяйственное оборудование,<br />
авиационная промышленность (кабина<br />
управления пилота), а также в охранных комплексах<br />
(пульты управления).<br />
www.prosoft.ru<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013<br />
Реклама
Реклама
48<br />
новости<br />
Новости сайта www.efo.ru<br />
Infineon Technologies<br />
Компания Infineon представила поколение IGBTтранзисторов<br />
на основе более тонкой Si-подложки<br />
(50 мкм), изготовленных по новой технологии<br />
TRENCHSTOP 5.<br />
По технологии TRENCHSTOP 5 выпускаются два<br />
семейства:<br />
• HighSpeed 5 (H5) — быстрые IGBT с мягким<br />
переключением (soft high speed).<br />
• HighSpeed 5 FAST (F5) — наиболее эффективные<br />
IGBT.<br />
Характеристики:<br />
• Рабочее напряжение: 650 В.<br />
• В 2,5 раза меньший заряд затвора Qg<br />
, в 2 раза<br />
меньшие потери при коммутации и на 200 мВ<br />
меньше напряжение насыщения VCE(sat) по сравнению<br />
с семейством HighSpeed 3.<br />
• Быстрый обратный кремниевый диод.<br />
• Низкое соотношение Coss<br />
/Eoss .<br />
• Небольшой положительный температурный<br />
коэффициент VCE(sat) .<br />
• Температурная стабильность прямого напряжения<br />
на обратном диоде Vf .<br />
Преимущества:<br />
• Лучшая в своем классе эффективность, что<br />
дает более низкую температуру переход-корпус<br />
и большую надежность устройства.<br />
• Увеличение рабочего напряжения на 50 В без потери<br />
надежности системы.<br />
• Высокая плотность мощности.<br />
Применение:<br />
• корректоры коэффициента мощности;<br />
• инверторы сварочного оборудования;<br />
• источники бесперебойного питания;<br />
• солнечная энергетика.<br />
WIZnet<br />
В модули WIZ107/108SR преобразователей<br />
протоколов передачи данных Serial ↔ Ethernet<br />
внесен ряд изменений с целью улучшения их эксплуатационных<br />
характеристик и повышения помехоустойчивости.<br />
Доработка коснулась схемотехнического<br />
решения и встроенного программного<br />
обеспечения модулей. Была также повышена степень<br />
защиты от статического электричества и расширен<br />
диапазон рабочих температур до индустриального:<br />
от –40 до +85 °C.<br />
С точки зрения конечного потребителя все<br />
функции новых модулей остались неизменными.<br />
Замена предыдущих версий модулей на новые<br />
может осуществляться без дополнительных изменений<br />
в схемотехнике конечных целевых плат.<br />
Тем не менее версии встроенного программного<br />
обеспечения (firmware) для новых и старых версий<br />
модулей различаются и не являются совместимыми.<br />
При попытке загрузить в новый модуль старую<br />
версию «прошивки» (или наоборот) результатом<br />
будет отказ преобразователя.<br />
Новые версии мезонинных модулей преобразователей<br />
протоколов передачи данных<br />
WIZ107/108SR доступны для заказа с 1 декабря<br />
2012 года, последняя дата заказа старых версий<br />
— 30 июня 2013 года.<br />
Locosys<br />
Компания Locosys объявила о пополнении своей<br />
линейки выносных, совмещенных с антенной<br />
ГЛОНАСС/GPS-приемников моделями серии<br />
LS2303x-G, выполненными на чипсете MediaTek.<br />
Новые приемники имеют интерфейсы USB и RS-232.<br />
Их отличия — высокая чувствительность, минимальное<br />
энергопотребление и возможность<br />
работы в расширенном температурном диапазоне<br />
(–40…+85 °C).<br />
4D Systems<br />
Компания 4D Systems начала производство<br />
двух новых графических модулей: μTOLED-20-G2<br />
и μLCD-32WPTU.<br />
Графический модуль μTOLED-20-G2 реализован<br />
на базе микросхемы Goldelox и прозрачного<br />
OLED-дисплея. Дисплей поддерживает разрешение<br />
128×160 точек, 65K цветов. Размер дисплея<br />
по диагонали составляет 2″, размер активной<br />
области экрана — 31,86×39,74 мм. Основной<br />
интерфейс для обмена с внешними устройствами<br />
и программирования — UART (TTL). Две независимые<br />
линии ввода/вывода могут служить<br />
в качестве цифровых линий ввода/вывода, входа<br />
8/10-разрядного АЦП и звукового выхода.<br />
Их можно применять также при работе с внешними<br />
устройствами по однопроводному интерфейсу<br />
типа Dallas. Физические размеры модуля —<br />
37,5×24×11 мм, питание — от 4 до 5,5 В.<br />
Графический модуль μLCD-32WPTU реализован<br />
на базе микросхемы Picaso и широкоформатного<br />
TFT-дисплея. Дисплей поддерживает разрешение<br />
240×400 точек, 65K цветов. Размер дисплея<br />
по диагонали составляет 3,2″, размер активной<br />
области экрана — 41,76×69,6 мм. Дисплей оснащен<br />
резистивным сенсорным экраном. В качестве<br />
основного интерфейса для обмена с внешними<br />
устройствами и программирования служит UART<br />
(TTL). Дополнительно к нему модуль имеет второй<br />
UART, интерфейс IIC и параллельный интерфейс.<br />
Физические размеры модуля — 37,5×24×11 мм.<br />
Он работает при напряжении от 4 до 5,5 В или<br />
3,7 В — при питании от аккумуляторной батареи<br />
LiIon или LiPo.<br />
Atmel<br />
Выпущены новые версии микросхем памяти<br />
EEPROM емкостью 1 и 2 кбит — AT24C01С<br />
и AT24HC02C. Новые версии микросхем производятся<br />
по проектным нормам 0,25 мкм, они по выводам<br />
и функционально совместимы со старыми версиями<br />
(которые выпускались по проектным нормам<br />
0,35 мкм). Новые версии поддерживают расширенный<br />
диапазон напряжения питания1,7–5,5 В (у старых<br />
версий — 1,8–5,5 В).<br />
Выпуск AT24C01С и AT24HC02C уже начат.<br />
Старые версии AT24C01B и AT24HC02B снимаются<br />
с производства, последняя дата заказа —<br />
26 мая 2013 г., дата последней отгрузки — 26 ноября<br />
2013 г.<br />
Vicor<br />
Компания Vicor приступила к серийному производству<br />
AC/DC-конвертеров FE175D480x033FP-00<br />
с диапазоном входного напряжения от 85 до 264 В,<br />
встроенным корректором коэффициента мощности,<br />
изолированным выходом с напряжением 48 В<br />
и максимальной выходной мощностью 330 Вт.<br />
Новый модуль, предназначенный для построения<br />
высокоэффективных малогабаритных импульсных<br />
источников питания, имеет габаритные размеры<br />
95,3×48,6×9,55 мм. При таких размерах плотность<br />
конвертируемой мощности достигает 7,4 Вт/см 3 .<br />
Коэффициент полезного действия при полной нагрузке<br />
лежит в пределах от 90 до 92,5%.<br />
В состав семейства FE175D480x033FP-00<br />
входят три модуля: FE175D480C033FP-00,<br />
FE175D480T033FP-00 и FE175D480M033FP-00,<br />
которые отличаются друг от друга рабочим<br />
температурным диапазоном и температурой<br />
хранения. Самые морозоустойчивые модули<br />
FE175D480M033FP-00 могут работать при температуре<br />
–55 °C и выдерживают температуру хранения<br />
от –65 до +125 °C.<br />
Avago Technologies<br />
Компания Avago Technologies представила<br />
4-канальный трансивер AFBR-79EEPZ с параллельными<br />
оптическими каналами в корпусе<br />
QSFP+. Трансивер передает данные по четырем<br />
каналам в обоих направлениях на скорости<br />
10,3125 Гбит/с на канал. Суммарная скорость<br />
передачи данных — 40 Гбит/с. AFBR-79EEPZ<br />
совместим с оптическими трансиверами с меньшим<br />
числом каналов, которые соответствуют<br />
стандарту IEEE 802.3ae 10GBASE-SR, в таких<br />
форм-факторах, как SFP+, XFP и X2.<br />
Санкт-Петербург,<br />
ул. Новолитовская, д. 15, лит. А,<br />
бизнес-центр «Аквилон», офис 441;<br />
(812) 327-86-54; e-mail: zav@efo.ru.<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Введение<br />
Роман РыжЕйКИН<br />
Roman.Ryzheykin@ru.endress.com<br />
Давление — один из важных измеряемых<br />
параметров в промышленной автоматизации.<br />
Сфера применения датчиков давления<br />
очень разнообразна: водоподготовка, очистка<br />
стоков, пищевая, фармацевтическая,<br />
химическая, нефтеперерабатывающая промышленность,<br />
добыча, хранение и транспортировка<br />
нефти и газа и т. д. Помимо непосредственного<br />
измерения давления, датчики<br />
давления используются также при измерении<br />
расхода, уровня, удельного веса пульпы<br />
и для других косвенных измерений.<br />
Многообразие областей и способов применения,<br />
а также требования, предъявляемые<br />
разными потребителями, — все это<br />
Рис. 1. Серия интеллектуальных преобразователей<br />
абсолютного и избыточного давления Cerabar М<br />
фирмы Endress+Hauser<br />
датчики<br />
Оборудование<br />
для измерения давления<br />
компании Endress+Hauser:<br />
широкие возможности<br />
точного выбора<br />
В статье на примере приборов измерения давления рассказывается о продукции<br />
одного из ведущих мировых производителей оборудования и систем<br />
КИПиА — фирмы Endress+Hauser. Приводятся основные характеристики<br />
датчиков давления, дается их классификация по метрологическим<br />
характеристикам и условиям применения, обсуждается многообразие<br />
конструктивных исполнений, упоминаются технические средства проектирования,<br />
настройки и обслуживания. В числе преимуществ указываются<br />
прочные и коррозионно-стойкие чувствительные элементы, встроенные<br />
интеллектуальные функции, широкий выбор типов датчиков, диапазонов<br />
измерений, конструктивных исполнений, метрологических характеристик<br />
(точности и стабильности), электрических интерфейсов и способов присоединения<br />
к процессу.<br />
диктует и разнообразие применяемых для<br />
измерения давления датчиков и их модификаций.<br />
Одной из немногих компаний, способных<br />
удовлетворить практически любые требования,<br />
является Endress+Hauser — ведущий<br />
мировой поставщик измерительной аппаратуры<br />
и комплексных решений на ее основе.<br />
На рис. 1 показана одна из серий датчиков<br />
давления фирмы Endress+Hauser — серия<br />
интеллектуальных преобразователей абсолютного<br />
и избыточного давления Cerabar М.<br />
Общие характеристики датчиков<br />
давления Endress+Hauser<br />
чувствительные элементы<br />
Endress+Hauser использует в датчиках давления<br />
чувствительные элементы (ячейки)<br />
двух типов: керамические емкостные и тензорезистивные<br />
с металлической мембраной.<br />
Керамические («сухие») измерительные<br />
ячейки состоят из керамической диафрагмы<br />
(99,9% Al 2 O 3 ) и емкостного датчика.<br />
Диафрагма отличается высокой коррозионной<br />
и эрозионной стойкостью. На керамической<br />
основе ячейки и на диафрагме расположены<br />
электроды. Измеряемое давление<br />
воздействует на керамическую диафрагму,<br />
деформируя ее. Деформация составляет максимум<br />
25 мкм. Электрическая емкость между<br />
электродами изменяется в зависимости<br />
от изменения давления. Диапазон измерения<br />
определяется толщиной керамической диафрагмы.<br />
Тензорезистивные измерительные ячейки<br />
состоят из корпуса, внутренняя полость которого<br />
заполнена жидкостью (маслом) и отделена<br />
от измеряемой среды металлической<br />
мембраной. В корпусе размещается тензорезистивный<br />
мостовой преобразователь.<br />
Измеряемое давление воздействует на изолирующую<br />
диафрагму и через заполняющую<br />
корпус ячейки жидкость передается<br />
на измерительный мост. Выходное напряжение<br />
моста изменяется в зависимости от значения<br />
давления. Разделительная мембрана<br />
может быть изготовлена из различных материалов<br />
(AlloyC, 316L) для лучшего сопротивления<br />
различным средам. Для работы<br />
датчика в среде газа, содержащего водород,<br />
на мембрану наносят золото-родиевое покрытие.<br />
корпуса и способы присоединения<br />
к процессу<br />
Корпуса датчиков изготавливаются<br />
из алюминия или нержавеющей стали, имеют<br />
коррозионно-стойкие покрытия, класс<br />
защиты от пыли и влаги — от IP66 до IP68,<br />
а погружные датчики — до IP69K. Они могут<br />
эксплуатироваться в диапазоне температур<br />
окружающего воздуха от –50 до +85 °C,<br />
в тяжелых производственных условиях —<br />
при повышенной запыленности в агрессивных<br />
средах, а также вне помещений.<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
49
50<br />
датчики<br />
Датчики могут иметь взрывобезопасное исполнение.<br />
Датчики давления Endress+Hauser могут<br />
работать в самых разнообразных технологических<br />
установках. Это обеспечивается<br />
различными способами присоединения<br />
к процессу, к которым относятся резьбовый,<br />
фланцевый, Tri-Clamp (ISO2852) и гигиенический,<br />
а также соответствующими<br />
конструктивными исполнениями датчиков,<br />
принадлежностями и аксессуарами — вентильными<br />
блоками, сифонами, монтажными<br />
хомутами и т. п.<br />
нормирующие преобразователи<br />
Кроме чувствительного элемента, датчик<br />
давления содержит нормирующий преобразователь,<br />
преобразующий сигнал измерительной<br />
ячейки в стандартный выходной<br />
сигнал. В зависимости от применяемой<br />
на контролируемом объекте системы измерения<br />
и типа датчика можно выбрать различные<br />
выходные аналоговые или цифровые<br />
сигналы. Это:<br />
• токовая петля 4–20 мА;<br />
• 0–10 В;<br />
• HART;<br />
• 4–20 мА HART (токовая петля с дополнительной<br />
передачей данных по протоколу<br />
HART);<br />
• Profibus PA;<br />
• FOUNDATION Fieldbus.<br />
Сигнализаторы давления могут иметь<br />
один или два релейных выходных сигнала.<br />
модульная конструкция<br />
Многие датчики давления Endress+Hauser<br />
имеют модульную конструкцию, как это показано<br />
на рис. 2. Чувствительные элементы,<br />
нормирующие преобразователи, дисплеи,<br />
устройства подключения к процессу, кабельные<br />
вводы, не говоря о различных крышках,<br />
фильтрах, прокладках и пр., выполняются<br />
в виде сменных модулей. Это позволяет,<br />
например, установить датчик в минимально<br />
необходимой комплектации, а затем доукомплектовать<br />
его ЖК-дисплеем для удобства<br />
эксплуатации. В ряде случаев возможен<br />
ремонт непосредственно на месте установки<br />
путем замены модуля. Блочная замена сокращает<br />
время ремонта датчиков.<br />
классификация по видам измерений<br />
и назначению<br />
По видам измеряемых величин датчики<br />
давления Endress+Hauser можно разделить<br />
на следующие группы:<br />
• абсолютного давления;<br />
• избыточного давления;<br />
• дифференциального давления;<br />
• гидростатического давления;<br />
• перепада давления (сужающие устройства<br />
для измерения расхода).<br />
Как отдельную группу можно рассматривать<br />
датчики перепада давления и датчики<br />
дифференциального давления в конструк-<br />
Рис. 2. Модульная конструкция датчика давления<br />
тивном исполнении, предназначенном для<br />
вычисления расхода.<br />
В зависимости от назначения и функциональных<br />
возможностей датчики давления<br />
Endress+Hauser подразделяются на аналоговые,<br />
интеллектуальные и цифровые высокоточные<br />
преобразователи.<br />
Датчики перепада давления предназначены<br />
для измерения объемного или массового<br />
расхода всех типов газа, жидкости или пара.<br />
Ассортиментный перечень изделий представлен<br />
разделительными диафрагмами,<br />
трубками Пито и датчиками Deltabar S.<br />
диапазоны измерений<br />
Диапазон измерений датчика определяется<br />
параметрами измерительной ячейки, применяемой<br />
в конкретном датчике: толщиной<br />
керамической мембраны в керамической<br />
ячейке или чувствительностью моста в тензорезистивной<br />
ячейке. В зависимости от типа<br />
датчика диапазон измерения можно выбрать<br />
в широких пределах. Например, в датчиках<br />
дифференциального давления он может составлять<br />
от –10…+10 мбар до –40…+40 бар,<br />
а в датчиках абсолютного давления и датчиках<br />
избыточного давления — от 100 мбар<br />
до 700 бар. Что же касается датчика давления<br />
Cerabar S PMP71, то он может иметь один<br />
из диапазонов измерения: 0,4; 1; 2; 4; 10; 40;<br />
100; 400 или 700 бар.<br />
Во всех датчиках предусмотрена возможность<br />
перенастройки диапазона от 4:1 до 100:1<br />
для разных типов датчиков. В то же время<br />
широкий выбор измерительных диапазонов<br />
избавляет от необходимости значительной<br />
перенастройки диапазона измерений.<br />
Погрешность, стабильность<br />
Точность (accuracy) датчиков зависит<br />
от типа и исполнения. Датчики давления серии<br />
S имеют класс точности 0,075% и долговременную<br />
стабильность 0,05% от верхнего<br />
предела диапазона измерения в год.<br />
Бюджетные модели (серия M) имеют класс<br />
точности 0,15% при долговременной стабильности<br />
0,1% от верхнего предела диапазона<br />
измерения в год, а компактные (серии<br />
Т) — 0,5 и 0,15% соответственно. По заказу<br />
датчики (кроме компактных) могут<br />
поставляться со специальной заводской калибровкой<br />
Platinum. Погрешность таких датчиков<br />
в полтора раза меньше: для серии S —<br />
класс точности 0,05%, для серии М — класс<br />
точности 0,075%.<br />
Описание некоторых серий<br />
датчиков давления Endress+Hauser<br />
датчики абсолютного<br />
и избыточного давления<br />
Для измерения абсолютного и избыточного<br />
давления Endress+Hauser поставляет четыре<br />
серии датчиков:<br />
• Cerabar S;<br />
• Cerabar М;<br />
• Cerabar Т;<br />
• Ceraphant Т.<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Таблица 1. Особенности датчиков серии Cerabar S<br />
Характеристики PMС71 PMP71 PMP75<br />
Измерительная ячейка Емкостная Тензорезистивная<br />
Коррозийно-стойкие<br />
мембраны<br />
Керамика √ √<br />
Модуль памяти<br />
HistoROM/<br />
M-DAT<br />
HistoROM<br />
Диапазон измерений, бар 0,1–40 0,1–700 0,1–400<br />
Предельно допустимое<br />
рабочее давление, бар<br />
60 1050<br />
Рабочий температурный<br />
диапазон, °С<br />
–20…+150 –40…+125 –70…+400<br />
Серия Cerabar S<br />
Это интеллектуальные, высокоточные<br />
и многофункциональные преобразователи<br />
абсолютного и избыточного давления.<br />
Они предназначены для измерения давления<br />
и уровня в резервуарах и трубопроводах. Для<br />
серии характерны модульная конструкция,<br />
съемный ЖК-индикатор с тремя кнопками<br />
для настройки прибора на месте измерения,<br />
высокая стабильность (межповерочный интервал<br />
— четыре года) и расширенные функции<br />
диагностики.<br />
Серия включает три типа датчиков:<br />
• Cerabar S PMС71;<br />
• Cerabar S PMP71;<br />
• Cerabar S PMP75.<br />
Особенности этих датчиков приведены<br />
в таблице 1.<br />
Серия Cerabar М<br />
Это интеллектуальные преобразователи<br />
абсолютного и избыточного давления.<br />
Они предназначены для измерения давления<br />
и уровня в резервуарах и трубопроводах. Для<br />
серии характерны компактная модульная<br />
конструкция, съемный ЖК-индикатор с тремя<br />
кнопками для настройки прибора на месте<br />
измерения, высокая стабильность (межповерочный<br />
интервал — четыре года).<br />
Серия включает три типа датчиков:<br />
• Cerabar М PMС51;<br />
• Cerabar М PMP51;<br />
• Cerabar М PMP55.<br />
Особенности этих датчиков приведены<br />
в таблице 2.<br />
Таблица 2. Особенности датчиков серии Cerabar М<br />
Характеристики PMС51 PMP51 PMP55<br />
Измерительная ячейка Емкостная Тензорезистивная<br />
Коррозийно-стойкие<br />
мембраны<br />
Диапазон измерений,<br />
бар<br />
Предельно допустимое<br />
рабочее давление, бар<br />
Рабочий температурный<br />
диапазон, °С<br />
0,1–40 1–400<br />
Серия Cerabar Т<br />
Это экономичные компактные преобразователи<br />
абсолютного и избыточного давления.<br />
Они предназначены для измерения<br />
давления и уровня в резервуарах и трубопроводах.<br />
Для серии характерны высокая<br />
стабильность (межповерочный интервал —<br />
√<br />
60 600<br />
–20…+130 –40…+125 –70…+400<br />
Таблица 3. Особенности датчиков серии Cerabar Т<br />
Характеристики PMС131 PMP131 PMP135<br />
Измерительная ячейка<br />
Съемный<br />
ЖК-индикатор<br />
Диапазон измерений,<br />
бар<br />
Предельно допустимое<br />
рабочее давление, бар<br />
Рабочий температурный<br />
диапазон, °С<br />
Керамическая<br />
емкостная<br />
Тензорезистивная<br />
0,1–40 1–400 1–40<br />
четыре года) и фиксированный ряд диапазонов<br />
измерения.<br />
Серия включает три типа датчиков:<br />
• Cerabar Т PMС131;<br />
• Cerabar Т PMP131;<br />
• Cerabar Т PMP135.<br />
Особенности этих датчиков приведены<br />
в таблице 3.<br />
Серия Ceraphant Т<br />
Это предельные сигнализаторы избыточного<br />
и абсолютного давления. Они предназначены<br />
для измерения и сигнализации достижения<br />
заданной величины давления в резервуарах<br />
и трубопроводах. Датчики серии<br />
снабжены встроенным ЖК-индикатором<br />
с тремя кнопками настройки.<br />
Серия включает три типа датчиков:<br />
• Ceraphant Т PТС31;<br />
• Ceraphant Т PТP31;<br />
• Ceraphant Т PTP35.<br />
Особенности этих датчиков приведены<br />
в таблице 4.<br />
Более подробную информацию о датчиках<br />
абсолютного и избыточного давления, а также<br />
о другом оборудовании Endress+Hauser<br />
можно найти на сайте www.ru.endress.com.<br />
Особенности использования<br />
оборудования Endress+Hauser<br />
Простота<br />
и скорость проектирования<br />
Кроме рассмотренных в настоящей статье<br />
датчиков давления, Endress+Hauser<br />
поставляет широкий спектр контрольноизмерительных<br />
приборов и средств автоматизации,<br />
что ставит перед проектировщиками<br />
нелегкую задачу их оптимального выбора.<br />
В связи с этим фирмой разработан специальный<br />
программный продукт — Applicator.<br />
Applicator — удобный программный инструмент<br />
для расчета и подбора подходящих<br />
датчики<br />
измерительных приборов, исходя из параметров<br />
применения. На этапе проектирования<br />
для выбора необходимых продуктов и решений<br />
нужно ввести в программу определенные<br />
параметры процесса. Подбор необходимого<br />
оборудования поддерживается различными<br />
модулями, каждый из которых может<br />
быть использован независимо друг от друга.<br />
Программа, предоставляя подробные чертежи,<br />
схемы, сравнения принципов измерения<br />
и продуктов, предлагает обзор применимых<br />
решений, что упрощает и ускоряет процесс<br />
проектирования.<br />
Кроме того, специалисты Endress+Hauser<br />
в любой момент готовы во взаимодействии<br />
с клиентами на месте изучить насущные проблемы<br />
контроля и автоматизации и предложить<br />
оптимальные для каждого конкретного<br />
случая решения. Наличие индивидуального<br />
решения, учитывающего потребности и особенности<br />
конкретного производства, обеспечивает<br />
максимальный эффект при минимальных<br />
вложениях.<br />
наладка и обслуживание<br />
Наладка и обслуживание оборудования<br />
Endress+Hauser существенно облегчаются<br />
благодаря пакету программного обеспечения<br />
Fieldtool для проведения настроек, ввода<br />
в эксплуатацию и диагностики контрольноизмерительных<br />
приборов компании.<br />
Программный модуль анализа и планирования<br />
технического обслуживания позволяет<br />
осуществлять обслуживание в оптимальные<br />
сроки, основываясь на известных условиях<br />
процесса.<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
√<br />
60 600 160<br />
–20…+100 –25…+70 –25…+100<br />
Таблица 4. Особенности датчиков серии Ceraphant Т<br />
Характеристики PТС31 PТP31 PTP35<br />
Измерительная ячейка<br />
Керамическая<br />
емкостная<br />
Тензорезистивная<br />
Диапазон измерений, бар 0,1–40 1–400 1–40<br />
Предельно допустимое<br />
рабочее давление, бар<br />
Рабочий температурный<br />
диапазон, °С<br />
60 600 160<br />
–40…+100<br />
51<br />
усовершенствования<br />
Endress+Hauser постоянно работает над<br />
расширением функциональных возможностей<br />
и улучшением технических и эксплуатационных<br />
характеристик поставляемого оборудования.<br />
Только за последний год:<br />
• увеличен до четырех лет межповерочный<br />
интервал термометров сопротивления;<br />
• благодаря снижению электропотребления<br />
электроникой датчиков серии Cerabar М<br />
обеспечена возможность их электропитания<br />
от стандартной токовой петли 4–20 мА;<br />
• нижний температурный предел применения<br />
датчиков давления теперь составляет<br />
–50 °С.<br />
При этом, внедряя новые изделия,<br />
Endress+Hauser продолжает поддерживать<br />
и обслуживать снятое с производства оборудование<br />
в течение всего срока службы.<br />
Заключение<br />
Изложенное позволяет сделать вывод, что<br />
выбор Endress+Hauser в качестве поставщика<br />
оборудования — эффективное и надежное<br />
решение. Автор надеется, что предложенная<br />
в статье информация поможет проектировщикам<br />
и потребителям в выборе оборудования<br />
для систем автоматизации. n
52<br />
тестирование<br />
Карлос Белтран АЛМЕйДА<br />
(Carlos Beltrán ALMEIDA)<br />
Исабель Качо ТЕХЕйРА<br />
(Isabel Cacho TEIxEIRA)<br />
жоао Пауло ТЕХЕйРА<br />
(Joao Paulo TEIxEIRA)<br />
жоао ВАРЕЛА (Joao VARELA)<br />
жозе АУГУСТО (José AUGUSTO)<br />
Марселино САНТОС (Marcelino SAnTOS)<br />
Нуно КАРДОСО (nuno CARDOSO)<br />
Перевод:<br />
Галит ГОРОДЕЦКАя (JTAG. TEST)<br />
Тестопригодность<br />
системы индикации<br />
и запуска верхнего уровня<br />
электромагнитного калориметра<br />
компактного мюонного соленоида<br />
В статье описаны результаты работы, которую проделала группа из Политехнического<br />
института в Лиссабоне (InESC) в сотрудничестве с Европейским<br />
советом по ядерным исследованиям (Conseil Européen pour<br />
la Recherche nucléaire, CERn) для улучшения тестопригодности системы<br />
индикации и запуска верхнего уровня (СИЗВУ) электромагнитного калориметра<br />
компактного мюонного соленоида.<br />
Введение<br />
ческого и встроенного контроля, а также<br />
по описанию системы на уровне методов мо-<br />
Для достижения этой цели группа авторов делирования высокого уровня.<br />
статьи сосредоточилась на решении следую- После анализа результатов этого исследощих<br />
задач:<br />
вания возникло предложение по расширению<br />
• переход от JTAG-тестирования отдельных использования стандарта IEEE 1149.1 на си-<br />
плат к JTAG-тестированию на уровне системном уровне, для чего и была разработана<br />
стемы;<br />
специальная плата контроллера JTAG.<br />
• введение автоматического встроенного<br />
контроля на уровне специализированных Реализация JTAG<br />
интегральных схем (ASIC);<br />
• введение оценки эффективности дефектна<br />
уровне системы<br />
ориентированного тестирования;<br />
Управление системой СИЗВУ осуществля-<br />
• введение моделирования и симуляции ется платой контроллера, установленного<br />
на уровне системы.<br />
в каждом крейте. Основной задачей груп-<br />
Аналогичные исследования по повышепы авторов статьи была разработка JTAGнию<br />
тестопригодности и надежности системы контроллера (его аппаратного и програм-<br />
СИЗВУ были проведены в Политехническом много обеспечения) с интерфейсом VME,<br />
институте Турина в сотрудничестве с CERN обеспечивающим JTAG-тестирование си-<br />
(в русском языке обычно используется абстемного модуля (крейта) в нерабочее время<br />
бревиатура ЦЕРН).<br />
или во время планового обслуживания. Эта<br />
Система СИЗВУ электромагнитного ка- задача должна состоять из двух частей:<br />
лориметра компактного мюонного соле- • Разработка JTAG-модуля (контроллера<br />
ноида (ECAL CMS) [1] содержит примерно JTAG), который работал бы как интерфейс<br />
тысячу плат, установленных в 60 крейтах между контроллером системного модуля<br />
размером 9U и связанных между собой ши- и интерфейсом VME, реализующим шину<br />
ной VME. Поэтому при разработке и внедре- JTAG-тестирования.<br />
нии такой сложной системы следует исполь- • Разработка программного обеспечения для<br />
зовать методы тестопригодного проектиро- загрузки теста и считывания с контроллера<br />
вания с тем, чтобы подобная система была<br />
доступна для тестирования на всех этапах ее<br />
результатов тестирования.<br />
производства и эксплуатации.<br />
Архитектура<br />
В сотрудничестве с Политехническим ин- системы тестирования JTAg<br />
ститутом Лиссабона (LIP) группа в INESC Существует два основных подхода, ис-<br />
проделала значительную работу по внедрепользуемых при соединениях тестовой<br />
нию стандарта ANSI IEEE 1149.1 на различ- JTAG-шины, подключенной к нескольных<br />
уровнях моделирования (компоненты, ким IEEE 1149.1-совместимым платам: коль-<br />
многокристальный модуль (MКM), плата, цевая конфигурация JTAG-цепочки и звез-<br />
система) по внедрению средств автоматидообразная конфигурация. При кольцевой<br />
конфигурации (рис. 1а) в схеме используются<br />
одни и те же сигналы управления (TMS<br />
и TCK) и один и тот же маршрут сканирования<br />
(TDI/TDO) для всех плат. При звездообразной<br />
конфигурации (рис. 1б) в схеме<br />
также используются одни и те же сигналы<br />
управления (TMS и TCK), но общий для всех<br />
плат маршрут сканирования (TDI/TDO). Для<br />
управления системой тестирования в обоих<br />
случаях требуется один и тот же объем информации,<br />
однако кольцевая конфигурация,<br />
как правило, несколько длиннее звездообразной<br />
и имеет определенные преимущества,<br />
так как для нее необходимо меньшее число<br />
периодов синхронизации для получения<br />
результата тестирования. Более того, при<br />
удалении одной платы из системы как неисправной<br />
с системой по-прежнему можно<br />
продолжать работать, что и обуславливает<br />
преимущества звездообразной конфигурации<br />
по сравнению с кольцевой.<br />
Звездообразная конфигурация предполагает<br />
применение специальной микросхемы,<br />
называемой «мост сканирования»<br />
(scan bridge) [2], специально предназначенной<br />
для связывания плат между собой<br />
в рамках тестовой шины. Эта микросхема<br />
фирмы National Semiconductor снабжена<br />
одним JTAG-совместимым портом тестирования,<br />
подключаемым к трем цепям тестирования<br />
объединительной платы (задней<br />
панели) и максимум трем локальным<br />
вторичным JTAG-совместимым портам<br />
тестирования. Адресуясь к одному из этих<br />
JTAG-совместимых портов, система позволяет<br />
тестировать определенную системную плату.<br />
В отличие от других подходов (например,<br />
с применением стандарта МТМ), использование<br />
микросхемы Scan Bridge обеспечивает<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
очень хорошие результаты при адресации<br />
в JTAG-совместимом протоколе.<br />
тестирование<br />
Плата контроллера JTAg<br />
Разработанная авторами плата контроллера<br />
JTAG представляет собой ведомую<br />
шину VME, выполненную в формате 6U.<br />
Эта плата снабжена интерфейсом с шиной<br />
VME посредством коннекторов P1/J1<br />
и P2/J2 и включает в себя:<br />
• сигналы [3], эквивалентные JTAG ТСК,<br />
• MCTL (Module Control), эквивалентный<br />
сигналу TMS,<br />
• MMD (Module Data), эквивалентный сигналу<br />
JTAG TDI,<br />
• MSD (Module Slave Data), эквивалентный<br />
сигналу TDO,<br />
а<br />
• и MPR, эквивалентный сигналу TRST#.<br />
Все эти сигналы можно применять при<br />
б<br />
JTAG-тестировании на системном уровне.<br />
Контроллер JTAG предназначен для приема<br />
этих команд, генерируемых ведущей пла-<br />
Рис. 1. Конфигурация JTAG-цепочки: a) кольцевая; б) звездообразная<br />
той VME, с шины VME, преобразуя и конфинении теста (битовые последовательности Программное обеспечение<br />
гурируя их до уровня процедур тестирования на шине TDI). Вторая часть модуля содер- контроллера JTAg<br />
и управляя сигналами JTAG-тестирования жит состояния сигнала TRST# и других Тесты генерируются для каждой платы<br />
на уровне отдельной платы, подключенной управляющих данных, имеющих отно- по отдельности программным обеспече-<br />
к шине VME.<br />
шение к прогону теста.<br />
нием (ПО) VICTORY фирмы Teradyne [5].<br />
Как показано на рис. 2, плата контроллера • JTAG-контроллер (PSC100F) — это ИС Это ПО в настоящее время применяется ор-<br />
JTAG содержит следующие функциональные фирмы National Semiconductor [4], разраганизацией CERN и может быть повторно<br />
блоки:<br />
ботанная специально для связи параллель- использовано при генерации тестов для лю-<br />
• Интерфейсные шины VMEbus. Эти цепи, ной процессорной шины с последовательбой другой платы тестируемой системы.<br />
применяемые для связи с шиной VME, слуной тестовой JTAG-шиной. Микросхема Все модули VICTORY генерируют тест-векжат<br />
для реализации протокола VME64 пе- подразделяется на последовательный инторы в последовательном векторном формате<br />
редачи данных между ведущей и ведомой терфейс, содержащий отдельный буфер SVF (Serial Vector Format), широко применяе-<br />
шинами. Загрузка локальной памяти для каждого тестового сигнала (TDO, TDI мом разработчиками и пользователями ПО<br />
и конфигурирование локальных регистров и TMS), и параллельный интерфейс досту- JTAG. ПО VICTORY включает в себя модуль,<br />
выполняется при этом посредством пропа к локальному тест-контроллеру LTC. обеспечивающий преобразование кода SVF<br />
токола VME64.<br />
Тестовый генератор синхросигналов пред- в таблицу истинности, содержащую логические<br />
• Локальный тест-контроллер (LTC). Эта ставляет собой программируемую схему, ге- уровни пяти JTAG-сигналов. Разрабатываемое<br />
цепь, построенная на ПЛД ИС Altera, отвенерирующую частоту, зависящую от сигнала ПО предназначено для преобразования этой<br />
чает за управляемость функциональности ТСК. Генератор программируется от локаль- таблицы истинности в формат, пригодный для<br />
тестовой платы и подразделяется на четыре<br />
подмодуля:<br />
– Машина состояний — управляет состоянием<br />
платы и выполнением тестов.<br />
– Модуль управления цепями VME — отвечает<br />
за конфигурирование операционного<br />
режима интерфейсных цепей<br />
шины VME.<br />
– Контроллер передачи данных — управляет<br />
передачей данных между локальной<br />
памятью, контроллером JTAG<br />
PSC100F и собственно локальным тестконтроллером<br />
LTC.<br />
– Регистры, связанные со статусом, командами<br />
и конфигурацией, обеспечивающие<br />
доступ к ведущей шине VME<br />
и позволяющие осуществлять запуск<br />
и остановку прогона теста, а также мониторинг<br />
его текущего состояния.<br />
• Локальная память — этот модуль подразделяется<br />
на две части. Одна из них предназначена<br />
для хранения выходных тествекторов<br />
(битовых последовательностей<br />
ного тест-контроллера LTC.<br />
загрузки в JTAG-контроллер. Кроме того, упона<br />
шинах TDO и TMS) и входных векторов,<br />
принимаемых системой при выпол-<br />
Рис. 2. Блок-диаграмма JTAG-контроллера<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
www.kite.ru<br />
53
54<br />
тестирование<br />
мянутое ПО предназначено также для конфигурирования<br />
регистров платы.<br />
ПО VICTORY содержит диагностический<br />
пакет BSID, применяемый для анализа возможных<br />
неисправностей при диагностике<br />
системы. ПО платы JTAG-контроллера преобразует<br />
результаты тестирования в формат,<br />
приемлемый для использования этим диагностическим<br />
пакетом.<br />
Встроенное тестирование,<br />
качество и надежность<br />
Следующая область исследований авторов<br />
статьи включала в себя систему INESC как<br />
ключевую систему поддержки компонентов,<br />
прежде всего — схемы синхронизации Sync<br />
Tx/Rx [6]. Прототип системы на основе FPGA<br />
был разработан фирмой TECMIC и должен был<br />
включать в себя такие функции тестопригодности,<br />
как JTAG [7] и встроенное самотестирование<br />
(Built In Self Test, BIST). Заключительная<br />
версия ИС должна быть реализована на основе<br />
технологии ASIC, таким образом, были<br />
предусмотрены оба варианта прототипов.<br />
Мы представим несколько релевантных аспектов<br />
предлагаемого решения [8] (рис. 3).<br />
Схема BIST в сочетании с JTAG обеспечивает<br />
самотестирование ИС без необходимости<br />
загрузки сложных тестовых векторов, а также<br />
без необходимости в анализе отдельных<br />
выходов схемы. В операционном режиме<br />
BIST схема автоматически генерирует тествекторы<br />
посредством сдвиговых регистров<br />
с обратными связями (Linear Feedback Shift<br />
Registers, LFSR), сжимая их выходные сигналы.<br />
Эти сжатые выходные сигналы, известные<br />
как сигнатуры, последовательно сдвигаются<br />
к выходу схемы по окончании теста,<br />
а затем сравниваются с сигнатурами исправной<br />
схемы. Встроенное самотестирование<br />
BIST подобно производственному тестированию,<br />
в особенности для сложных и не всегда<br />
легкодоступных модулей, схожих с теми, которые<br />
встречаются в электронике CMS.<br />
Предлагаемая в статье архитектура JTAG<br />
1149.1 [7] содержит:<br />
• Петлю 0 JTAG-регистра, содержащего<br />
ячейки JTAG.<br />
• Петлю 1 тестового регистра (TR), включающего<br />
в себя:<br />
– два регистра LFSR;<br />
– регистр состояний Sync Tx/Rx;<br />
– счетчик ошибок данных;<br />
– счетчик ошибок синхронизации.<br />
• JTAG-контроллер ТАР, включающий<br />
в себя:<br />
– регистр команд (IR);<br />
– регистр обхода (BP R);<br />
– собственно ТАР-контроллер (машину<br />
состояний JTAG [7]).<br />
• Контроллер BIST.<br />
• LFSR и EDC (Error Detecting Code) дешифратор.<br />
Схема LFSR (рис. 3) предназначена для<br />
генерации входных данных без кодиров-<br />
Рис. 3. Версия Tx_Rx ASIC, преобразованная в BIST<br />
ки по Хэммингу во время BIST-сессии.<br />
Дешифратор EDC генерирует биты кода<br />
Хэмминга, а входные данные синхронизации<br />
Sync_Tx должны быть стробированы и мультиплексированы<br />
контроллером BIST для<br />
обеспечения нормального (прозрачного) их<br />
режима «впрыскивания» в схему LFSR. Как<br />
указывалось выше, схема LFSR2 используется<br />
в предлагаемой структуре для выполнения<br />
сигнатурного анализа данных, запоминаемых<br />
аккумулятором в цикле его чтения<br />
в процессе каждой BIST-сессии. Схема LFSR3<br />
применяется для выполнения сигнатурного<br />
анализа выходных данных. Все эти LFSRрегистры<br />
прозрачны при обычном функционировании<br />
тестируемой схемы. Петля 1<br />
должна включать в себя регистр состояния,<br />
счетчик ошибок данных и счетчик ошибок<br />
синхронизации как часть сигнатуры при работе<br />
схемы BIST.<br />
Интересной особенностью предложенного<br />
решения являются его временные параметры.<br />
Спецификация стандарта JTAG IEEE1149.1<br />
[2] требует, чтобы тестирование выполнялось<br />
под управлением тестовой частоты<br />
ТСК, совершенно независимой от системной<br />
тактовой частоты. Вообще говоря, следовало<br />
бы прервать выдачу системной тактовой<br />
частоты вообще и ограничиться приложением<br />
лишь JTAG-частоты тестирования ТСК.<br />
Однако при синхронизации ИС ASIC такое<br />
прерывание характеризуется несколькими<br />
существенными недостатками:<br />
• введение задержек в линиях синхросигналов<br />
Tx и Rx;<br />
• значительные накладные расходы вследствие<br />
необходимости буферизации сигналов;<br />
• ограничения частоты ТСК схемы BIST<br />
до значений существенно меньших, нежели<br />
обычная рабочая скорость такой схемы.<br />
Предложенная авторами альтернатива,<br />
не вполне, правда, совместимая со стандартом<br />
JTAG 1149.1, заключается в подключении<br />
системных контактов синхронизации<br />
непосредственно к системной логике<br />
ИС, как если бы рассматривалось обычное,<br />
не тестовое функционирование компонента.<br />
Отклонения в поведении компонента возникают<br />
из-за отличий в требованиях к системной<br />
тактовой частоте BIST по сравнению<br />
с требованиями к системной тактовой<br />
частоте ТСК, однако все остальные требования<br />
к интерфейсу в связи с его совместимостью<br />
со стандартом JTAG остаются прежними.<br />
Сигналы Тх должны синхронизировать<br />
схемы LFSR1 и LFSR2, а сигнал Rx — схему<br />
LFSR3. Прототип FPGA, реализуемый схемой<br />
TECMIC, является примером выполнения<br />
схемы синхронизации Tx_Rx. Рабочая частота<br />
40 МГц представляет собой основную задачу<br />
в реализации технологии, основанной<br />
на использовании FPGA. Несмотря на это,<br />
указанная проблема легко разрешима при<br />
использовании технологий ASIC, таких, скажем,<br />
как GA (Gate Array).<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Следующим немаловажным вопросом<br />
при генерации тестов и их применении является<br />
качество продукта и его надежность.<br />
Качество продукта измеряется, как правило,<br />
уровнем дефектов [10], определяемым как<br />
процентное отношение числа продуктов,<br />
успешно прошедших тестирование, к общему<br />
числу тестируемых продуктов, а надежность<br />
является ключевым фактором успеха<br />
в экспериментах с компактным мюонным<br />
соленоидом (CMS). Оба эти фактора связаны<br />
с возможностью предсказания и мониторинга<br />
влияния физических дефектов, вносимых<br />
в функционирование ИС в процессе ее производства<br />
и жизненного цикла.<br />
Группой INESC QTHS [11] была разработана<br />
специальная методика наряду с программными<br />
средствами DO, позволяющими<br />
выполнять анализ физических дефектов<br />
[12, 13]. Для демонстрации приемлемости<br />
такого подхода при его применении в электронных<br />
системах CMS были проведены<br />
предварительные эксперименты с модулем<br />
CAEN на уровне Level 1 (L1). В качестве тестируемого<br />
модуля в этих экспериментах<br />
выступал фильтр, содержащий умножитель<br />
с сумматором, работающим на частоте<br />
40 МГц. Тестируемый модуль содержал<br />
1368 логических вентилей и использовал<br />
21 библиотечную ячейку. При помощи автоматической<br />
системы генерации тестов ATPG<br />
были сгенерированы 108 тест-векторов,<br />
суммарно покрывающих 100% одиночных<br />
константных неисправностей. Наряду с этим<br />
тест покрывал 14 624 реальных физических<br />
дефекта типа коротких замыканий и обрывов.<br />
Результаты моделирования неисправностей<br />
показаны в таблице. Здесь аббревиатурой<br />
DC обозначено покрытие константных<br />
неисправностей в процентах.<br />
Результаты покрытия дефектов (таблица)<br />
недвусмысленно показывают, что 100%ное<br />
покрытие одиночных константных неисправностей<br />
типа LSA вовсе не гарантирует<br />
100%-ного покрытия DC. В действительности,<br />
при попытке обнаружения только логических<br />
сигналов DC(v) = 95,57%. Для определенных<br />
типов покрытия v-обнаружение<br />
дефектов определенно низкое. Прежде всего,<br />
это проявляется в классах BRI A-B (короткое<br />
замыкание между входами вентиля)<br />
и BRI x-Vdd (короткое замыкание между внутренними<br />
узлами вентиля и его узлами питания).<br />
Дополнительные оценки эффектив-<br />
Таблица. Результаты покрытия дефектов в L1-фильтре<br />
Класс<br />
неисправностей<br />
FI, % DC(v), % DC(I), % DC(v+I), %<br />
BRI Y-Y 54,55 98,05 0,93 98,98<br />
BRI A-B 17,09 88,4 11,6 100<br />
BRI A-Y 7,83 97,23 2,77 100<br />
BRI A-x 4,35 85,22 14,78 100<br />
BRI x-Vdd 0,77 69,5 30,5 100<br />
LOP A-Y 9,65 99,24 0 99,24<br />
LOP Y-Vdd 0,92 100 0 100<br />
LOP x-Vdd 3,94 97,23 0 97,23<br />
Итого 100 95,57 3,69 99,26<br />
ности теста будут проведены в дальнейшем<br />
на базе электронной системы ECAL.<br />
Моделирование и симуляция<br />
на системном уровне<br />
Еще одним аспектом сотрудничества<br />
с группой INESC при тестировании систем<br />
CMS была необходимость оценки полноты<br />
технической спецификации по сравнению<br />
с системными требованиями [1]. Для достижения<br />
этой цели применялись модели,<br />
которые не только позволили бы выполнять<br />
оценки соответствующего уровня,<br />
но и представляли бы собой протоколы<br />
связи между различными возможными архитектурами<br />
[14]. С этой целью были разработаны<br />
так называемые триггерные генераторы<br />
(ECAL Front-End and Trigger Primitives<br />
Generators) [15]. Кроме собственно функциональности,<br />
подобные модели связаны с временными<br />
параметрами и требованиями связи<br />
между разнообразными модулями, образующими<br />
рассматриваемую систему. Для разработки<br />
моделей применялись такие системы,<br />
как CASE, Rational Rose [16] и Objectime [17].<br />
Система Objectime позволяет выполнять<br />
анимацию и симуляцию различных аспектов<br />
поведения тестируемой системы. Кроме того,<br />
эта система автоматически генерирует последовательности<br />
диаграмм, соответствующих<br />
воображаемым сценариям выполнения симуляции.<br />
Эти диаграммы являются, по сути,<br />
графическим представлением протоколов<br />
диалога между различными компонентами<br />
(объектами) моделирования системы. При<br />
этом существует возможность назначать<br />
время (реальное либо виртуальное) для такого<br />
диалога и, таким образом, тестировать,<br />
в какой мере данный протокол соответствует<br />
временным требованиям.<br />
Применение подобных моделей в значительной<br />
степени сокращает время разработки<br />
и усилия самих разработчиков систем тестирования,<br />
поскольку отпадает необходимость<br />
в использовании физических прототипов<br />
при тестировании. Модели не зависят от их<br />
реализации, поэтому структурные компоненты<br />
или модули могут быть в конечном<br />
счете реализованы при помощи аппаратного<br />
или программного обеспечения, или и того<br />
и другого вместе.<br />
Заключение<br />
В статье предложено описание JTAGконтроллера,<br />
полностью совместимого со стандартом<br />
IEEE 1149.1 и предназначенного для<br />
обеспечения тестирования в иерархических<br />
тестовых структурах. Продемонстрированы<br />
принципы обеспечения качества и надежности<br />
тестируемого продукта, а также мониторинг<br />
этих параметров на протяжении<br />
жизненного цикла изделия в плане их улучшения<br />
путем комбинирования технологий<br />
JTAG и BIST на уровне компонентов. Это ис-<br />
тестирование<br />
следование было выполнено на базе версии<br />
BIST микросхемы ASIC Sync Tx/Rx, реализованной<br />
фирмой TECMIC на основе оценок<br />
константных тестов для непокрытых физических<br />
дефектов, разработанных для модуля<br />
CAEN. Сложность программно-аппаратных<br />
систем, разрабатываемых большими коллективами<br />
специалистов, физически рассредоточенными<br />
по разным местам и обладающими<br />
весьма разнообразным опытом, со всей очевидностью<br />
указывает на полезность применимости<br />
методов моделирования и симуляции<br />
на системном уровне для обеспечения полноты<br />
тестов и при стремлении к поддержанию<br />
требований технических спецификаций. n<br />
Литература<br />
1. Varela J. Calorimeter Trigger Primitives, System Requirements<br />
Document. Doc 2.0/1.0, CERN. 12.05.98.<br />
2. Structural System Test via IEEE Std. 1149.1 with<br />
Hierarchical and Multidrop Addressable JTAG<br />
Port. SCANPSC110F. Application Note. National<br />
Semiconductor, 1996.<br />
3. Peterson W. D. The VMEbus Handbook. VMEbus<br />
International Trade Association. Scottsdale, Arizona,<br />
USA, 1997.<br />
4. SCANPSC110F Scan Bridge Hierarchical and<br />
Multidrop Addressable JTAG Port. Application<br />
Note. National Semiconductor, 1996.<br />
5. VICTORY — Boundary-scan Test Software<br />
Technical Overview”. Application Note. Teradyne,<br />
Inc. Boston, 1993.<br />
6. Silva J. C., Varela J. Specification of the Calorimeter<br />
Trigger Synchronization Circuit//CMS Internal<br />
Report. V. 2.2. CMS IN 1998/2000.<br />
7. IEEE Std 1149.1 (JTAG) Testability Primer.<br />
Texas Instruments, 1997.<br />
8. Santos M. B., Teixeira J. P. Introduction of Built-In<br />
Self Test (BIST) in the Sync Tx/Rx IC. PRAXIS XXI<br />
Project CERN/P/FAE/1147/97 Deliverable. V. 1.1.<br />
June, 1998.<br />
9. Boundary Scan in XC4000 and XC5200 Series-<br />
Devices. Application Note. Xilinx, XAPP017, 1997.<br />
10. Williams T. W., Brown N. C. Defect Level as a<br />
Function of Fault Coverage//IEEE Trans. on Comp.<br />
Vol. c-30. Dec. 1981.<br />
11. QTHS (Quality, Test and Hardware/Software<br />
Codesign) Group, 1999 — http://cezanne.inesc.<br />
pt/qths<br />
12. Sousa J. J. T., Gonçalves F. M., Teixeira J. P., et al.<br />
Defect Level Evaluation in an IC Design Environment<br />
// IEEE Trans. on CAD. 1996. Vol. 15, No. 10.<br />
13. Santos M. B., Gonçalves F. M., Teixeira I. C.,<br />
Teixeira J. P. Defect-Oriented Test Quality<br />
Assessment Using Fault Sampling and Simulation.<br />
Proc. of the Int. Test Conf. (ITC). Oct. 1998.<br />
14. Selic B., Gullekson G., Ward P. T. Real-TimeObject<br />
Oriented Modeling. Wiley Professional Computing,<br />
1994.<br />
15. Santos A., Vitorino N., Moreno P., et al. ECAL<br />
Front-End and Trigger Primitive Generators High-<br />
Level Modeling. PRAXIS XXI Project CERN/P/<br />
FAE/1147/97 Deliverable. June, 1999.<br />
16. www.rational.com<br />
17. www.objectime.com<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
www.kite.ru<br />
55
56<br />
Введение<br />
есть мнение<br />
Джон О’БОйЛ (John O’BOyLE)<br />
russia-feedback@maximintegrated.com<br />
По крайней мере раз в неделю ко мне обращаются<br />
специалисты по качеству от наших<br />
клиентов с вопросом о происхождении компонентов<br />
Maxim, в работе которых обнаруживаются<br />
внезапные неполадки. И практически<br />
всегда выясняется, что неисправные<br />
устройства — вовсе не оригинальные компоненты<br />
Maxim. Как правило, это перемаркированные<br />
компоненты в корпусах, которые<br />
использует наша компания. Иногда попадаются<br />
компоненты Maxim с фальшивой маркировкой,<br />
на которой указан более высокий<br />
класс. Словом, проблемные устройства представляют<br />
собой контрафакт.<br />
Контрафакция — это международный<br />
бизнес. По самым скромным оценкам, его<br />
ежегодный рост составляет 10% даже во время<br />
кризиса, так как люди стремятся покупать<br />
более дешевые товары. При таких темпах<br />
роста в 2011 году доход от продажи контрафактной<br />
продукции составил примерно<br />
$1125 млрд. Если попробовать оценить ВВП<br />
индустрии контрафакции, то это «государство»<br />
окажется 15-м в рейтинге мировых экономик,<br />
как раз перед Южной Кореей, ВВП<br />
которой в 2011 году составил $1116 млрд.<br />
Рис. 1. Слева настоящая микросхема Maxim класса «В»,<br />
справа — контрафактная микросхема<br />
с указанием класса «А»<br />
Контрафактные микросхемы:<br />
серьезная проблема,<br />
которую можем решить только мы!<br />
Контрафакция — это международный бизнес. Подделывают практически<br />
все, что сейчас производится: запчасти для автомобилей, сумочки и часы,<br />
рецептурные препараты… Однако последствия в результате использования<br />
контрафактных микросхем в электронных системах могут быть весьма<br />
серьезны. В статье рассказывается об опасности, которую представляют<br />
контрафактные микросхемы, а также о том, как отличить такие изделия<br />
и каким образом избежать их приобретения.<br />
Контрафактная электроника — большой<br />
бизнес, но он лишь малая часть целого. Тем<br />
не менее последствия использования контрафактных<br />
микросхем в электронных системах<br />
могут быть куда значительней, чем некоторые<br />
неудобства или потеря небольшой суммы<br />
денег.<br />
На что смотреть?<br />
Мошенники работают все более тонко.<br />
Они усовершенствовали свое оборудование,<br />
которое позволяет изменить маркировку так,<br />
что она выглядит как настоящая. Они научились<br />
снимать с корпуса лазерную маркировку<br />
с помощью микромашинной обработки<br />
и собственным лазером наносить любое<br />
условное обозначение, логотип и код даты.<br />
На рис. 1 слева показан настоящий компонент<br />
Maxim класса «В», а на рис. 1 справа —<br />
контрафакт, класс которого изменен на «А».<br />
Обратите внимание на признак подделки:<br />
вертикальную белую линию в левой части<br />
микросхемы. Бросается в глаза разница между<br />
этой линией и свежей краской логотипа,<br />
кода даты и обозначения. Также можно отметить<br />
неправильное расположение логотипа<br />
и кода даты.<br />
Рис. 2. Поддельная отгрузочная этикетка фальшивого<br />
компонента Maxim<br />
Фальсификаторы умеют делать практически<br />
неотличимые от подлинных этикетки<br />
с адресом и сертификаты соответствия.<br />
На рис. 2 показана отгрузочная этикетка, которая,<br />
на первый взгляд, кажется настоящей<br />
этикеткой Maxim. Обратите внимание на опечатку<br />
в названии компании. Все остальное кажется<br />
подлинным.<br />
Серьезный удар<br />
по системам и операциям<br />
У этой ситуации есть пугающая сторона:<br />
многие оригинальные компоненты с поддельной<br />
маркировкой с указанием более высокого<br />
класса устанавливают в чувствительные<br />
электронные системы. Некоторое время<br />
низкокачественная микросхема работает как<br />
положено — но недолго. Работа в изначально<br />
неподходящих условиях с большой вероятностью<br />
приводит к выходу такой микросхемы<br />
из строя.<br />
Неисправности в работе из-за контрафактных<br />
компонентов бывают очень опасными.<br />
Отказ контрафактной ИС может<br />
привести к серьезной поломке, прерыванию<br />
или задержке выполнения задачи. Можно<br />
сравнить ситуацию с контрафактными лекарствами,<br />
применяя которые, пациент<br />
получает избыточную или недостаточную<br />
дозу препарата.<br />
Как бороться с контрафактом?<br />
Как же подделки попадают к покупателям?<br />
Мотив фальсификаторов очевиден: если поменять<br />
маркировку, то можно продать компонент<br />
по более высокой цене. А причины<br />
их процветания в нашей индустрии — несовпадение<br />
графиков производства микросхем<br />
и внеплановой потребности в них клиентов.<br />
Фальсификаторы играют на двух типичных<br />
желаниях заказчиков:<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
• Этот товар нужен нам сейчас.<br />
• Мы хотим купить его по минимальной<br />
цене.<br />
Для нашей отрасли характерно, что компоненты<br />
более высокого класса имеют длительный<br />
срок выполнения заказа. Отделы<br />
снабжения должны планировать их закупку<br />
заблаговременно, а не тогда, когда они уже<br />
требуются для производства. Когда графики<br />
производителей не укладываются в планы<br />
закупок заказчиков, отделы снабжения начинают<br />
искать альтернативные источники<br />
и нередко обращаются к так называемому<br />
серому рынку. И в поисках лучшего предложения<br />
снабженец оказывается под прицелом<br />
мошенников.<br />
Контрафакт — это беда, которая сопровождает<br />
нашу отрасль и процветает в ней.<br />
Но можно найти решение. Для этого мы, поставщики<br />
и потребители микросхем, должны<br />
изменить некоторые из наших привычек,<br />
а это будет не так просто, как написать статью.<br />
Вот что предлагается:<br />
1. Многим покупателям микросхем следует<br />
усовершенствовать планирование закупок.<br />
При приобретении компонентов<br />
с длительным сроком поставки смотрите<br />
вперед как можно дальше!<br />
Если уведомлять производителей о спросе<br />
на продукцию заблаговременно, то отрасль<br />
сможет среагировать на иногда возникающую<br />
новости привода<br />
Новая серия<br />
коллекторных<br />
приводов<br />
Компания maxon motor начинает выпуск<br />
инновационных и мощных коллекторных<br />
двигателей с полым ротором вместе с соответствующими<br />
им редукторами и датчиками.<br />
Первое впечатление о новой продуктовой<br />
линейке maxon можно получить на сайте<br />
производителя, однако технические данные<br />
пока еще не опубликованы. Известно,<br />
что серия maxon DCX должна представить<br />
наиболее мощные коллекторные двигатели<br />
на рынке. Их мощность будет приблизительно<br />
на 20% больше, чем у других двигателей<br />
того же габарита.<br />
В будущем клиенты смогут еще более детально<br />
конфигурировать свои приводы для<br />
требований конкретных задач, используя<br />
веб-ресурс производителя.<br />
www.aviton.spb.ru<br />
внезапную нехватку компонентов. После<br />
выпуска партии у производителей нередко<br />
остается некоторое количество изделий, и их<br />
можно использовать, если у клиента возникает<br />
чрезвычайная ситуация. Но такая идеальная<br />
схема спроса и предложения будет<br />
работать только тогда, когда все участники<br />
занимаются долгосрочным планированием<br />
регулярно, а не в порядке исключения.<br />
Да, могут возникать проблемы с устаревшими<br />
компонентами, но при правильном<br />
и заблаговременном планировании можно<br />
подыскать альтернативные устройства или<br />
разработать системы с новой элементной<br />
базой. А мошенники с «серого» рынка пусть<br />
выпускают вышедшие из производства компоненты,<br />
на которые они даже умудряются<br />
ставить новые коды дат.<br />
2. Следует предостерегать заказчиков от поиска<br />
лучших предложений: такая стратегия<br />
приводит их прямо в руки мошенников.<br />
Главным критерием выбора поставщика<br />
должна быть подлинность продукции<br />
со всеми гарантиями, которые она предполагает.<br />
3. И наконец, нужно принять за правило:<br />
покупать следует только у проверенных<br />
компаний.<br />
Если у таких, надежных компаний микросхема<br />
не значится в списках поставляемых<br />
компонентов, то вероятность найти подлин-<br />
Реклама<br />
есть мнение<br />
ный компонент на «сером» рынке резко снижается.<br />
По факту она стремится к нулю.<br />
И что дальше?<br />
Подведем итог. Заказчики должны знать<br />
официальных дистрибьюторов микросхем<br />
и обращаться только к ним. Закупку микросхем<br />
следует планировать заблаговременно.<br />
Производители и продавцы микросхем<br />
должны информировать отделы снабжения<br />
и мотивировать их покупать только оригинальные<br />
компоненты. Заказчикам и поставщикам<br />
микросхем нужно вместе работать<br />
над тем, чтобы наилучшее соотношение<br />
цены компонентов и сроков поставки перестало<br />
быть основным критерием в бизнесе.<br />
Да, для этого требуется фундаментальная<br />
смена подхода к ведению бизнеса,<br />
а это не так-то просто осуществить… Если<br />
не планировать заранее и не покупать только<br />
у официальных поставщиков.<br />
Для получения дополнительной информации<br />
обращайтесь к официальным<br />
дистрибьюторам Maxim Integrated в РФ —<br />
www.maximintegrated.com/distributors. n<br />
Литература<br />
1. World Economic Outlook Database. МВФ. По данным<br />
на 17.04.2012.<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
57
58<br />
новости разъемы<br />
Новый силовой разъем RockStar HighPower 250 A<br />
Компания Weidmüller расширила свое семейство высокомощных разъемов<br />
новым силовым разъемом RockStar HighPower 250 A, оснащенным технологией<br />
обжимного соединения. Новые устройства базируются на модульной<br />
схеме, как и хорошо известные RockStar HighPower 550 A.<br />
Возможность использования от одного до четырех полюсов позволяет<br />
пользователю получить нужный разъем в зависимости от поставленных задач.<br />
Конструкция силовых контактов на 250 А оптимизирована для эффективного<br />
использования пространства устройства и позволяет легко собрать различные<br />
варианты вибростойких разъемов: один разъем с тремя или четырьмя силовыми<br />
контактами и заземлением — с двумя силовыми контактами или, в качестве<br />
наиболее компактной версии, с одним силовым контактом. Ответная<br />
часть разъема имеет два варианта исполнения, с зависимым (на корпусе)<br />
и независимым (на кронштейне) креплением, выполнена из алюминия и имеет<br />
большую площадь заземляющего контакта.<br />
Чрезвычайно стойкие к внешним воздействиям и коррозии корпуса легко<br />
собираются и отвечают стандартам защиты IP68 и IP69k. Сборка недавно разработанного<br />
несущего корпуса упрощается применением монтажной рамки<br />
в комплекте с предустановленными крепежными винтами.<br />
Специалисты Weidmüller доработали обжимные соединения RockStar<br />
HighPower 250 A таким образом, что они могут проходить через кабельный<br />
ввод М25. Многожильные, гибкие и скрученные медные проводники<br />
от 25 до 95 мм 2 можно легко обработать с помощью стандартных обжимных<br />
инструментов. Никакие специальные инструменты не требуются. ЭМСхарактеристики<br />
обеспечиваются благодаря металлическому корпусу, адаптированному<br />
под различные диаметры кабеля. Широкий температурный<br />
диапазон от –50 до +120 °C и устойчивость корпуса к внешним механическим<br />
воздействиям до 7 Дж позволяет использовать новый силовой разъем даже<br />
в экстремальных условиях окружающей среды.<br />
В линейку инновационных силовых разъемов RockStar HighPower, разработанную<br />
для внедрения в систему подключения и передачи электроэнергии,<br />
в настоящее время входят модульные системы RockStar HighPower 550 A<br />
и RockStar HighPower 250 A. Серия RockStar HighPower разрабатывалась<br />
для силовых применений, в частности для транспорта, в соответствии со стандартом<br />
DIN EN 61373 CAT 2 — для использования в системах управления<br />
двигателями, тормозными системами и связи «вагон-вагон». Кроме того,<br />
разъем упрощает процесс замены вагона в целом.<br />
Пластиковые материалы, используемые для внутренних компонентов, отвечают<br />
международным железнодорожным стандартам, таким как DIN EN<br />
5510 Part 2, NFF 16-101, NFF 16-102, ASTM E 162, ASTM E 662 и Bombardier<br />
SMP 800C.<br />
www.weidmueller.ru<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013<br />
Реклама
Соединитель MCx<br />
Кива ДжУРИНСКИй,<br />
к. т. н.<br />
kbd.istok@mail.ru<br />
Соединители MCX (OSX) с волновым<br />
сопротивлением 50 Ом (MCX50) и 75 Ом<br />
(MCX75), розетка и вилка, разработаны<br />
в 1980-х годах для миниатюризации<br />
устройств и снижения стоимости их монтажа.<br />
Их применение оптимизирует конструкцию<br />
печатной платы и позволяет использовать<br />
технологию печатного монтажа.<br />
Они являются более миниатюрными (приблизительно<br />
на 30%) аналогами соединителей<br />
SMB, хотя в обоих соединителях использована<br />
одинаковая коаксиальная линия<br />
с фторопластовым заполнением. В соединителях<br />
с волновым сопротивлением 50 Ом ее<br />
размеры 3/0,94 мм.<br />
Рис. 1. Интерфейсы соединителей: а) MCX50; б) MCX75<br />
установочные и коммутационные элементы<br />
компоненты<br />
Все радиочастотные<br />
соединители мира.<br />
Часть 4.<br />
Микроминиатюрные соединители<br />
К важнейшим направлениям развития микроэлектроники относятся микроминиатюризация,<br />
повышение надежности и использование высокотехнологичных<br />
процессов производства. Это обусловлено возросшим применением<br />
изделий микроэлектроники в быстро развивающихся системах<br />
мобильной связи и телекоммуникаций, компьютерах и периферийных<br />
устройствах, военных и аэрокосмических системах, измерительной и медицинской<br />
технике. Для выпуска микроэлектронных устройств широко<br />
применяют высокопроизводительную технику поверхностного монтажа,<br />
обеспечивающую групповые методы автоматизированной сборки и пайки.<br />
Для этого необходимы соответствующие радиокомпоненты, в том числе<br />
микроминиатюрные соединители для ввода и вывода энергии и соединений<br />
между платами.<br />
В первых трех частях публикации [1–3] были рассмотрены радиочастотные<br />
соединители разных групп, большие, средние, миниатюрные и субминиатюрные<br />
соединители. четвертая часть статьи посвящена микроминиатюрным<br />
соединителям.<br />
Интерфейсы соединителей MCX50<br />
и MCX75 показаны на рис. 1, а их внешний<br />
вид — на рис. 2.<br />
Соединение вилки и розетки осуществляется<br />
защелкиванием выступа на корпусе<br />
вилки в проточке в корпусе розетки. Монтаж<br />
радиочастотного кабеля в эти соединители<br />
производится методами обжима или обжима<br />
и пайки. Применяемые кабели — RG-196,<br />
RG-188, RG-405 и 0,047″.<br />
Соединители MCX выполнены в соответствии<br />
с требованиями европейского стандарта<br />
CECC 22220 и имеют основные параметры,<br />
которые представлены в таблице 1.<br />
Разработаны следующие типы соединителей<br />
MCX: прямые, угловые и панельные<br />
кабельные розетка и вилка для гибкого<br />
а б<br />
Рис. 2. Соединители MCX<br />
или полужесткого кабеля, выводы энергии<br />
для установки на печатные платы прессовой<br />
посадкой или пайкой, реверсивные<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
59
60<br />
соединители, адаптеры. Соединители MCX<br />
компактны, имеют простую конструкцию<br />
и высокую надежность и потому широко<br />
применяются в устройствах беспроводной<br />
мобильной связи, системах GPS, PS/LAN,<br />
радио- и телекоммуникационных системах<br />
военного и гражданского назначения, автомобильной<br />
электронике и системах телевидения.<br />
Соединитель MMCx<br />
Соединители MMCX — miniature MCX (их<br />
еще называют Micro Mate), разработанные<br />
компанией Amphenol в 1990-х годах, являются<br />
миниатюрным аналогом соединителей<br />
MCX (компактнее на 30%). В них применена<br />
коаксиальная линия размерами 2,3/0,7 мм,<br />
заполненная фторопластом (рис. 3).<br />
Особенности этих соединителей — snap-on<br />
механизм соединения с помощью защелкиваемого<br />
кольца на корпусе вилки и неразрезного<br />
(без ламелей) наружного проводника —<br />
розетки. Благодаря этому обеспечиваются<br />
быстрое соединение и рассоединение и высокое<br />
экранное затухание. В соединенной паре<br />
кабельный соединитель может поворачиваться<br />
на 360°.<br />
Соединители MMCX соответствуют требованиям<br />
стандарта СЕСС 22000. Их параметры<br />
представлены в таблице 1.<br />
Разработаны следующие модификации<br />
соединителей MMCX: прямые, угловые<br />
и панельные кабельные розетка и вилка для<br />
компоненты установочные и коммутационные элементы<br />
Таблица 1. Основные параметры соединителей MCX, MMCX, SSMB<br />
Параметры соединителей MCx50 MCx75 MMCx SSMB<br />
Волновое сопротивление 50 75 50 50<br />
Рабочий диапазон частот, ГГц 0–6 0–2,5 0–6 0–12,4 (0–6 оптимально)<br />
Рабочее напряжение, В (зависит от типа кабеля) ≥70 ≥170 ≤170 250<br />
Напряжение пробоя, В (зависит от типа кабеля) ≥750 ≥500 500 ≥500<br />
Экранное затухание, дБ (на частоте, ГГц):<br />
– для гибкого кабеля<br />
– для полужесткого кабеля<br />
–60<br />
–70<br />
– –60 –80 (3)<br />
–70 (6)<br />
Максимальный КСВН (кабельные соединители) – – 1,4 1,2<br />
Сопротивление изоляции, МОм,<br />
Сопротивление контакта, мОм:<br />
1000<br />
– центрального<br />
– наружного<br />
5<br />
1<br />
5<br />
2,5<br />
5<br />
2,5<br />
5<br />
1<br />
Усилие соединения, Н ≤15 ≤25 ≤25 ≤25<br />
Усилие рассоединения, Н 8–20 8–20 6–15 ≤20<br />
Допустимое количество соединений и рассоединений 500 500 500 500<br />
Диапазон рабочих температур, °C –55…+155 –65…+165 –55…+155 –55…+155<br />
Рис. 3. Интерфейс соединителей MMCX (вилка и розетка)<br />
Рис. 4. Соединители MMCX<br />
монтажа гибкого или полужесткого кабелей<br />
(обжатием или пайкой), концевые розетки,<br />
выводы энергии для установки в отверстия<br />
печатных плат и, что особенно важно, для<br />
поверхностного монтажа, разнообразные<br />
адаптеры (рис. 4).<br />
Компания Huber+Suhner предложила оригинальную<br />
конструкцию прямых и угловых<br />
кубических соединителей MMСX с размерами<br />
555 мм (показаны на рис. 4) для автоматизированного<br />
поверхностного монтажа<br />
на платы.<br />
Создание соединителей MMСX было необходимо<br />
для дальнейшей миниатюризации<br />
систем телекоммуникации и беспроводной<br />
связи, приемников GPS.<br />
Соединитель SSMB<br />
Этот соединитель имеет несколько других<br />
обозначений: MMBX (Micro Miniature Board<br />
Connectors), MMSX (Micro Miniature Spherical<br />
Connector), SSMB-Nano. Он является миниатюрной<br />
версией стандартного соединителя<br />
SMB. Интерфейс SSMB показан на рис. 5.<br />
Соединитель SSMB оснащен фиксирующим<br />
механизмом с защелкой (snap-on).<br />
Наружный контакт соединителей вилка имеет<br />
продольные разрезы, что делает его пружинным<br />
и снижает усилие при соединении<br />
и рассоединении.<br />
Корпусы соединителей изготовлены из латуни,<br />
центральный и наружный контакты —<br />
из упрочненного медно-бериллиевого сплава,<br />
а изолятор — из фторопласта. Покрытие<br />
контактов — золото, корпуса — золото,<br />
SUCOPRO или SUCOPLATE.<br />
Разработаны прямые и угловые кабельные<br />
вилка и розетка, соединители для поверхностного<br />
и штырькового монтажа на платы,<br />
концевые розетки для соединения печатных<br />
плат с промежуточными адаптерами и адаптерами<br />
для выхода на базовые соединители<br />
типа SMA (рис. 6).<br />
Рис. 6. Соединители SSMB<br />
Кабельные соединители предназначены<br />
для работы со стандартными гибкими кабелями<br />
(RG-178, RG-188, RG-174, RG-196 или<br />
их аналоги) и полужестким кабелем 0,085″.<br />
Соединители SSMB поставляются отдельно<br />
и в составе кабельных сборок.<br />
SSMB соответствуют требованиям стандартов<br />
IEC 169-19 и СЕСС 22000 (MIL-C-39012).<br />
Основные параметры этих соединителей<br />
приведены в таблице 1. Их допустимая мощность<br />
— 125 Вт при температуре до +40 °C.<br />
Основное достоинство SSMB — миниатюрность<br />
и возможность быстрого соединения<br />
и рассоединения плат в телекоммуникационных<br />
системах второго и третьего поколений<br />
(GSM, PCS, WCDMA, UMTS и др.). Для<br />
соединения плат используют два одинаковых<br />
соединителя типа розетка и промежуточный<br />
адаптер. Адаптер обеспечивает фиксацию<br />
соединения (snap-on) с одной из розеток<br />
и скользящее соединение (slide-on) со второй<br />
розеткой. При расстыковке адаптер оста-<br />
Рис. 5. Интерфейс соединителей SSMB<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
ется на той из соединяемых печатных плат,<br />
на которой установлена розетка slide-on.<br />
Надежное соединение плат возможно при минимальном<br />
расстоянии между ними 6,7 мм<br />
даже при аксиальной (до 0,6 мм) и радиальной<br />
(до 0,4 мм) несоосности. Для сравнения:<br />
соединители MCX обеспечивают надежное<br />
соединение при расстоянии между платами<br />
7,65 мм, а SMB — при расстоянии 11,7 мм.<br />
Благодаря миниатюрности, возможности<br />
быстрого соединения и рассоединения при<br />
большой несоосности и высокому уровню параметров,<br />
соединители SSMB нашли применение<br />
в базовых станциях мобильной и сотовой<br />
связи и телекоммуникационных системах.<br />
Эти соединители выпускают Tyco, Amphenol,<br />
IMS, Radiall и многие другие компании.<br />
Соединители ММТ<br />
Соединители ММТ разработаны для обеспечения<br />
совместимости с технологией поверхностного<br />
монтажа на печатные платы.<br />
Вывод энергии (вилка) устанавливается<br />
на плату и соединяется с коаксиальным разъемом<br />
(розетка) защелкиванием. При этом<br />
возможен поворот разъема с заделанным<br />
в него кабелем на 360° (рис. 7).<br />
Рис. 7. Соединители ММТ<br />
Разработаны соединители ММТ с волновым<br />
сопротивлением 50 Ом — ММТ (50)<br />
и 75 Ом — ММТ (75). Параметры соединителей<br />
представлены в таблице 2.<br />
Соединители ММТ имеют самую высокую<br />
предельную рабочую частоту (8 ГГц против<br />
6 ГГц у аналогичных соединителей) и обеспечивают<br />
надежное соединение при большом<br />
количестве циклов соединений и рассоединений.<br />
Разработан полный набор модификаций<br />
соединителей ММТ для разных случаев<br />
применения. В этой серии — выводы энергии<br />
с размерами 2,75,9 мм, большое число<br />
кабельных сборок с прямыми и угловыми<br />
соединителями под разные типы кабелей,<br />
а также адаптеры для совместимости практически<br />
со всеми соединителями (SMA, N, BNC<br />
и др.). Выводы энергии ММТ поставляются<br />
в специальной антистатической таре из полиэстера<br />
партиями 100, 500 и 3000 штук.<br />
ММТ нашли применение в конструкциях<br />
носимых радиостанций, мобильных и микротелефонов,<br />
в системах телекоммуникации,<br />
беспроводной и спутниковой связи.<br />
установочные и коммутационные элементы<br />
Таблица 2. Параметры соединителей ММТ и MMS<br />
Соединители MMS<br />
Стремление к дальнейшей миниатюризации<br />
устройств привело к появлению соединителей<br />
MMS. Приоритет в создании соединителей<br />
этой серии принадлежит компании<br />
Radiall. Разработаны соединители ММS с волновым<br />
сопротивлением 50 Ом — ММS (50)<br />
и 75 Ом — ММS (75).<br />
Соединители MMS по конструкции аналогичны<br />
соединителям ММТ и являются их<br />
более миниатюрными аналогами. Высота<br />
в сборе пары вывод энергии и кабельный<br />
соединитель — 5,2 мм (против 6,8 мм для<br />
соединителей ММТ). Однако, выигрывая<br />
в миниатюрности, они проигрывают соединителям<br />
ММТ по следующим параметрам:<br />
предельная частота, допустимое количество<br />
соединений и рассоединений, рабочее напряжение<br />
и диапазон рабочих температур.<br />
ММS-розетка устанавливается на плате<br />
на трех гибких выводах, обеспечивающих<br />
устойчивость соединителя во время автоматизированной<br />
операции посадки на контактные<br />
площадки (1,21,5 мм) платы. MMSвилка<br />
сочленяется с розеткой защелкиванием<br />
и после соединения может поворачиваться<br />
вместе с вмонтированным в нее кабелем<br />
на 360° (рис. 8).<br />
Соединители MMS соответствуют требованиям<br />
стандарта IEC 1169. Их параметры<br />
представлены в таблице 2.<br />
Разработаны и выпускаются следующие<br />
соединители MMS: выводы энергии для поверхностного<br />
монтажа, прямые и угловые<br />
компоненты<br />
Параметры соединителей MMT(50) MMT(75) MMS(50) MMS(75)<br />
Волновое сопротивление, Ом 50 75 50 75<br />
Рабочий диапазон частот, ГГц 0–8 0–1 0–6 0–1<br />
Рабочее напряжение, В (зависит от типа кабеля) 170 170 50 50<br />
Напряжение пробоя, В (зависит от типа кабеля) 500 500 250 250<br />
Допустимая мощность, Вт, на частоте 1 ГГц – – 40 40<br />
Максимальный КСВН в рабочем диапазоне частот 1,1 (в диапазоне частот 0–2,5 ГГц) 1,05 1,35 1,05<br />
Высокочастотные потери, дБ, на частоте 2 ГГц 0,56 0,2 0,2 0,2<br />
Экранное затухание, дБ, на частоте 1 ГГц –30 –30 –45 –45<br />
Сопротивление изоляции, МОм, более<br />
Сопротивление контактов, мОм:<br />
1000 1000 1000 1000<br />
– центрального<br />
5<br />
5<br />
5<br />
5<br />
– наружного<br />
2,5<br />
2,5<br />
1,5<br />
1,5<br />
Усилие соединения, Н 7–18 7–18 ≤7 ≤7<br />
Усилие рассоединения, Н 7–18 7–18 ≤5,5 ≤5,5<br />
Допустимое количество соединений и рассоединений 500 500 50 50<br />
Диапазон рабочих температур, °C –55…+100 –55…+100 –55…+155 –40…+90<br />
Рис. 8. Соединители MMS<br />
кабельные сборки, соединители для установки<br />
на плату запрессовкой и большое число<br />
кабельных сборок с адаптерами для совместимости<br />
с соединителями практически всех<br />
серий. Соединители MMS работают с радиочастотными<br />
кабелями диаметром 1 и 2 мм.<br />
Поставляются соединители в полиэстеровой<br />
упаковке партиями 100, 500 и 3000 штук.<br />
Область применения соединителей MMS<br />
та же, что и для рассмотренных ранее соединителей<br />
SMB, МСХ и ММТ.<br />
Соединители SMP (GPO)<br />
Создание компанией Gilbert Corning<br />
(США) в 1980-х годах соединителей GPO<br />
(Gilbert Push-On) ознаменовало начало освоения<br />
миллиметрового диапазона длин волн<br />
микроминиатюрными радиочастотными соединителями,<br />
работающими на принципе защелкивания<br />
(push-on, snap-on). В настоящее<br />
время аналогичные соединители выпускают<br />
десятки компаний США, Европы и Южной<br />
Азии под обозначением SMP (Subminiature<br />
Push-On). Разработаны соединители всех<br />
возможных конструктивных исполнений.<br />
Прямые и угловые кабельные и приборнокабельные<br />
соединители, в основном розетка,<br />
предназначены для работы с миниатюрными<br />
полужесткими кабелями 0,086″ (RG-405)<br />
и 0,047″, а также с гибкими и формуемыми<br />
вручную кабелями.<br />
Приборные вилки, монтируемые в стенки<br />
корпусов или на панели изделий, имеют<br />
несколько вариантов исполнения. В вилках<br />
применяют полное защелкивание в них<br />
кабельных соединителей типа розетка,<br />
ограниченное защелкивание и скользящее<br />
соединение. Наиболее широко применяют<br />
герметичные вилки в металлических корпусах<br />
с внутренним металлостеклянным спаем<br />
центрального проводника. В негерметичных<br />
вилках в качестве диэлектрика используется<br />
фторопласт, а в вилках для монтажа на платы<br />
— LCP (liquid cristal polymer).<br />
Кроме того, разработаны составные вилки.<br />
Такая вилка состоит из отдельного корпуса<br />
и металлостеклянного СВЧ-ввода, предварительно<br />
устанавливаемого в стенку изделия.<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
61
62<br />
б<br />
Рис. 9. Соединители SMP: а) внешний вид;<br />
б) соединение плат с использованием SMP<br />
Корпус изготавливают из нержавеющей стали.<br />
Чаще всего он фланцевой конструкции<br />
с двумя крепежными отверстиями на фланце,<br />
но существуют также варианты резьбовые<br />
и для запрессовки в корпус изделия.<br />
Разработаны и выпускаются герметичные<br />
и негерметичные вилки: приборные,<br />
для установки в отверстия печатных плат<br />
и для поверхностного монтажа на платы.<br />
Разновидностью двух последних являются<br />
Таблица 3. Основные параметры соединителей<br />
SMP (GPO) по стандарту DSCC9407/08<br />
Параметры соединителей Значение параметра<br />
Интерфейс Согласно MIL-STD-348A<br />
Номинальное волновое<br />
сопротивление, Ом<br />
Рабочий диапазон частот (ГГц)<br />
соединителей:<br />
50<br />
– адаптер bullet,<br />
прямые кабельные<br />
0–40<br />
– угловые кабельные<br />
0–26,5<br />
Экранное затухание, дБ<br />
–80 (до 3)<br />
(на частоте, ГГц)<br />
–65 (3–26,5)<br />
Рабочее напряжение, В 335<br />
Напряжение пробоя, В 500<br />
Рабочий диапазон температур, °С –65… +165<br />
Максимальное усилие<br />
сочленения вилки и розетки, Н<br />
68 (полное защелкивание)<br />
45 (ограниченное защелкивание)<br />
9 (скользящее соединение)<br />
Минимальное усилие<br />
расчленения вилки и розетки, Н<br />
Допустимое радиальное<br />
22 (полное защелкивание)<br />
9 (ограниченное защелкивание)<br />
2,2 (скользящее соединение)<br />
и аксиальное смещение<br />
между осями вилки и розетки<br />
при сочленении, мм<br />
0,25<br />
Допустимое количество циклов<br />
сочленение-расчленение<br />
100 (полное защелкивание)<br />
500 (ограниченное защелкивание)<br />
1000 (скользящее соединение)<br />
Максимальный КСВН кабельных<br />
1,2 (0–18)<br />
соединителей<br />
1,35 (18–26,5)<br />
(в диапазоне частот, ГГц)<br />
1,7 (26,5–40)<br />
Высокочастотные потери, дБ<br />
(на частотах, ГГц)<br />
0,12√ƒ<br />
Минимальное сопротивление<br />
изоляции, МОм<br />
Максимальное<br />
сопротивление, мОм:<br />
5000<br />
– центрального проводника<br />
– наружного проводника<br />
6<br />
2<br />
компоненты установочные и коммутационные элементы<br />
а<br />
а б<br />
Рис. 10. Схема переключения антенн при использовании MC-Card: а) внутренняя антенна; б) внешняя антенна<br />
концевые вилки, устанавливаемые на концах<br />
печатной платы. Адаптеры розетка-розетка,<br />
названные bullet, обеспечивают соединение<br />
«вслепую» (blind mate) и гибкую связь между<br />
вилками, установленными на платах.<br />
Основным видом покрытия металлических<br />
деталей соединителей SMP является золото,<br />
гальванически нанесенное по подслою<br />
никеля. Для совмещения с соединителями<br />
других типов (SMA, 3,5-мм, 2,9-мм, 2,4-мм)<br />
разработаны межсерийные адаптеры.<br />
Основные параметры соединителей SMP<br />
представлены в таблице 3.<br />
Соединители SMP привлекают внимание<br />
не только своей миниатюрностью (масса<br />
адаптера bullet всего 0,17 г, а кабельного<br />
соединителя — менее 0,75 г) и отсутствием<br />
резьбового соединения пары вилка и розетка.<br />
Не менее важно и то, что они обеспечивают<br />
быстрое соединение печатных плат и СВЧмодулей<br />
даже при аксиальной и радиальной<br />
несоосности до 0,25 мм и минимальном<br />
межцентровом расстоянии между соединителями<br />
4,3 мм. Появилась возможность «вслепую»<br />
соединять платы с помощью адаптеров<br />
bullet, уменьшив расстояние между платами<br />
до размеров адаптера, без применения кабелей<br />
и или же с минимальным их количеством<br />
(рис. 9).<br />
Соединители MC-Card<br />
Микроминиатюрные соединители серии<br />
MC-Card с волновым сопротивлением 50 Ом<br />
и предельной рабочей частотой 8 ГГц были<br />
созданы компанией Radiall в 1990-х годах<br />
в качестве альтернативы MMCX для большого<br />
числа приложений: Wi-Fi антенн, систем<br />
беспроводной связи и телекоммуникаций.<br />
Значительный вклад в совершенствование<br />
этих соединителей внесла и компания Lucent<br />
Technology. Соединители MC-Card и MMCX<br />
аналогичны по способу соединения (защелкивание)<br />
и рабочему диапазону частот, однако<br />
MC-Card допускают в 10 раз большее<br />
количество соединений и рассоединений<br />
(5000 против 500).<br />
MC-Card являются переключающими соединителями,<br />
так как используются для подключения<br />
внешних антенн к устройствам<br />
передачи данных, в том числе к модемам<br />
GlobeSurfer. Схема переключения с внутренней<br />
на внешнюю антенну показана на рис. 10.<br />
Когда центральный проводник не соединен<br />
с розеткой, сигнал источника (source)<br />
проходит во внутреннюю антенну (рис. 10а).<br />
Таблица 4. Параметры соединителей MC-Сard<br />
Параметры соединителей<br />
При соединении сигнал поступает во внешнюю<br />
антенну (рис. 10б). Параметры соединителей<br />
MC-Card представлены в таблице 4.<br />
Разработаны кабельные соединители, выводы<br />
энергии для установки на платы, концевые<br />
выводы и адаптеры (рис. 11).<br />
Высота соединения концевого вывода и кабельного<br />
соединителя относительно платы<br />
составляет 3,6 мм. Кабельные соединители<br />
MC-Card предназначены для работы с кабелями<br />
RG-316, RG-178, 2/50, 2/56 и их аналогами.<br />
Благодаря сочетанию малых размеров и веса<br />
с высоким частотным диапазоном и большим<br />
сроком службы соединители MC-Card находят<br />
широкое применение в устройствах GPS,<br />
WLAN и Wi-Fi приложениях.<br />
Соединители UMP и IMP<br />
Значение<br />
параметра<br />
Волновое сопротивление, Ом 50<br />
Рабочий диапазон частот, ГГц 0–8<br />
Рабочее напряжение, В ≥170<br />
Напряжение пробоя, В 500<br />
Максимальный КСВН в рабочем диапазоне<br />
частот (зависит от марки кабеля)<br />
Пионером в создании ультраминиатюрных<br />
соединителей серии MMP (micro miniature<br />
pressure contact) с волновым сопротивлением<br />
50 Ом и предельной частотой 6 ГГц является<br />
компания Radiall. Полный набор соединителей<br />
ММР включает в себя серию межплат-<br />
1,3<br />
Сопротивление изоляции, МОм (не менее) 5000<br />
Высокочастотные потери (дБ) соединителей:<br />
– прямой<br />
– угловой<br />
Экранное затухание, дБ<br />
(в диапазоне частот 0–8 ГГц)<br />
Сопротивление контактов, мОм:<br />
– центрального<br />
– наружного<br />
0,15<br />
0,25<br />
–65<br />
1,5<br />
0,2<br />
Усилие соединения, Н 6,2<br />
Усилие рассоединения, Н 8,8<br />
Количество соединений и рассоединений 5000<br />
Диапазон рабочих температур, °С –25…+125<br />
Рис. 11. Соединители MC-Card<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Таблица 5. Параметры соединителей IMP и UMP<br />
Параметры соединителей IMP UMP<br />
Волновое сопротивление, Ом 50<br />
Рабочий диапазон частот, ГГц 0–6<br />
КСВН на частотах ƒ, ГГц 1,1+0,03ƒ 1,05+0,03ƒ<br />
Высокочастотные потери, дБ<br />
(на частоте ƒ)<br />
0,2√ƒ<br />
Экранное затухание, дБ<br />
(на частоте, ГГц)<br />
– –40 (2)<br />
Рабочее напряжение, В 100 100<br />
Напряжение пробоя, В 350 350<br />
Допустимая мощность, Вт<br />
(на частоте, ГГц)<br />
– 50 (1,8)<br />
Сопротивление изоляции, МОм 3000 1000<br />
Усилие соединения<br />
и рассоединения, Н<br />
– 5<br />
Допустимое количество<br />
соединений и рассоединений<br />
20<br />
100 (блокировка)<br />
500 (защелкивание)<br />
1500 (скользящее<br />
соединение)<br />
установочные и коммутационные элементы<br />
компоненты<br />
а в<br />
б<br />
Рис. 13. Установка на плату различных соединителей: а) IMP; б) MCX; в) MMS<br />
ных соединителей IMP (interconnected micro<br />
miniature pressure contact) и серию UMP (ultra<br />
miniature pressure contact) — соединителей<br />
для вывода сигналов с платы на радиочастотный<br />
кабель.<br />
На рис. 12 показан внешний вид этих<br />
соединителей, а в таблице 5 приведены их<br />
основные параметры.<br />
Соединитель IMP предназначен для замены<br />
двух коаксиальных соединителей (SMT —<br />
розетка и вилка) или даже трех соединителей<br />
(двух выводов для поверхностного монтажа<br />
и соединяющего их адаптера) (рис. 13).<br />
В основе его конструкции лежит оригинальный<br />
прессовый контакт. Для установки<br />
соединителя IMP на печатную плату требуется<br />
всего 22,2 мм2 Radiall продолжает совершенствовать эти<br />
соединители для достижения ими более высокой<br />
предельной рабочей частоты.<br />
Соединители второй серии — UMP —<br />
оптимальны для изделий микроэлектроники<br />
с высокой плотностью упаковки. Вывод энергии<br />
UMP занимает при установке на плату<br />
всего 15,8 мм<br />
площади (5,73,9 мм),<br />
при этом расстояние между платами всего<br />
2,5–3 мм, а по специальному заказу даже<br />
2 мм. По желанию заказчика Radiall может<br />
изготовить соединители для любого практически<br />
используемого расстояния между платами,<br />
например 8,2 и 12,6 мм. Соединитель<br />
с тремя гибкими выводами припаивают<br />
пастой Sn63-Pb35-Ag2 (температура пайки<br />
+210…230 °C) к контактным площадкам<br />
(21,5 мм) платы.<br />
В новой IMP-версии возможно соединение<br />
плат при расстоянии между ними 3 мм и осевой<br />
несоосности до 0,4 мм. Соединители IMP<br />
имеют простую и надежную конструкцию, их<br />
вес не превышает 0,02 г. Их поставляют упакованными<br />
в полиэстеровую пленку партиями<br />
от 100 до 2500 штук. Они обеспечивают надежное<br />
соединение при низкой стоимости.<br />
Соединители IMP применяют в различных<br />
системах беспроводной связи, включая мобильные<br />
телефоны с частотой 900 и 1900 МГц.<br />
2 Диапазон рабочих температур, °С –40…+90<br />
кабелем SHF. Вместо фторопласта для изоляции<br />
в нем применен экологически чистый,<br />
свободный от галогенов и серы, нетоксичный<br />
и негорючий диэлектрический материал.<br />
Соединители U.FL Hirose<br />
а б<br />
площади (4,43,6 мм), вес со- Созданная в 1937 году японская компания<br />
единителя — 0,03 г. Такие размеры являются Hirose Electric Group разработала и внедрила<br />
Рис. 12. Соединители: а) IMP; б) UMP<br />
рекордными для соединителей. Применение<br />
UMP экономически выгодно, так как один<br />
много новых соединителей для различных<br />
приложений. В их число входит большая<br />
такой соединитель заменяет два коаксиаль- серия ультраминиатюрных коаксиальных<br />
ных соединителя: вывод для поверхностного разъемов U.FL, H.FL, I-PEX и W.FL.<br />
монтажа и коаксиальный разъем вилка.<br />
Соединители U.FL, розетка и вилка с вол-<br />
Соединение вилки и розетки может осуновым сопротивлением 50 Ом и предельной<br />
ществляться одним из трех способов:<br />
рабочей частотой 6 ГГц, показаны на рис. 14.<br />
• Скользящим контактом: применяется, как<br />
правило, в измерительных устройствах.<br />
• Защелкиванием: не требует специального<br />
инструмента для рассоединения и прост<br />
в обслуживании.<br />
• Блокировкой (lock-on): используется для<br />
соединителей, работающих в условиях<br />
жестких вибраций (для рассоединения<br />
требуется специальный инструмент).<br />
Корпусы выводов UMP изготовлены<br />
из бериллиевой бронзы, соединителей вилка<br />
— из латуни и покрыты золотом. Radiall<br />
Рис. 14. Соединители U.FL<br />
выпускает UMP выводы энергии — три модификации;<br />
соединители вилка — четыре Соединитель вилка предназначен для<br />
модификации для разных видов соединения; автоматизированного поверхностного<br />
кабельные сборки для различных типов ка- монтажа на печатную плату, соединитель<br />
белей и способов соединения с выходным розетка — кабельный (гибкий кабель диа-<br />
соединителем SMA и без него — 15 модифиметром 0,81 и 1,24 мм). Параметры соедикаций.<br />
Их поставляют в пластмассовой упанителей компании Hirose представлены<br />
ковке партиями от 100 до 4000 штук.<br />
в таблице 6.<br />
Наряду с соединителями Radiall разрабо- Высота сборки соединителей Hirose —<br />
тала и выпускает серию сборок этих соеди- вилки U.FL-R-SMT-1 (вывод, устанавлинителей<br />
с оригинальным радиочастотным ваемый на плату) и кабельной розетки<br />
Рис. 15. Сборка соединителей Hirose<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
63
64<br />
Таблица 6. Параметры соединителей компании Hirose<br />
Параметры соединителей<br />
U.FL-LP(V)-040 — не превышает 2 мм,<br />
а площадь платы, занимаемая под установку<br />
соединителей, — менее 7,7 мм 2 (рис. 15).<br />
Соединители U.FL используются в ноутбуках,<br />
мобильных телефонах и встраиваемых<br />
системах для подключения Wi-Fi антенны.<br />
Как и многие другие электронные компонен-<br />
компоненты установочные и коммутационные элементы<br />
Значение<br />
параметра<br />
Волновое сопротивление, Ом 50<br />
Рабочий диапазон частот, ГГц 0–6<br />
Рабочее напряжение, В 100<br />
Напряжение пробоя, В 250<br />
Максимальный КСВН в рабочем диапазоне частот 1,35<br />
Сопротивление изоляции, МОм (не менее) 500<br />
Сопротивление контактов, мОм:<br />
– центрального<br />
– наружного<br />
2,5<br />
1,5<br />
Диапазон рабочих температур, °С –40…+90<br />
новости источники питания<br />
ты Hirose, соединители U.FL защищены патентами.<br />
Аналоги этих соединителей выпускают<br />
несколько компаний под обозначениями<br />
IPEX, IPAX, IPX, MHF и AMC. Компания<br />
TE connectivity (ранее Tyco Electronics) производит<br />
аналогичные соединители UMCC<br />
(Ultra Miniature Coaxial Connector), совместимые<br />
с U.FL.<br />
Заключение<br />
Хотя микроминиатюрные соединители<br />
были разработаны в основном в 1990-е годы,<br />
работы по их совершенствованию не прекращаются<br />
до сих пор. Главное направление —<br />
разработка новых механизмов соединения<br />
вилки и розетки, миниатюризация и повышение<br />
предельной частоты соединителей.<br />
Значительный вклад в создание более совершенных<br />
микроминиатюрных соедини-<br />
телей вносят Radiall (Франция), Rosenberger<br />
(Германия), Amphenol, Tyco (США), Hirose<br />
(Япония) и некоторые другие компании.<br />
В настоящее время значительную часть всех<br />
микроминиатюрных соединителей производят<br />
компании Китая, Тайваня и Республики<br />
Корея. n<br />
Литература<br />
Радиационно-стойкие DC/DC-преобразователи Interpoint<br />
линейки SMHF стали еще надежней<br />
Компания CRANE Aerospace & Electronics,<br />
поставляющая продукцию под торговой маркой<br />
Interpoint, провела модернизацию популярных<br />
радиационно-стойких DC/DC-преобразователей<br />
серии SMHF.<br />
В результате апгрейда был расширен диапазон<br />
входного напряжения до 45 В. Значительно снижены<br />
пульсации выходного напряжения для одноканальных<br />
моделей с выходным напряжением<br />
5 В: теперь они составляют 5 мВ (от пика до пика).<br />
А для двухканальных моделей SMHF2805D, соответственно,<br />
— 30 мВ.<br />
Улучшены динамические характеристики.<br />
Например, при импульсном изменении входного напряжения<br />
от 16 до 45 В возврат выходного напряжения<br />
к номинальному значению занимает 200 мкс.<br />
Предлагаются модели со значениями поглощенной<br />
дозы 30 и 100 крад (Si), при этом гарантируется<br />
отсутствие одиночных эффектов от воздействия<br />
протонов с пороговым значением линейных потерь<br />
энергии 40 МэВ·см 2 /мг.<br />
Радиационно-стойкие DC/DC-преобразователи<br />
серии SMHF можно применять в аппаратуре низкоорбитальных<br />
и геосинхронных космических<br />
Новые упрочненные 350-Вт источники питания xP Power<br />
Компания XP Power объявила о начале выпуска одноканальных 350-Вт<br />
источников питания ряда SHP350, предназначенных для промышленного<br />
применения.<br />
Эти компактные модули со встроенными охлаждающими вентиляторами<br />
имеют размеры 177,8×91,44×53,44 мм, характеризуются высоким значением<br />
удельной мощности — 403 Вт/дм 3 (6,6 Вт/дюйм 3 ) и КПД — 85%.<br />
В серию SHP350 включены шесть одноканальных моделей с выходными<br />
напряжениями от +12 до +48 В. Выходное напряжение регулируется в диапазоне<br />
±10% от номинала для получения любого нестандартного значения,<br />
требуемого для конкретного применения. Возможность подключения внешней<br />
обратной связи позволяет компенсировать падение напряжения до 0,5 В<br />
на соединительных проводниках. Дополнительный выходной канал 5 В/0,2 A<br />
постоянно доступен для питания запоминающих, логических и управляющих<br />
устройств.<br />
Модули источников питания серии SHP350 предназначены для работы<br />
от сети переменного тока в диапазоне от 85 до 264 В. При входном напряжении<br />
более 180 В они могут выдавать в нагрузку пиковую мощность 420 В<br />
в течение 10 с.<br />
Способность мгновенно обеспечивать повышенную мощность востребована,<br />
например, во время запуска электродвигателей. Потребителю не нужно<br />
применять более мощный источник питания, и, таким образом, исключаются<br />
дополнительные затраты и сохраняется полезная площадь платы.<br />
В обновленной серии источников питания предусмотрены сигнал управления<br />
AC OK/power fail (сигнал состояния входного напряжения) и вход<br />
1. Джуринский К. Б. Все радиочастотные соединители<br />
мира. Ч. 1. Классификация соединителей //<br />
Компоненты и технологии. 2012. № 10.<br />
2. Джуринский К. Б. Все радиочастотные соединители<br />
мира. Ч. 2. Большие, средние и миниатюрные<br />
соединители // Компоненты и технологии.<br />
2012. № 11.<br />
3. Джуринский К. Б. Все радиочастотные соединители<br />
мира. Ч. 3. Субминиатюрные соединители<br />
// Компоненты и технологии. 2012. № 12.<br />
аппаратов, а также в космических зондах для исследований<br />
дальнего космоса.<br />
www.prosoft.ru<br />
дистанционного включения/выключения. Однопроводная схема равномерного<br />
распределения выходного тока позволяет подключать параллельно дополнительные<br />
модули для повышения выходной мощности или обеспечения<br />
резервирования.<br />
Новые источники питания могут работать в широком диапазоне температур<br />
(–20…+70 °C), без понижения выходной мощности — до +50 °C и с гарантией<br />
включения при температуре до –40 °C. Встроенный вентилятор обеспечивает<br />
охлаждение, а регулируемая скорость вращения — снижение акустического<br />
шума.<br />
Источники питания SHP350 соответствуют требованиям стандарта SEMI-<br />
F47 Voltage Sag Immunity (устойчивость к провалам входного напряжения)<br />
к оборудованию автоматизированных систем управления, что особенно важно<br />
для производства полупроводников. Они способны поддерживать установленное<br />
выходное напряжение и ток нагрузки в случае провала входного<br />
напряжения ниже определенных пределов.<br />
Уровень кондуктивных помех соответствует классу B стандартов<br />
EN55011/22, уровень помех излучения — классу А. Модули отвечают требованиям<br />
международных стандартов безопасности UL60950-1, EN60950-1<br />
и ГОСТ РМЭК 60950-2002.<br />
Линейку SHP350 дополняют два ряда модулей с более высокими значениями<br />
выходной мощности — 650 и 1000 Вт, которые в настоящее время<br />
доступны в серии SHP.<br />
Гарантийный срок изделий составляет три года.<br />
www.prosoft.ru<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Реклама
66<br />
компоненты ПЛИС<br />
Андрей СТРОГОНОВ,<br />
д. т. н.<br />
andreistrogonov@mail.ru<br />
Алексей БыСТРИЦКИй,<br />
к. т. н.<br />
bystritsky@bk.ru<br />
Эффективность разработки<br />
конечных автоматов<br />
в базисе ПЛИС FPGA<br />
Последовательностные схемы с n двоичными переменными состояния,<br />
которые имеют двоичные значения, соответствующие определенным логическим<br />
сигналам, с конечным числом состояний 2 n называются конечными<br />
автоматами. Метод кодирования с одним активным, или горячим, состоянием<br />
(one hot encoding, ОНЕ) получил такое название потому, что в каждый<br />
конкретный момент времени активным (hot) может быть только один<br />
триггер состояния. Применение метода ОНЕ на основе ручного метода кодирования<br />
для ПЛИС FPGA (программируемые пользователем вентильные<br />
матрицы) было предложено Steven K. Knapp из фирмы xilinx [1].<br />
Метод ОНЕ применительно к ПЛИС<br />
FPGA дает возможность строить конечные<br />
автоматы, которые в общем<br />
ствие конечного автомата с высокой степенью<br />
кодирования состояний снижается<br />
с увеличением их количества, поскольку<br />
автомата из одного состояния в другое.<br />
Правила перехода определяются комбинационной<br />
схемой, называемой логикой<br />
случае требуют меньше ресурсов и отлича- в этом случае для декодирования требуется переходов. Следующее состояние опредеются<br />
более высокими скоростными показате- большее число уровней логики с бóльшим ляется как функция текущего состояния<br />
лями, чем аналогичные конечные автоматы числом линий.<br />
и входного воздействия.<br />
с двоичным кодированием состояний [2–4]. Обычно рассматривают два типа автома- • Логика формирования выхода. Выход ко-<br />
Однако если число состояний не превышатов — Мили (Mealy) и Мура (Moore). Выход нечного автомата обычно определяется<br />
ет восьми, то двоичное кодирование может автомата Мура является функцией толь- как функция текущего состояния и ис-<br />
быть более эффективным.<br />
ко текущего состояния, а выход автомата ходной установки (в автомате Мили).<br />
Повышенное быстродействие по мето- Мили — функция как текущего состояния, Формирование выходного сигнала автоду<br />
ОНЕ обеспечивается меньшим числом так и внешнего воздействия [5, 6].<br />
мата определяется с помощью логики фор-<br />
уровней логики между рабочими фронтами Конечный автомат состоит из трех основмирования выхода.<br />
синхросигналов, чем при двоичном кодиных частей. К ним относятся:<br />
Построение конечного автомата с исровании.<br />
Логические схемы при этом упро- • Регистр текущего состояния. Этот регистр пользованием метода ОНЕ осуществляется<br />
щаются, поскольку метод ОНЕ практически представляет собой набор тактируемых по следующей методике: вначале для ото-<br />
не требует логики декодирования состояний. D-триггеров, синхронизируемых одним бражения каждого состояния автомата вы-<br />
Получающийся в результате построения синхросигналом. Он используется для храделяется индивидуальный триггер, а затем<br />
конечного автомата набор триггеров похож нения кода текущего состояния автомата. организуется схема, позволяющая в каждый<br />
на структуру типа сдвигового регистра. • Логика переходов. Конечный автомат мо- конкретный момент только одному состоя-<br />
Быстродействие конечного автомата типа жет находиться в каждый конкретный монию быть активным [1, 2].<br />
ОНЕ остается постоянным с увеличением мент только в одном состоянии. Каждый Рассмотрим синхронный конечный авто-<br />
числа состояний. И напротив, быстродей- тактовый импульс вызывает переход мат Мура, предусматривающий семь различных<br />
состояний [1, 2]. Построим граф-автомат<br />
проектируемого устройства (рис. 1). Автомат<br />
переходит из состояния в состояние по переднему<br />
фронту синхроимпульса. В примере<br />
имеется семь состояний (Stage1–7). Все логические<br />
входы помечаются как переменные<br />
от А до Е. Выходы конечного автомата носят<br />
названия Multi, Contig и Single. Опишем<br />
функционирование этого автомата на языке<br />
аппаратурных средств VHDL.<br />
Специалисты Xilinx рекомендуют кодировать<br />
конечные автоматы на основе перечисляемого<br />
типа, так как в этом случае САПР<br />
может использовать модуль логического синтеза<br />
и в зависимости от архитектуры ПЛИС<br />
Рис. 1. Граф-автомат проектируемого устройства<br />
самостоятельно выбирать метод кодирования<br />
[3, 4].<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Рис. 2. Настройки компилятора САПР Quartus II для синтеза конечного автомата<br />
Проектирование конечного автомата осуществим<br />
с помощью перечисляемого типа<br />
данных (Enumerated type) на языке VHDL.<br />
Перечисляемый — это такой тип данных, при<br />
котором количество всех возможных состояний<br />
конечно. Такой тип наиболее часто используется<br />
для обозначений состояний конечных<br />
автоматов. Любой перечисляемый тип<br />
имеет внутреннюю нумерацию: первый элемент<br />
всегда имеет номер 0, второй — 1 и т. д.<br />
Перечисляемый тип определяется списком<br />
(перечислением) всех возможных значений<br />
этого типа. Перечисляемые типы имеют следующий<br />
синтаксис определения [7]:<br />
type type_name is ( enumeration_literal<br />
{, enumeration_literal});<br />
Здесь type_name — идентификатор типа,<br />
а каждый enumeration_literal — либо идентификатор<br />
(enum_6), ибо литерал символа (A).<br />
Идентификатор является последовательностью<br />
букв, символов подчеркивания и цифр.<br />
Идентификатор должен начинаться с буквы<br />
и не может быть зарезервированным словом<br />
VHDL, таким как TYPE. Литерал символа<br />
является любым значением типа CHARACTER<br />
в одиночных кавычках. Например, FPGA<br />
Express Synopsys автоматически кодирует<br />
перечисляемые значения в битовые векторы,<br />
которые основаны на каждой позиции значения.<br />
Длина кодирующего битового вектора<br />
равна минимальному количеству битов, необходимых<br />
для кодирования номера перечисляемых<br />
значений. Например, перечисляемый<br />
тип с пятью значениями имеет трехбитовый<br />
кодирующий вектор.<br />
В САПР Quartus II в меню Analysis&Synthesis<br />
Settings, в закладке More Analysis&Synthesis<br />
Settings, выберем установку кодирования конечных<br />
автоматов State Machine Processing —<br />
компоненты<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
ПЛИС<br />
one-Hot (рис. 2). При этом установка Safe State<br />
Machine будет Off. Разработка автомата с учетом<br />
восстановления из неправильных состояний<br />
в случае нарушения временных ограничений<br />
требует дополнительной логики, поэтому<br />
эта опция отключена. Комбинационную логику<br />
автомата реализуем на таблицах перекодировок<br />
— установка LUT (для ПЛИС серии<br />
Apex 2).<br />
Пользователь может выбрать установку<br />
Auto, которая позволяет средствам синтеза<br />
автоматически выбрать для каждого конечного<br />
автомата наилучший алгоритм кодирования.<br />
В случае выбора установки User-<br />
Encoded средства синтеза будут использовать<br />
алгоритм кодирования, представленный<br />
в файле исходного описания.<br />
При выборе пользователем установки Auto<br />
для ПЛИС FPGA используется метод кодирования<br />
с одним активным состоянием (модифицированный,<br />
он известен в литературе как<br />
почти прямое кодирование), а для ПЛИС типа<br />
CPLD, где большое количество термов произведений,<br />
используется кодирование с минимальным<br />
количеством триггеров (minimalbits<br />
encoding). В САПР Quarus II используется<br />
не чистый метод кодирования с одним ак-<br />
Рис. 3. Двухпроцессный шаблон описания работы конечного автомата на языке VHDL<br />
тивным состоянием, а модифицированный.<br />
Первое (начальное) состояние кодируется нулями,<br />
то есть все триггеры сдвигового регистра<br />
устанавливаются в ноль, а последующие<br />
состояния кодируются как в обычном методе<br />
HOT, при этом выход первого состояния всегда<br />
активен, кроме начального. Это объясняется<br />
тем, что при запуске автомата его легко<br />
установить в состояние 0000000, а не в состояние<br />
0000001, как в классическом методе HOT.<br />
Модуль синтеза (компилятор) САПР<br />
Quartus II «использует патентованные перспективные<br />
эвристические алгоритмы», позволяющие<br />
сделать такие автоматические назначения<br />
состояний, которые минимизируют<br />
логические ресурсы, нужные для реализации<br />
конечного автомата. Затем компилятор выполняет<br />
автоматически следующие функции:<br />
назначает биты, выбирая для каждого<br />
бита либо T-, либо D-триггер; присваивает<br />
значения состояний; применяет сложные<br />
методы логического синтеза для получения<br />
уравнений возбуждения.<br />
Рассмотрим двухпроцессный шаблон<br />
(рис. 3) описания конечного автомата с использованием<br />
перечисляемого типа и настроек<br />
компилятора (State Machine Processing —<br />
one-Hot). Особенностью является использование<br />
одного сигнала state перечисляемого<br />
типа state_values для реализации логики переходов<br />
совместно с регистром текущего состояния.<br />
Второй оператор процесса нужен<br />
для описания логики формирования выхода<br />
(пример 1). Для обеспечения стабильной<br />
и безотказной работы автомат следует сбросить<br />
в начальное состояние (активный высокий<br />
уровень сигнала TRST). Таким образом,<br />
всегда обеспечивается инициализация автомата<br />
в начальное состояние.<br />
Пример 1.<br />
фрагмент кода двухпроцессного<br />
шаблона описания конечного автомата<br />
на языке VHdl:<br />
ARCHITECTURE a OF avtOHE2 IS<br />
TYPE state_values IS (Stage1, Stage2, Stage3, Stage4, Stage5, Stage6,<br />
Stage7);<br />
signal state: state_values;<br />
BEGIN<br />
process(TCK,TRST)<br />
begin<br />
if (TRST = ‘1’) then state
68<br />
компоненты ПЛИС<br />
Рис. 4. Трехпроцессный шаблон описания работы конечного автомата на языке VHDL<br />
then<br />
case state is<br />
when Stage1=><br />
IF (A=’1’ and B=’0’ and C=’1’) THEN state
компоненты<br />
Рис. 7. Схема конечного автомата с использованием метода OHE, готовая для размещения в базисе ПЛИС серии Stratix III (трехпроцессный шаблон)<br />
Рис. 8. Схема конечного автомата с использованием метода OHE (однопроцессный шаблон)<br />
по состояниям и для условий по умолчанию показаны на рис. 6в.<br />
На рис. 6г представлена таблица переходов, характерная для метода<br />
кодирования с одним активным состоянием. На рис. 7 показана схема<br />
автомата, готовая для размещения в базисе ПЛИС серии Stratix III,<br />
на ней видна структура 7-разрядного сдвигового регистра, блоки<br />
комбинационной логики и то, что выходы триггеров могут использоваться<br />
как непосредственные выходы автомата (выход Single). Как<br />
следствие, применение дополнительной комбинационной логики<br />
на выходе не требуется.<br />
Рассмотрим использование однопроцессного шаблона (пример 3)<br />
перечисляемого типа. В этом случае число триггеров увеличивается<br />
с 7 до 10, так как включение логики формирования выхода в оператор<br />
case, который расположен внутри блока регистров конечного автомата,<br />
приводит к тому, что выходы Multi, Contig и Single становятся<br />
регистерными (рис. 8).<br />
Пример 3. фрагмент кода однопроцессного шаблона описания<br />
конечного автомата на языке VHdl:<br />
BEGIN<br />
process(TCK,TRST)<br />
begin<br />
if (TRST = ‘1’) then state
70<br />
компоненты ПЛИС<br />
Рис. 9. Синтезированный конечный автомат с использованием двоичного кодирования (явное использование стиля кодирования, атрибут enum_encoding)<br />
Рис. 10. Синтезированный конечный автомат с использованием кодирования с одним активным состоянием<br />
(явное использование стиля кодирования, атрибут enum_encoding)<br />
when Stage1=><br />
IF (A=’1’ and B=’0’ and C=’1’) THEN state
ARCHITECTURE a OF OHE2 IS<br />
TYPE state_values IS (Stage1, Stage2, Stage3, Stage4, Stage5, Stage6,<br />
Stage7);<br />
attribute enum_encoding: string;<br />
attribute enum_encoding of state_values: type is “0000001 0000010<br />
0000100 0001000 0010000 0100000 1000000”;<br />
signal state: state_values;<br />
BEGIN<br />
statereg: process(TCK,TRST)<br />
begin<br />
if (TRST = ‘1’) then state<br />
IF (A=’1’ and B=’0’ and C=’1’) THEN state
72<br />
компоненты ПЛИС<br />
Рис. 13. Синтез конечного автомата по VHDL-коду при использовании атрибута syn_encoding (двоичное кодирование):<br />
а) граф-автомат, восстановленный из VHDL-кода; б) условия переходов по состояниям; в) таблица переходов<br />
Сравнительные результаты методов OHE<br />
и двоичного кодирования для ПЛИС серий<br />
Apex и Stratix с использованием явных<br />
и неявных стилей кодирования представлены<br />
в таблице.<br />
Выводы<br />
При разработке конечных автоматов в базисе<br />
ПЛИС FPGA на языке VHDL наиболее<br />
эффективным решением является использование<br />
неявного стиля кодирования или явного<br />
с применением атрибута syn_encoding.<br />
При этом компилятор-синтезатор САПР<br />
новости элемены защиты<br />
б<br />
Quartus II минимизирует логические ресурсы.<br />
Как показали эксперименты с данным примером,<br />
для высокоинтегрированных ПЛИС<br />
FPGA серии Stratix III стили кодирования перестают<br />
влиять на максимальную тактовую<br />
частоту работы конечных автоматов. n<br />
Литература<br />
1. Knapp S. K. Accelerate FPGA macros with one-hot<br />
approach // ED. 1990. No. 17.<br />
2. Synopsys Synthesis and Simulation Design Guide —<br />
2.1i. Xilinx Development System. Ch. 4. Designing<br />
FPGAs with HDL.<br />
Супрессоры Microsemi в корпусе PLAD<br />
для защиты оборудования самолетов от ударов молний<br />
Корпорация Microsemi анонсировала два новых<br />
ограничителя переходных процессов (TVS),<br />
выполненных в запатентованном пластмассовом<br />
корпусе для компонентов больших размеров<br />
PLAD (Plastic Large Area Device) — MPLAD15KP<br />
и MPLAD30KP.<br />
Новые бюджетные супрессоры MPLAD15KP<br />
на 15 кВт и MPLAD30KP на 30 кВт соответствуют<br />
требованиям к защите самолетов от удара молний,<br />
в том числе серии разрядов (стандарт RTCA<br />
DO-160E). Они могут применяться для TVS-защиты<br />
оборудования самолетов, выполненных с применением<br />
композитных материалов. Оба устройства выпускаются<br />
в корпусах для поверхностного монтажа<br />
и обратно совместимы с предыдущей серией ограничителей<br />
всплесков напряжения в корпусах формфактора<br />
PLAD компании Microsemi — PLAD15KP<br />
и PLAD30KP, так как электрические и тепловые<br />
характеристики устройств не изменились.<br />
Пересмотр конструкции корпуса PLAD позволил<br />
компании Microsemi предложить разработчи-<br />
а<br />
в<br />
кам решение, снижающее стоимость супрессоров<br />
приблизительно на 20%. Кроме того, однокомпонентное<br />
исполнение уменьшает занимаемую схемой<br />
площадь, снижает вес устройства и повышает<br />
надежность разработки по сравнению с многокомпонентными<br />
схемами, часто применяемыми для<br />
получения столь высокого уровня надежности.<br />
Новые 15- и 30-Вт разработки в корпусе PLAD<br />
снижают стоимость защиты от бросков напряжения,<br />
предотвращая ошибки функционирования<br />
схем цифровой обработки сигналов, повреждения<br />
компонентов и прекращения функционирования<br />
Таблица. Сравнительные результаты методов OHE<br />
и двоичного кодирования<br />
Стиль кодирования<br />
Ручной способ кодирования<br />
по методу OHE<br />
с RS-триггером на выходе<br />
Двоичное кодирование<br />
(двухпроцессный шаблон,<br />
явный, атрибут enum_encoding)<br />
HOT (двухпроцессный шаблон,<br />
явный, атрибут enum_encoding)<br />
Настройки<br />
модуля<br />
синтеза HOT<br />
Однопроцессный<br />
шаблон (неявный)<br />
Двухпроцессный<br />
шаблон (неявный)<br />
Трехпроцессный<br />
шаблон (неявный)<br />
число<br />
триггеров<br />
Максимальная тактовая<br />
частота (f max ), МГц<br />
APEx20KE [8] STRATIx III<br />
8 290 –<br />
3 262 400<br />
6 290 400<br />
10 290 400<br />
7 290 400<br />
7 290 400<br />
3. Xilinx Synthesis Technology (XST) User Guide. xst.<br />
pdf (ed. 10.1). Ch. 2. XST HDL Coding Technigues.<br />
www.xilinx.com<br />
4. Xilinx Design Reuse Methodology for ASIC and<br />
FPGA Designers. System-on-a-Chip designs reuse<br />
solutions. Reuse methodology manual for Systemon-a-Chip<br />
designs. www.xilinx.com<br />
5. Стешенко В. Примеры проектирования цифровых<br />
устройств с использованием языков описания<br />
аппаратуры // Схемотехника. 2001. № 7–9.<br />
6. Стешенко В. Б. ПЛИС фирмы Altera: элементная<br />
база, система проектирования и языки описания<br />
аппаратуры. М.: ИД «Додэка-XXI”», 2002.<br />
7. Synopsys. FPGA Express. Справочное руководство<br />
по VHDL.<br />
8. Строгонов А. Проектирование конечных автоматов<br />
по методу OHE // Компоненты и технологии.<br />
2007. № 10.<br />
из-за косвенных эффектов от высоковольтных<br />
разрядов. Корпус PLAD сочетает большой размер<br />
полупроводникового кристалла с большой площадью<br />
теплоотводящей площадки снизу корпуса, что<br />
улучшает тепловые характеристики разработки<br />
по сравнению с применением компонентов для<br />
сквозного монтажа. Корпус форм-фактора PLAD<br />
совместим также со стандартными автоматами<br />
монтажа электронных приборов.<br />
15-Вт семейство супрессоров имеет рабочее<br />
напряжение от 7 до 200 В, а семейство 30-Вт компонентов<br />
— от 14 до 400 В. Доступны как однонаправленные,<br />
так и двунаправленные модификации<br />
устройств. Изделия могут поставляться в соответствующей<br />
директиве RoHS форме (2002/95/EC)<br />
или с олово-свинцовым покрытием контактов.<br />
При выпуске компонентов не применяются галогены<br />
(IEC 61249-2-21). Они соответствуют требованиям<br />
промышленного стандарта MSL уровня 1<br />
(J-STD-020).<br />
www.icquest.ru<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Реклама<br />
Реклама<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
73
74<br />
компоненты ПЛИС<br />
Николай БОРИСЕНКО<br />
fpga-mechanic@rambler.ru<br />
Вторая модель буфера FIFO имеет<br />
унифицированный интерфейс (КиТ<br />
№ 12’2012), а для хранения данных<br />
использует регистровые элементы общего<br />
назначения и может быть реализована<br />
на элементной базе, не имеющей двухпортовой<br />
памяти. Интерфейс второй модели<br />
буфера FIFO показан на рис. 14. Протокол<br />
обмена полностью соответствует описанному<br />
в первой части статьи протоколу записи<br />
и чтения.<br />
Буфер FIFO, построенный на основе второй<br />
модели, способен хранить четыре слова<br />
данных. В рассматриваемом примере используются<br />
32-разрядные слова.<br />
Хранение данных во второй модели буфера<br />
FIFO организовано по несимметричной<br />
схеме 3+1. Выходной регистр служит для<br />
хранения первого по порядку записанного<br />
слова данных, а также для выдачи очередного<br />
слова данных при считывании предыдущего<br />
слова. Для хранения остальных слов данных<br />
предназначены внутренние регистры INT_<br />
RG1, INT_RG2, INT_RG3. При записи слова<br />
данных в пустой буфер первое слово сохраняется<br />
в выходном регистре. Второе слово<br />
данных записывается во внутренний регистр<br />
в том случае, если его запись опережает чтение<br />
первого слова. Дальнейшая запись осуществляется<br />
в остальные свободные внутренние<br />
регистры. При чтении первого слова<br />
данных в выходной регистр копируется содержимое<br />
внутреннего регистра, хранящего<br />
второе слово данных, после чего этот внутренний<br />
регистр считается свободным. При<br />
чтении третьего слова данных в выходной<br />
регистр копируется содержимое внутреннего<br />
регистра, хранящего третье слово данных.<br />
Далее процесс повторяется до полного опустошения<br />
буфера.<br />
Рис. 14. Интерфейс синтезируемой модели буфера FIFO на основе регистровых ресурсов общего назначения<br />
Подходы к организации<br />
унифицированного ряда<br />
синтезируемых моделей<br />
буферов FIFO, реализуемых<br />
в различных семействах<br />
программируемой логики. Часть 2<br />
В статье рассматривается вопрос построения синтезируемых моделей<br />
блоков памяти с организацией FIFO.<br />
В первой части был предложен унифицированный интерфейс для портов<br />
записи и считывания данных. Приведены синтезируемые модели синхронных<br />
блоков FIFO на основе ресурсов распределенной памяти — табличных<br />
преобразователей.<br />
Во второй части рассматриваются синтезируемые модели буферов FIFO<br />
на основе регистров общего назначения и ресурсов блочной памяти.<br />
Функциональная схема, демонстрирующая<br />
организацию буфера FIFO, описанную<br />
во второй модели, приведена на рис. 15.<br />
В нижнем прямоугольнике схемы показаны<br />
генераторы выходных сигналов.<br />
Блок HDL process соответствует конечному<br />
автомату, описанному на поведенческом<br />
уровне на языке описания аппаратуры.<br />
Среди преимуществ второй синтезируемой<br />
модели буфера FIFO можно выделить:<br />
1. Полную внутреннюю регистровую развязку,<br />
исключившую сквозные комбинационные<br />
цепочки от входов до выходов<br />
и облегчающую оптимизацию проекта для<br />
работы на высоких тактовых частотах.<br />
2. Минимальную задержку прохождения слова<br />
данных через буфер FIFO, составляющую<br />
один такт синхросигнала с момента<br />
записи до появления данных на выходе<br />
(что возможно при записи первого слова<br />
данных в пустой буфер либо в случае одновременного<br />
чтения и записи при количестве<br />
слов равном одному).<br />
3. Регистровые выходы FULL, EMPTY<br />
и AMNT, имеющие минимальную выходную<br />
задержку T CO (Clock to Output delay).<br />
4. Независимость от технологических примитивов<br />
элементной базы вследствие<br />
синтезируемости в базисе стандартных<br />
элементов (регистров и ресурсов комбинационной<br />
логики).<br />
Недостаток рассмотренной модели один —<br />
большое количество регистровых ресурсов<br />
общего назначения, что не оптимально с точ-<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Рис. 15. Функциональная схема буфера FIFO на основе регистровых ресурсов общего назначения<br />
ки зрения реализации модели в архитектурах<br />
класса FPGA.<br />
Вторую синтезируемую модель буфера<br />
FIFO целесообразно использовать при необходимости<br />
построения FIFO с малой глубиной<br />
записи и большой разрядностью слов<br />
данных.<br />
Описание второй модели буфера FIFO<br />
на языке VHDL:<br />
------------------------------------------------------------<br />
-- Engineer: FPGA-Mechanic<br />
--<br />
-- Create Date: 12:51:40 10/24/2009<br />
-- Design Name: General purpose module<br />
-- Module Name: MSFIFO_RG_4x32b_V10 - 4-word x 32-bit<br />
-- FF_Register-based FIFO<br />
-- Project Name: Any<br />
-- Target Devices: Any PLD<br />
-- Tool versions: ISE 10.1<br />
-- Description: Synchronous FIFO with Combinational Inputs<br />
-- and Registered Outputs<br />
-- Protection of stored data while writing to full FIFO<br />
-- included. Reading empty and writing full are ignored.<br />
-- Revision: 1.0 (24.10.2009)<br />
-- Revision 1.0 - File Created<br />
------------------------------------------------------------library<br />
IEEE;<br />
use IEEE.STD_LOGIC_1164.ALL;<br />
use IEEE.STD_LOGIC_ARITH.ALL;<br />
use IEEE.STD_LOGIC_UNSIGNED.ALL;<br />
компоненты<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
ПЛИС<br />
entity MSFIFO_RG_4x32b_V10 is<br />
Port (<br />
CLK : in STD_LOGIC; -- Sys. Clock<br />
RST : in STD_LOGIC; -- Asynch.Reset<br />
WREN : in STD_LOGIC; -- Write Enable<br />
W_DATA : in STD_LOGIC_VECTOR (31 downto 0); -- Write Data<br />
FULL : out STD_LOGIC; -- Full Flag<br />
EMPTY : out STD_LOGIC; -- Empty Flag<br />
R_DATA : out STD_LOGIC_VECTOR (31 downto 0); -- Read Data<br />
NEXT_DATA : in STD_LOGIC; -- Next Data Request<br />
AMNT : out STD_LOGIC_VECTOR (2 downto 0) -- Amount of Stored<br />
Words<br />
);<br />
end MSFIFO_RG_4x32b_V10;<br />
architecture Tooshka of MSFIFO_RG_4x32b_V10 is<br />
-- Internal signals declaration:<br />
signal INT_WA, INT_RA : STD_LOGIC_VECTOR (1 downto 0);<br />
signal INT_AMNT : STD_LOGIC_VECTOR (1 downto 0);<br />
-------------------------------------------signal<br />
INT_RG1, INT_RG2, INT_RG3 : STD_LOGIC_VECTOR (31<br />
downto 0);<br />
signal INT_RGO, DIN_RG_0 : STD_LOGIC_VECTOR (31 downto 0);<br />
-------------------------------------------signal<br />
INT_WE, INT_NXT : STD_LOGIC;<br />
signal INT_EMPTY, INT_FULL : STD_LOGIC;<br />
-------------------------------------------signal<br />
WE_RG_0, RE_RG_0 : STD_LOGIC;<br />
signal NTR_0, TR_0 : STD_LOGIC;<br />
-------------------------------------------signal<br />
EXT_AMNT : STD_LOGIC_VECTOR (2 downto 0);<br />
--------------------------------------------<br />
begin<br />
--------------------------------------------<br />
-- Data Registers:<br />
-- Internal Registers:<br />
Internal_Reg : process(CLK, RST) begin<br />
if(RST = ‘1’) then<br />
INT_RG1
76<br />
компоненты ПЛИС<br />
-- Output Register:<br />
Output_Reg : process(CLK, RST) begin<br />
if(RST = ‘1’) then<br />
R_DATA
блоков постоянной и оперативной памяти.<br />
Емкость элемента блочной памяти, как правило,<br />
составляет от 4 до 18 кбит. В кристалле<br />
СБИС или ПЛИС могут быть реализованы<br />
десятки и даже сотни подобных элементов.<br />
Чтобы повысить эффективность использования<br />
ресурсов кристалла, буферы FIFO большой<br />
емкости целесообразно строить на основе<br />
элементов блочной памяти.<br />
Третья синтезируемая модель буфера FIFO<br />
использует двухпортовую блочную память<br />
серии Spartan-2 фирмы Xilinx. Модуль памяти<br />
MXIL_BLK_DPRAM_4K_8A_8B описан<br />
в схемотехническом файле, содержимое которого<br />
представлено на рис. 16.<br />
Один элемент блочной памяти серий<br />
Virtex, Spartan-2/2E фирмы Xilinx имеет емкость<br />
4 кбит и допускает различные конфигурации<br />
разрядности шин адреса и данных<br />
[1, 10]. Элемент блочной памяти имеет два<br />
асинхронных по отношению друг к другу<br />
порта, которые позволяют читать и записывать<br />
данные. В рассматриваемом примере<br />
оба порта сконфигурированы на работу<br />
с 8-разрядными словами данных при<br />
глубине адресации 512 слов (организация<br />
5128). Оба порта имеют одновременный доступ<br />
к общему массиву из 4096 ячеек памяти.<br />
В современных сериях ПЛИС реализованы<br />
блоки памяти емкостью 9 и 18 кбит, причем<br />
дополнительные разряды (8-й или 16-й<br />
и 17-й) предназначены для хранения битов<br />
четности [2, 9]. Следует отметить, что интерфейс<br />
блоков памяти разных производителей<br />
элементной базы различается незначительно,<br />
что позволяет реализовать третью модель<br />
буфера FIFO в разных сериях ПЛИС.<br />
Третья синтезируемая модель буфера FIFO<br />
имеет описанный в начале статьи унифицированный<br />
интерфейс и использует для хранения<br />
данных один элемент блочной памяти ПЛИС<br />
архитектуры FPGA фирмы Xilinx. В силу<br />
сходства интерфейсов блочной памяти модель<br />
несложно преобразовать для реализации<br />
в элементной базе других производителей.<br />
Описание третьей модели буфера FIFO<br />
на языке Verilog:<br />
`timescale 1ns / 1ps<br />
/////////////////////////////////////////////////////////////////////<br />
// Engineer: FPGA-Mechanic<br />
//<br />
// Create Date: 12:55:15 04/15/2010<br />
// Design Name: MISTB Design<br />
// Module Name: MSFIFO_BR_512x8b_V10 - 512-word x 8-bit<br />
// 4K-Block-RAM-based FIFO<br />
// Project Name: Any<br />
// Target Devices: Xilinx FPGA<br />
// Tool versions: ISE 10.1<br />
// Description: Synchronous FIFO with Combinational Inputs,<br />
// Registered Control Outputs and Registered Data Outputs<br />
// Protection of stored data while writing to full FIFO<br />
// included. Reading empty and writing full are ignored.<br />
// Revision: 1.0 (16.04.2010)<br />
// Revision 1.0 - File Created<br />
//////////////////////////////////////////////////////////////////////<br />
module MSFIFO_BR_512x8b_V10(<br />
input CLK, // Sys. Clock<br />
input RST, // Asynch.Reset<br />
input WREN, // Write Enable<br />
input [7:0] W_DATA, // Write Data<br />
output FULL, // Full Flag<br />
output EMPTY, // Empty Flag<br />
output [7:0] R_DATA, // Read Data<br />
input NEXT_DATA, // Next Data Request<br />
output reg<br />
[9:0] AMNT // Amount of Stored Words<br />
);<br />
// Internal signals declaration:<br />
reg [8:0] READ_CNTR;<br />
reg [9:0] AMNT_CNTR;<br />
wire [8:0] WRITE_ADR, READ_ADR;<br />
reg CNTR_EMPTY, INT_EMPTY;<br />
reg CNTR_ONE;<br />
wire CNTR_FULL;<br />
wire SRAM_WE, SRAM_EN;<br />
//------------------------------------------<br />
// Xilinx Spartan-2 Block Ram (512x8bit) :<br />
MXIL_BLK_DPRAM_4K_8A_8B BLOCK_SRAM<br />
(.CLK_A(CLK),<br />
.CLK_B(CLK),<br />
.WE_A(SRAM_WE),<br />
.WE_B(1’b0),<br />
.EN_A(1’b1),<br />
.EN_B(SRAM_EN),<br />
.ADDR_A(WRITE_ADR),<br />
.ADDR_B(READ_ADR),<br />
.DIN_A(W_DATA),<br />
.DIN_B(8’h00),<br />
.DOUT_A(),<br />
.DOUT_B(R_DATA));<br />
//-----------------------------------------assign<br />
SRAM_WE = WREN & ~CNTR_FULL;<br />
//-----------------------------------------assign<br />
SRAM_EN = INT_EMPTY | NEXT_DATA;<br />
//------------------------------------------<br />
// Read Address Counter :<br />
always @ (posedge CLK, posedge RST)<br />
if(RST)<br />
READ_CNTR
78<br />
компоненты ПЛИС<br />
Недостатки третьей модели буфера FIFO:<br />
1. Минимальная задержка прохождения слова<br />
данных через буфер FIFO, которая составляет<br />
два такта синхросигнала с момента<br />
записи до появления данных на выходе.<br />
2. Относительная зависимость от технологических<br />
примитивов серии и производителя<br />
элементной базы.<br />
Вывод<br />
В процессе исследований и разработок<br />
по теме статьи были достигнуты следующие<br />
результаты:<br />
1. Подробно описан унифицированный интерфейс<br />
для синхронных и асинхронных<br />
буферов FIFO различной организации.<br />
2. В деталях рассмотрены три синтезируемые<br />
модели синхронных буферов FIFO, использующих<br />
для хранения данных три типа ресурсов<br />
кристалла: распределенную память,<br />
регистры общего назначения и блочную<br />
память.<br />
3. Проведен анализ преимуществ и недостатков<br />
рассмотренных моделей.<br />
4. Описанные модели реализованы в составе<br />
проектов ПЛИС серии Spartan-2<br />
и Spartan-6 фирмы Xilinx в составе адаптеров<br />
сопряжения интерфейсов для системной<br />
шины VME. n<br />
Литература<br />
1. Кузелин М. О., Кнышев Д. А., Зотов В. Ю.<br />
Современные семейства ПЛИС фирмы Xilinx.<br />
М.: Горячая линия – Телеком, 2004.<br />
2. Зотов В. Ю. Разработка VHDL-описаний цифровых<br />
устройств, проектируемых на основе ПЛИС<br />
фирмы Xilinx, с использованием шаблонов САПР<br />
ISE Design Suite // Компоненты и технологии.<br />
2011. № 8.<br />
3. Поляков А. К. Языки VHDL и Verilog в проектировании<br />
цифровой аппаратуры. М.: Солон-<br />
Пресс, 2003.<br />
4. Зотов В. Ю. Разработка VHDL-описаний цифровых<br />
устройств, проектируемых на основе ПЛИС<br />
фирмы Xilinx, с использованием шаблонов САПР<br />
ISE Design Suite // Компоненты и технологии.<br />
2011. № 12.<br />
5. Борисенко Н. В. Синтезируемая модель арбитра<br />
доступа к среде передачи данных // Компоненты<br />
и технологии. 2011. № 8.<br />
6. PCI Local Bus Specification. Revision 3.0. PCI<br />
Special Interest Group. 2002.<br />
7. Pong P. Chu. RTL Hardware Design Using VHDL:<br />
Coding for Efficiency, Portability, and Scalability.<br />
John Wiley & Sons, Inc., 2006.<br />
8. Угрюмов Е. П. Цифровая схемотехника. 2-е изд.<br />
СПб.: БХВ-Петербург, 2004.<br />
9. Memory Blocks in the Cyclone III Device Family. Cyclone<br />
III Device Handbook, Vol. 1. Altera Corporation, 2009.<br />
10. Using Block SelectRAM+ Memory in Spartan-II<br />
FPGAs. XAPP173 (v1.1) Xilinx, Inc., 2000.<br />
11. Memory Usage Guide for LatticeECP/EC and<br />
LatticeXP Devices. Technical Note TN1051. Lattice<br />
Semiconductor Corp., 2006.<br />
новости встраиваемые системы<br />
Встраиваемые платформы Kontron<br />
на 4-ядерных процессорах<br />
Intel Core i7 3-го поколения<br />
Компания Kontron объявили о выводе на рынок<br />
семи встраиваемых платформ на основе<br />
новейших 4-ядерных процессоров Intel Core i7<br />
3-го поколения: Intel Core i7-3615QE (4×2,3 ГГц,<br />
кэш 2-го уровня 6 Мбайт) и Intel Core i7-3612QE<br />
(4×2,1 ГГц, кэш 2-го уровня 6 Мбайт).<br />
На платформах в форматах 3U и 6U<br />
CompactPCI, 3U VPX, AdvancedMC, COM<br />
Express basic, Flex-ATX, Mini-ITX впервые реализованы<br />
преимущества нового поколения процессоров<br />
Intel — высшая производительность<br />
общих и графических вычислений, долговременная<br />
доступность и высокий уровень энергоэффективности.<br />
Новинки Kontron позволят<br />
OEM-производителям немедленно начать разработку<br />
и производство широкого спектра наиболее<br />
требовательных к производительности<br />
приложений.<br />
С такими платформами OEM-производители<br />
смогут создавать приложения с увеличенной вычислительной<br />
мощностью, высокой скоростью<br />
ввода/вывода и малой теплоотдачей. Линейка<br />
новых платформ соответствует современным<br />
требованиям к малогабаритным встраиваемым<br />
системам по размеру, массе и производительности<br />
(SWaP), что позволит разработчикам<br />
создавать компактные прикладные решения<br />
на основе продуктов в форматах COM Express,<br />
AdvancedMC и 3U VPX на 4-ядерных процессорах<br />
Intel.<br />
Процессоры Intel Core 3-го поколения получили<br />
новую интегрированную графическую<br />
подсистему Intel HD 4000, насчитывающую более<br />
16 исполнительных устройств, что на треть<br />
больше, чем у Intel Core 2-го поколения.<br />
Новейшие процессоры поддерживают три независимых<br />
цифровых графических интерфейса<br />
(в дополнение к VGA и LVDS). При этом каждый<br />
интерфейс можно настроить как DisplayPort,<br />
HDMI или DVI, что особенно удобно при создании<br />
сложных приложений для медицины,<br />
инфотеймента и промышленной автоматизации.<br />
Встроенный декодер MPEG-2 позволяет<br />
одновременно воспроизводить несколько HDвидеопотоков<br />
в высоком разрешении.<br />
Разработчики и OEM-производители графических<br />
приложений по достоинству оценят вдвое<br />
выросшую скорость обработки HD-мультимедиа<br />
и на 60% — 3D-графики. Благодаря поддержке<br />
процессорами новейших технологий Intel<br />
Flexible Display Interface (FDI), DirectX 11,<br />
OpenGL 3.1 и OpenCL 1.1, специалисты могут<br />
использовать современные API для сокращения<br />
сроков разработки целевой системы.<br />
Новые вычислительные платформы Kontron<br />
оснащаются двухканальной памятью DDR3 объемом<br />
до 16 Гбайт, несколькими портами Gigabit<br />
Ethernet, интерфейсом SATA, а также интерфейсами<br />
PCI Express 3.0 и USB 3.0, которые<br />
пригодятся для приложений с высокими требованиями<br />
к скорости ввода/вывода. Например,<br />
первый процессорный AdvancedMC модуль<br />
Kontron AM4022 подойдет для телекоммуникационных<br />
приложений на основе технологий<br />
MicroTCA или AdvancedTCA, которые могут<br />
быть предназначены для сетей LTE и LTE-<br />
Advanced, беспроводных базовых станций, тестирующих<br />
и управляющих систем проводных<br />
и беспроводных сетей и других приложений подобного<br />
рода. Среди первых продуктов Kontron<br />
на основе Intel Core 3-го поколения — 3U<br />
и 6U CompactPCI платы CP3003 и CP6004-SA,<br />
COM Express модули серии COMe-bIP#, VPXплата<br />
VX3044, материнские платы KTQ77/Flex<br />
и KTQM77/mITX.<br />
Помимо стандартных плат, модулей и систем,<br />
Kontron предлагает различные услуги по разработке<br />
и производству заказных решений на процессорах<br />
Intel Core 3-го поколения. Ассортимент услуг<br />
дополняется сервисами в области программных<br />
средств, в том числе по установке стандартных<br />
ОС и гипервизоров, а также поддержке миграции,<br />
включая проверку и тестирование. Цель предлагаемых<br />
Kontron услуг — предоставление заказчикам<br />
готовой базовой вычислительной платформы.<br />
Таким образом, заказчики экономят финансовые<br />
и кадровые ресурсы на разработке базовой платформы,<br />
концентрируясь на своей ключевой компетенции<br />
(прикладная система и т. д.).<br />
www.rtsoft.ru<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Реклама<br />
Реклама<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
79
80<br />
Введение<br />
компоненты ПЛИС<br />
Валерий ЗОТОВ<br />
walerry@km.ru<br />
В настоящее время новый тип элементной<br />
базы представлен семейством Zynq-7000<br />
[2–15], инженерные образцы которого стали<br />
доступны в середине 2012 года. Основу<br />
кристаллов этого семейства образует аппаратный<br />
двухъядерный процессорный блок<br />
с архитектурой ARM Cortex-A9, функциональные<br />
возможности которого дополнены<br />
ресурсами программируемой логики последнего<br />
поколения.<br />
В соответствии с традиционной концепцией<br />
вывода на рынок новых продуктов<br />
Target Design Platform (TDP) фирма Xilinx<br />
в прошлом году выпустила несколько инструментальных<br />
комплектов различного<br />
целевого назначения, которые включают<br />
в себя аппаратные отладочные модули, выполненные<br />
на базе кристаллов расширяемых<br />
вычислительных платформ семейства<br />
Zynq-7000. В статье представлена подробная<br />
информация о составе и возможностях<br />
инструментального комплекта Xilinx Zynq-<br />
7000 SoC ZC702 Evaluation Kit, позволяющая<br />
разработчикам оценить возможность<br />
его практического использования в процессе<br />
аппаратной отладки собственных<br />
проектов.<br />
Аппаратные средства<br />
разработки и отладки<br />
встраиваемых<br />
микропроцессорных систем,<br />
проектируемых на основе<br />
расширяемых вычислительных<br />
платформ фирмы Xilinx<br />
семейства Zynq-7000 AP SoC<br />
Одновременно с началом серийного производства кристаллов программируемой<br />
логики с архитектурой FPGA (Field Programmable Gate Array)<br />
серий Artix-7, Kintex-7 и Virtex-7 [1] фирма xilinx выпустила экспериментальные<br />
образцы элементов принципиально нового типа, которые первоначально<br />
получили название «расширяемые вычислительные платформы»<br />
(Extensible Processing Platform, EPP). В дальнейшем для этих компонентов<br />
было предложено новое название — «программируемые системы на кристалле»<br />
(All Programmable System-on-Chip, AP SoC).<br />
Назначение и состав<br />
щий возможность гибкой коммутации внеш-<br />
инструментального<br />
них аналоговых сигналов к соответствующим<br />
комплекта xilinx Zynq-7000 SoC входам аналого-цифрового блока XADC<br />
ZC702 Evaluation Kit<br />
расширяемой вычислительной платформы<br />
основного инструментального модуля.<br />
Инструментальный комплект Xilinx Zynq- • USB Flash-накопитель, содержащий<br />
7000 SoC ZC702 Evaluation Kit предназначен все необходимые программные средства,<br />
для практического изучения функциональ- образцовые и демонстрационные проекты,<br />
ных возможностей расширяемых вычис- предназначенные для эффективного освоелительных<br />
платформ семейства Zynq-7000 ния маршрута проектирования встраивае-<br />
и маршрута проектирования высокопроизмых микропроцессорных систем и наглядводительных<br />
встраиваемых микропроцесно поясняющие практическое использовасорных<br />
систем на их основе. Кроме того, этот ние кристаллов семейства Zynq-7000.<br />
комплект можно применять для отладки ап- • Карта памяти стандарта SD (Secure Digital),<br />
паратной части и прикладного программно- включающая загрузочную версию операго<br />
обеспечения разрабатываемых систем. ционной системы Linux OS и исполняемый<br />
В составе инструментального комплек- код программного обеспечения образцота<br />
Xilinx Zynq-7000 SoC ZC702 Evaluation Kit вого проекта.<br />
представлены следующие аппаратные и про- • Набор соединительных кабелей для инграммные<br />
средства:<br />
терфейсов, реализуемых на базе основного<br />
• Плата основного инструментального мо- инструментального модуля, который по-<br />
дуля Xilinx Zynq-7000 SoC ZC702 Evaluation зволяет выполнять комплексную отладку<br />
Board, предоставляющая возможность разрабатываемых встраиваемых микропро-<br />
реализации аппаратной части и отладки цессорных систем совместно с внешними<br />
прикладного программного обеспечения устройствами.<br />
проектируемых встраиваемых микропро- • Сетевой адаптер, вырабатывающий поцессорных<br />
систем.<br />
стоянное напряжение 12 В, применяемый<br />
• Дополнительный модуль AMS101 (Analog в качестве первичного источника питания<br />
Mixed Signal Evaluation Card), обеспечиваю- основного отладочного модуля.<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
компоненты<br />
• Диск DVD-ROM, содержащий новую различного уровня сложности под управлефейсов 10/100/1000 Ethernet, HDMI (Highполнофункциональную<br />
версию системы нием операционной системы Linux OS. Definition Multimedia Interface) и USB 2.0.<br />
проектирования ISE Design Suite [16] в ре- • Наличие элемента Flash ППЗУ с интер- • Поддержка высокоскоростного интерфейса<br />
дакции Embedded Edition (с лицензией для фейсом Quad-SPI (QSPI), который может CAN (Controller Area Network), позволяю-<br />
кристалла Zynq-7000 XC7Z020 CLG484-1). выполнять функции хранения конфигущая эффективно использовать инстру-<br />
С подробной документацией на рассмарационной информации кристалла расментальный модуль в процессе разработки<br />
триваемый инструментальный комплект, ширяемой вычислительной платформы и отладки автомобильных и промышлен-<br />
включающей в себя руководство пользова- и энергонезависимой памяти данных ных встраиваемых систем.<br />
теля ZC702 Evaluation Board for the Zynq-7000 в проектируемых системах.<br />
• Применение комплексной программируе-<br />
XC7Z020 All Programmable SoC User Guide • Поддержка интерфейса SDIO (Secure мой системы формирования тактовых сиг-<br />
и инструкцию по установке программных Digital Input/Output), предоставляющего налов, обеспечивающей возможность гене-<br />
средств и выполнению образцового проекта возможность непосредственного подклюрации высокочастотных дифференциальных<br />
Zynq-7000 All Programmable SoC ZC702 Base чения к инструментальному модулю карт и однополюсных сигналов синхронизации<br />
Targeted Reference Design User Guide, можно памяти стандарта SD, содержащих загру- в широком диапазоне частот для различных<br />
также ознакомиться на web-странице фирзочный код операционной системы Linux элементов инструментального модуля.<br />
мы Xilinx.<br />
OS и исполняемый код прикладного про- • Присутствие на плате инструментального<br />
граммного обеспечения.<br />
модуля двух разъемов расширения FMC<br />
Особенности инструментального • Включение в структуру отладочной платы Low Pin Count (LPC), соответствующих<br />
модуля xilinx Zynq-7000 SoC<br />
интегрированной схемы загрузочного ка- спецификации ANSI/VITA 57.1, позволяет<br />
ZC702 Evaluation Board<br />
беля, позволяющей выполнять операции легко адаптировать ее для отладки встраи-<br />
записи конфигурационной последовательваемых микропроцессорных систем раз-<br />
Основу отладочного комплекта Xilinx ности в кристалл и обратного считывания личного целевого назначения с помощью<br />
Zynq-7000 SoC ZC702 Evaluation Kit образует данных, а также внутрикристальную отлад- дополнительных специализированных<br />
инструментальный модуль Xilinx Zynq-7000 ку разрабатываемых микропроцессорных модулей мезонинного типа, выпускаемых<br />
SoC ZC702 Evaluation Board, функциональ- систем с применением комплекса средств различными производителями.<br />
ные возможности которого имеют следую- ChipScope Pro [17] с помощью стандартно-<br />
2 • Использование интерфейса I C для управщие<br />
особенности:<br />
го кабеля USB-интерфейса, существенно ления режимами функционирования от-<br />
• Использование в качестве главного ком- сокращает стоимость инструментального дельных элементов отладочного модуля<br />
понента отладочного модуля кристалла комплекта.<br />
и модулей расширения.<br />
расширяемой вычислительной платфор- • Гибкая схема конфигурирования рас- • Наличие светодиодных элементов индикамы<br />
семейства Zynq-7000, обладающе- ширяемой вычислительной платформы, ции и переключателей различного типа, сого<br />
значительным объемом логических обеспечивающая возможность выбора испряженных с пользовательскими вывода-<br />
и специализированных ресурсов в сочеточников загрузки конфигурационной поми кристалла семейства Zynq-7000, обеспетании<br />
с высоким быстродействием, созследовательности в кристалл, а также причивает возможность визуального контроля<br />
дает необходимые предпосылки для реанудительного реконфигурирования в про- и оперативного управления в процессе<br />
лизации и аппаратной отладки проектов цессе отладки разрабатываемой системы. отладки аппаратной части и прикладного<br />
встраиваемых микропроцессорных систем • Наличие в составе инструментального программного обеспечения встраиваемых<br />
с конфигурируемой архитектурой.<br />
модуля всех необходимых элементов, микропроцессорных систем.<br />
• Присутствие высокоскоростного синхронно- обеспечивающих возможность полно- • Применение комплексной схемы управго<br />
динамического ОЗУ SDRAM с интерфейфункциональной реализации в составе ления питанием на основе контроллеров<br />
сом DDR3 обеспечивает возможность отлад- проектируемых встраиваемых микропро- и интегральных стабилизаторов импульски<br />
прикладного программного обеспечения цессорных систем контроллеров интерного и линейного типов, осуществляющей<br />
Рис. 1. Внешний вид инструментального модуля<br />
Xilinx Zynq-7000 SoC ZC702 Evaluation Board<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
ПЛИС<br />
Рис. 2. Структурное представление архитектуры отладочного модуля<br />
Xilinx Zynq-7000 SoC ZC702 Evaluation Board<br />
81
82<br />
компоненты ПЛИС<br />
функции формирования и мониторинга<br />
уровней напряжений, необходимых для<br />
питания всех компонентов модуля, обеспечивает<br />
требуемую последовательность<br />
включения источников питания различных<br />
ресурсов расширяемой вычислительной<br />
платформы семейства Zynq-7000.<br />
• Поддержка инструментального модуля последними<br />
версиями системы проектирования<br />
и конфигурирования кристаллов программируемой<br />
логики и расширяемых вычислительных<br />
платформ фирмы Xilinx ISE<br />
Design Suite (начиная с версии 14.1).<br />
Архитектура инструментального<br />
модуля xilinx Zynq-7000 SoC<br />
ZC702 Evaluation Board<br />
Внешний вид инструментального модуля<br />
Xilinx Zynq-7000 SoC ZC702 Evaluation Board<br />
приведен на рис. 1.<br />
Структурное представление архитектуры<br />
этого отладочного модуля показано на рис. 2.<br />
В состав архитектуры инструментального<br />
модуля Xilinx Zynq-7000 SoC ZC702 Evaluation<br />
Board входят следующие функциональные<br />
блоки:<br />
• Кристалл расширяемой вычислительной<br />
платформы семейства Zynq-7000, предназначенный<br />
для реализации отлаживаемых<br />
встраиваемых микропроцессорных систем.<br />
• Блок оперативной памяти емкостью 1 Гбайт.<br />
• Элемент перепрограммируемого запоминающего<br />
устройства емкостью 128 Мбайт.<br />
• Интерфейсный блок.<br />
• Схема конфигурирования программируемой<br />
логики расширяемой вычислительной<br />
платформы.<br />
• Программируемый блок формирования<br />
тактовых сигналов.<br />
• Элементы управления и индикации.<br />
• Два разъема расширения, соответствующих<br />
спецификации стандарта FMC LPC.<br />
• Два разъема, отвечающих требованиям<br />
спецификации PMOD, которые позволяют<br />
подключать различные периферийные<br />
модули компании Digilent.<br />
• Разъем для подключения внешних сигналов<br />
к встроенному аналого-цифровому<br />
блоку XADC кристалла расширяемой вычислительной<br />
платформы.<br />
• Часы реального времени.<br />
• Комплексная схема формирования и контроля<br />
питающих напряжений.<br />
Основообразующим компонентом рассматриваемого<br />
отладочного модуля является<br />
кристалл расширяемой вычислительной<br />
платформы XC7Z020 в корпусе CLG484, архитектура<br />
которого представлена на рис. 3.<br />
Структурно архитектура этого кристалла<br />
разделена на две части — процессорную систему<br />
Processing System (PS) и программируемую<br />
логику Programmable Logic (PL).<br />
Процессорная система выполнена на базе<br />
аппаратного блока, в состав которого входят<br />
два ядра ARM Cortex-A9 MPCore с рас-<br />
Рис. 3. Структурное представление архитектуры программируемой системы на кристалле XC7Z020<br />
ширением NEON и поддержкой выполнения<br />
операций с плавающей запятой с обычной<br />
и двойной точностью. Максимальное значение<br />
тактовой частоты каждого микропроцессорного<br />
ядра кристалла XC7Z020, установленного<br />
в инструментальном модуле Xilinx<br />
Zynq-7000 SoC ZC702 Evaluation Board, составляет<br />
667 МГц. В состав процессорной<br />
системы входит кэш-память первого и второго<br />
уровня емкостью 32 и 512 кбайт соответственно,<br />
а также ППЗУ Boot ROM, содержащее<br />
загрузчик операционной системы<br />
Linux OS, и внутрикристальное ОЗУ On-Chip<br />
RAM (OCM) объемом 256 кбайт.<br />
Кроме того, в архитектуре процессорной<br />
системы предусмотрен контроллер внешней<br />
высокоскоростной оперативной динамической<br />
памяти, поддерживающий специфика-<br />
Рис. 4. Схема подключения элемента последовательной Flash-памяти<br />
в инструментальном модуле Xilinx Zynq-7000 SoC ZC702 Evaluation Board<br />
ции DDR2, DDR3, LPDDR2, и интерфейс статической<br />
памяти с поддержкой SRAM, Parallel<br />
NOR Flash, NAND Flash и SPI/Quad-SPI Serial<br />
NOR Flash. Восьмиканальный контроллер<br />
прямого доступа к памяти (DMA) обеспечивает<br />
осуществление высокоскоростных<br />
транзакций между ячейками памяти, а также<br />
между периферийными блоками и памятью.<br />
Периферия ввода/вывода процессорной системы<br />
включает в себя интерфейсы UART,<br />
CAN 2.0B, I 2 C, SPI, USB 2.0, Tri-mode Gigabit<br />
Ethernet, SD/SDIO, каждый из которых представлен<br />
дважды.<br />
Программируемая логика кристалла<br />
XC7Z020 по составу ресурсов близка к ПЛИС<br />
серии Artix-7 [8–15]. Вариант программируемой<br />
системы на кристалле, применяемый в инструментальном<br />
модуле Xilinx Zynq-7000 SoC<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Рис. 5. Схема организации интерфейса USB 2.0 в инструментальном модуле Xilinx Zynq-7000 SoC ZC702 Evaluation Board<br />
ZC702 Evaluation Board, содержит 87 040 логических<br />
ячеек Logic Cells, 140 модулей блочной<br />
памяти Block RAM суммарной емкостью<br />
560 кбайт, 220 аппаратных секций цифровой<br />
обработки сигналов DSP48E1 и один аналогоцифровой<br />
блок XADC. Совокупность перечисленных<br />
ресурсов программируемой логики<br />
предоставляет возможность реализации<br />
на ее основе нестандартных периферийных<br />
устройств и конфигурируемых специализированных<br />
высокоскоростных сопроцессоров для<br />
процессорной системы, расширяя тем самым<br />
функциональные возможности и производительность<br />
последней. Взаимодействие процессорной<br />
системы и программируемой логики<br />
осуществляется через порты интерфейса AXI.<br />
Блок оперативной памяти рассматриваемого<br />
отладочного модуля образуют четыре<br />
микросхемы синхронной динамической памяти<br />
SDRAM MT41J256M8HX-15E, выпускаемые<br />
компанией Micron Technology. Каждая<br />
из этих микросхем представляет собой высокоскоростное<br />
ОЗУ с интерфейсом DDR3<br />
и организацией 256 Мбит 8. Эти микросхемы<br />
подключены к соответствующим выводам<br />
процессорной платформы, которые относятся<br />
к банку ввода/вывода 502 (Bank 502).<br />
В качестве элемента последовательного<br />
Flash ППЗУ с интерфейсом Quad-SPI используется<br />
микросхема N25Q128A13ESF40F фирмы<br />
Numonyx (в настоящее время входящей<br />
в состав компании Micron Technology), информационная<br />
емкость которой составляет<br />
128 Мбайт. Этот элемент перепрограммируемого<br />
запоминающего устройства поддерживает<br />
три режима работы — Single, Dual и Quad.<br />
компоненты<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
ПЛИС<br />
Указанный элемент Flash ППЗУ можно использовать<br />
для хранения конфигурационной<br />
информации кристалла расширяемой вычислительной<br />
платформы XC7Z020 и/или применять<br />
в качестве энергонезависимой памяти<br />
разрабатываемых встраиваемых микропроцессорных<br />
систем. Схема подключения элемента<br />
последовательной Flash-памяти в инструментальном<br />
модуле Xilinx Zynq-7000 SoC<br />
ZC702 Evaluation Board изображена на рис. 4.<br />
Интерфейсный блок рассматриваемого отладочного<br />
модуля обеспечивает разработчикам<br />
возможность реализации и аппаратной<br />
отладки проектируемых микропроцессорных<br />
систем, использующих интерфейсы USB 2.0,<br />
CAN, 10/100/1000 Ethernet, SD/SDIO, HDMI,<br />
UART и I 2 C. На плате инструментального модуля<br />
Xilinx Zynq-7000 SoC ZC702 Evaluation<br />
Board установлены разъемы соответствующих<br />
портов и дополнительные элементы,<br />
необходимые для полнофункциональной<br />
реализации этих интерфейсов.<br />
Контроллер интерфейса USB 2.0 выполнен<br />
на базе соответствующего периферийного<br />
устройства процессорной системы кристалла<br />
расширяемой вычислительной платформы<br />
XC7Z020, который может функционировать<br />
в режимах Host, Device или OTG<br />
(On-The-Go). Для реализации физического<br />
уровня этого интерфейса применяется микросхема<br />
USB3320, выпускаемая корпорацией<br />
Standard Microsystems, которая представляет<br />
собой приемопередатчик, поддерживающий<br />
протокол ULPI (UTMI + Low Pin Interface).<br />
Схема организации интерфейса USB 2.0 в инструментальном<br />
модуле Xilinx Zynq-7000 SoC<br />
Рис. 6. Схема организации CAN-интерфейса в инструментальном модуле Xilinx Zynq-7000 SoC ZC702 Evaluation Board<br />
83
84<br />
компоненты ПЛИС<br />
Рис. 7. Схема интерфейса SDIO инструментального модуля Xilinx Zynq-7000 SoC ZC702 Evaluation Board<br />
Рис. 8. Схема сопряжения HDMI-кодека с кристаллом XC7Z020<br />
в отладочном модуле Xilinx Zynq-7000 EPP ZC702 Evaluation Board<br />
ZC702 Evaluation Board приведена на рис. 5.<br />
Выбор режима функционирования интерфейса<br />
USB 2.0 ULPI осуществляется с помощью<br />
коммутационных перемычек.<br />
Схема реализации высокоскоростного<br />
CAN-интерфейса в рассматриваемом отладочном<br />
модуле приведена на рис. 6. В ее состав<br />
входит контроллер указанного интерфейса,<br />
двунаправленная схема преобразования<br />
уровней сигналов и соответствующий приемопередатчик.<br />
Контроллер интерфейса CAN<br />
выполнен на основе соответствующих периферийных<br />
ресурсов процессорной системы<br />
кристалла XC7Z020, которые полностью от-<br />
вечают требованиям стандартов ISO 11898-1,<br />
CAN 2.0A и CAN 2.0B. Функции схемы преобразования<br />
уровней сигналов выполняет микросхема<br />
TXS0104E, выпускаемая компанией<br />
Texas Instruments. В качестве высокоскоростного<br />
приемопередатчика CAN-интерфейса<br />
используется микросхема TJA01040 компании<br />
NXP Semiconductors, которая поддерживает<br />
дифференциальную форму представления<br />
сигналов, предусмотренную спецификацией<br />
международного стандарта ISO 11898.<br />
Для организации интерфейса Ethernet<br />
10/100/1000 в инструментальном модуле<br />
Xilinx Zynq-7000 SoC ZC702 Evaluation<br />
Board задействован соответствующий контроллер,<br />
входящий в состав периферии процессорной<br />
системы кристалла XC7Z020, который<br />
поддерживает режим RGMII (Reduced<br />
Gigabit Media Independent Interface). Этот<br />
контроллер обеспечивает реализацию MACуровня<br />
интерфейса Ethernet в соответствии<br />
со спецификацией стандарта IEEE 802.3-2008.<br />
Для сопряжения с физическим уровнем<br />
интерфейса Ethernet 10/100/1000 применяется<br />
микросхема M88E1111 (Alaska Gigabit<br />
Ethernet Transceivers), выпускаемая компанией<br />
Marvell.<br />
Интерфейс SDIO в инструментальном модуле<br />
Xilinx Zynq-7000 SoC ZC702 Evaluation<br />
Board выполнен на основе периферийного<br />
контроллера SD/SDIO процессорной системы<br />
кристалла расширяемой вычислительной<br />
платформы XC7Z020 и микросхемы<br />
TXB02612 компании Texas Instruments. Эта<br />
микросхема осуществляет функции расширителя<br />
интерфейса и двунаправленного<br />
преобразователя уровней сигналов. Схема<br />
интерфейса SDIO, предоставляющего возможность<br />
подключения карт памяти формата<br />
SD в инструментальном модуле Xilinx<br />
Zynq-7000 EPP ZC702 Evaluation Board, представлена<br />
на рис. 7.<br />
Для реализации порта HDMI-интерфейса<br />
в рассматриваемом отладочном модуле применяется<br />
аппаратный кодек, выполненный<br />
на основе микросхемы ADV7511KSTZ-P компании<br />
Analog Devices. Эта микросхема обеспечивает<br />
возможность вывода видеоизображения<br />
с высоким разрешением 19201080,<br />
соответствующим формату Full HD 1080P.<br />
Функции управления режимами работы аппаратного<br />
кодека ADV7511KSTZ-P осуществляются<br />
через шину интерфейса I 2 C. Схема<br />
подключения HDMI-кодека к программируемой<br />
системе на кристалле XC7Z020 в инструментальном<br />
модуле Xilinx Zynq-7000 EPP<br />
ZC702 Evaluation Board приведена на рис. 8.<br />
В качестве интерфейсного моста USB-<br />
UART, обеспечивающего возможность организации<br />
взаимодействия отлаживаемых<br />
встраиваемых микропроцессорных систем<br />
с внешним компьютером через виртуальный<br />
последовательный COM-порт, применяется<br />
микросхема CP2103GM, выпускаемая<br />
компанией Silicon Labs. При этом блок последовательного<br />
асинхронного приемопере-<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Рис. 9. Топология шин интерфейса I 2 C в отладочном модуле Xilinx Zynq-7000 SoC ZC702 Evaluation Board<br />
датчика UART конфигурируется на базе соответствующего<br />
периферийного устройства<br />
процессорной системы кристалла расширяемой<br />
вычислительной платформы XC7Z020.<br />
Для работы с виртуальным последовательным<br />
портом в среде операционной системы<br />
Windows предусмотрен драйвер Virtual COM<br />
Port (VCP) driver, предоставляемый компанией<br />
Silicon Labs. Сопряжение инструментального<br />
модуля с компьютером посредством<br />
интерфейсного моста USB-UART с использованием<br />
виртуального COM-порта осуществляется<br />
через отдельный разъем порта USB<br />
типа Type Mini-B.<br />
Мультиплексируемая шина интерфейса<br />
I 2 C в инструментальном модуле Xilinx<br />
Zynq-7000 EPP ZC702 Evaluation Board используется<br />
для взаимодействия кристалла<br />
расширяемой вычислительной платформы<br />
с различными элементами, установленными<br />
на отладочной плате и в подключаемых модулях<br />
расширения, а также для управления<br />
режимами их функционирования. Через эту<br />
шину осуществляется передача информации<br />
между кристаллом XC7Z020 и часами реального<br />
времени, а также элементом EEPROM<br />
ППЗУ M24C08 с информационной емкостью<br />
1 кбайт. Кроме того, интерфейс I 2 C применяется<br />
для установки требуемых режимов<br />
работы HDMI-кодека, программируемого<br />
генератора тактовых сигналов, модулей расширения,<br />
подключаемых к разъемам FMC<br />
LPC и PMOD, и мониторинга уровней напряжений<br />
питания и потребляемого тока<br />
расширяемой вычислительной платформы.<br />
Топология мультиплексируемой шины интерфейса<br />
I 2 C в рассматриваемом инструментальном<br />
модуле показана на рис. 9.<br />
Контроллер интерфейса I 2 C выполнен<br />
на базе соответствующей периферии кристалла<br />
XC7Z020. Мультиплексирование шин<br />
интерфейса I 2 C производится восьмиканальным<br />
коммутатором PCA9548 компании NXP<br />
Semiconductor. Для расширения этого интерфейса<br />
в отладочном модуле Xilinx Zynq-7000<br />
SoC ZC702 Evaluation Board предусмотрена<br />
схема, приведенная на рис. 10. Основу этой<br />
схемы образует 16-разрядный расширитель<br />
интерфейса I 2 C и SMBus, реализованный<br />
компоненты<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
ПЛИС<br />
на базе микросхемы TCA6416APWR компании<br />
Texas Instruments.<br />
Схема конфигурирования программируемой<br />
логики кристалла расширяемой вычислительной<br />
платформы инструментального<br />
модуля Xilinx Zynq-7000 EPP ZC702 Evaluation<br />
Board представлена на рис. 11. В ее состав<br />
входят два разъема порта JTAG-интерфейса<br />
(14- и 20-контактный), интегрированная<br />
схема загрузочного кабеля, DIPпереключатель<br />
и кнопка принудительного<br />
реконфигурирования кристалла XC7Z020.<br />
Стандартный 14-контактный разъем порта<br />
JTAG-интерфейса предназначен для подключения<br />
универсальных загрузочных кабелей,<br />
выпускаемых фирмой Xilinx. Этот разъем<br />
предоставляет возможность конфигурирования<br />
программируемой логики кристалла<br />
XC7Z020 рассматриваемого отладочного<br />
модуля, программирования элемента Flashпамяти,<br />
а также осуществления операций<br />
периферийного сканирования и внутрикристальной<br />
отладки встраиваемых микропроцессорных<br />
систем с помощью загрузочных<br />
кабелей Platform Cable USB II и Parallel<br />
Cable IV (PC IV).<br />
Интегрированная схема загрузочного кабеля,<br />
конструктивно реализованная в виде<br />
85<br />
субмодуля компании Digilent, выполняющего<br />
функции интерфейсного моста USB-JTAG,<br />
позволяет осуществлять перечисленные<br />
выше операции с помощью стандартного кабеля<br />
USB-интерфейса (Type A – Type mini-B).<br />
DIP-переключатель используется для выбора<br />
одного из трех источников загрузки конфигурационных<br />
данных.<br />
Кнопка принудительного реконфигурирования<br />
программируемой логики расширяемой<br />
вычислительной платформы<br />
предоставляет пользователю возможность<br />
осуществления загрузки конфигурационной<br />
последовательности отлаживаемого проекта<br />
в кристалл XC7Z020 в любой момент. При<br />
этом процессорная система этого кристалла<br />
продолжает функционировать в текущем<br />
режиме.<br />
Программируемый блок формирования<br />
тактовых сигналов инструментального модуля<br />
Xilinx Zynq-7000 SoC ZC702 Evaluation<br />
Board включает в себя следующие элементы:<br />
• генератор дифференциального сигнала<br />
синхронизации с фиксированным значением<br />
частоты 200 МГц;<br />
• программируемый широкодиапазонный<br />
генератор дифференциальных тактовых<br />
сигналов;<br />
Рис. 10. Схема расширения интерфейса I 2 C в отладочном модуле Xilinx Zynq-7000 SoC ZC702 Evaluation Board
86<br />
компоненты ПЛИС<br />
Рис. 11. Схема конфигурирования программируемой логики<br />
инструментального модуля Xilinx Zynq-7000 SoC ZC702 Evaluation Board<br />
• генератор внешнего сигнала синхронизации<br />
для процессорной системы расширяемой<br />
вычислительной платформы.<br />
Генератор дифференциального сигнала<br />
синхронизации с фиксированным значением<br />
частоты формирует системный тактовый<br />
сигнал для программируемой логики кристалла<br />
XC7Z020, соответствующий спецификации<br />
стандарта LVDS. Для реализации<br />
этого генератора используется микросхема<br />
SiT9102AI, выпускаемая компанией Si Time.<br />
Программируемый генератор дифференциальных<br />
тактовых сигналов вырабатывает<br />
сигналы синхронизации в соответствии<br />
со спецификацией стандарта LVDS, характеризующиеся<br />
низким уровнем джиттера,<br />
значение частоты которых может изменяться<br />
пользователем в диапазоне от 10 до 810 МГц.<br />
Этот генератор выполнен на базе микросхемы<br />
Si570 компании Silicon Labs. Установка<br />
требуемого значения частоты формируемых<br />
сигналов в этой микросхеме осуществляется<br />
с помощью интерфейса I2C. При включении<br />
напряжения питания микросхема генерирует<br />
дифференциальный тактовый сигнал<br />
с частотой 156,250 МГц. Схемы реализации<br />
генератора дифференциального сигнала синхронизации<br />
с фиксированным значением частоты<br />
и программируемого генератора дифференциальных<br />
тактовых сигналов в рассматриваемом<br />
отладочном модуле не отличаются<br />
от схем аналогичных блоков инструменталь-<br />
Рис. 12. Схема генератора внешнего сигнала синхронизации<br />
для процессорной системы кристалла XC7Z020<br />
ного модуля Xilinx KC705 Evaluation Board,<br />
рассмотренного в [18].<br />
Функции генератора внешнего сигнала<br />
синхронизации для процессорной системы<br />
расширяемой вычислительной платформы<br />
выполняет микросхема SiT8103AC компании<br />
Si Time, формирующая сигнал с частотой<br />
33,33333 МГц. Схема включения этого генератора<br />
изображена на рис. 12.<br />
Блок элементов управления и индикации<br />
инструментального модуля Xilinx Zynq-7000<br />
SoC ZC702 Evaluation Board образуют кнопочные<br />
переключатели, двухпозиционные<br />
DIP-переключатели и светодиодные индикаторы,<br />
сопряженные с пользовательскими выводами<br />
программируемой логики и процессорной<br />
системы расширяемой вычислительной<br />
платформы. Переключатели различного<br />
типа позволяют разработчику осуществлять<br />
операции сброса, инициализации, изменения<br />
режимов работы отдельных блоков<br />
встраиваемых микропроцессорных систем,<br />
а также управлять процессом их отладки.<br />
Светодиодные индикаторы служат для визуального<br />
контроля функционирования разрабатываемых<br />
систем и процесса отладки.<br />
Разъемы расширения FMC LPC, соответствующие<br />
спецификации стандарта<br />
ANSI/VITA 57.1, предназначены для подключения<br />
модулей мезонинного типа, которые<br />
позволяют дополнить периферию<br />
расширяемой вычислительной платформы<br />
для реализации встраиваемой микропроцессорной<br />
системы определенного целевого<br />
назначения. Например, для отладки высокопроизводительных<br />
систем цифровой обработки<br />
сигналов могут применяться модули<br />
расширения FMC, выполняющие операции<br />
высокоскоростного аналого-цифрового<br />
и цифро-аналогового преобразования сигналов,<br />
основные характеристики которых рассмотрены<br />
в [19].<br />
Дополнительные возможности расширения<br />
периферии кристалла XC7Z020 предоставляют<br />
разъемы, удовлетворяющие требованиям<br />
спецификации PMOD, которые позволяют<br />
подключать модули компании Digilent, выполняющие<br />
различные функции. В настоящее<br />
время эту спецификацию поддерживают<br />
и другие производители. В частности, компания<br />
Maxim выпустила комплект, включающий<br />
в себя 15 периферийных модулей, совместимых<br />
с разъемами расширения PMOD.<br />
Разъем для подключения внешних сигналов<br />
к аналого-цифровому блоку XADC<br />
расширяемой вычислительной платформы<br />
предоставляет доступ к встроенному двухканальному<br />
12-разрядному АЦП с мультиплексируемыми<br />
входами и встроенному датчику<br />
температуры, а также возможность подачи<br />
напряжения питания и опорного напряжения<br />
для этого блока. Разъем поддерживает прямое<br />
присоединение дополнительного модуля<br />
коммутации аналоговых сигналов AMS101<br />
Evaluation Card, который входит в состав инструментального<br />
комплекта Xilinx Zynq-7000<br />
SoC ZC702 Evaluation Kit.<br />
Часы реального времени реализованы<br />
на базе микросхемы RTC-8564JE компании<br />
Epson Electronics. Эта микросхема поддерживает<br />
в полном объеме функции календаря,<br />
предоставляя информацию о текущем годе,<br />
месяце, числе, дне недели, часах, минутах и секундах.<br />
Взаимодействие часов реального времени<br />
с процессорной системой расширяемой<br />
вычислительной платформы осуществляется<br />
через шину интерфейса I 2 C. Схема часов<br />
реального времени, используемых в составе<br />
отладочного модуля Xilinx Zynq-7000 SoC<br />
ZC702 Evaluation Board, приведена на рис. 13.<br />
В состав комплексной схемы формирования<br />
и контроля питающих напряжений входят<br />
интегральные стабилизаторы импульс-<br />
Рис. 13. Схема часов реального времени, используемых<br />
в инструментальном модуле Xilinx Zynq-7000 SoC ZC702 Evaluation Board<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Рис. 14. Структурная схема узла питания инструментального модуля<br />
Xilinx Zynq-7000 SoC ZC702 Evaluation Board<br />
ного и линейного типа, выпускаемые компанией Texas Instruments.<br />
Для управления работой импульсных стабилизаторов, а также мониторинга<br />
уровней напряжений и потребляемого тока в составе этой<br />
схемы предусмотрены контроллеры этой же компании. Интегральные<br />
стабилизаторы вырабатывают напряжения 3,3; 2,5; 1,8; 1,5; 1 и 0,75 В,<br />
которые необходимы для питания и согласования различных компонентов<br />
рассматриваемого отладочного модуля. Первичным источником<br />
для большинства интегральных стабилизаторов является постоянное<br />
напряжение 12 В, формируемое сетевым адаптером, который<br />
входит в состав инструментального комплекта Xilinx Zynq-7000 SoC<br />
ZC702 Evaluation Kit. Контроллеры, используемые в составе узла питания,<br />
обеспечивают возможность управления и мониторинга выходных<br />
уровней напряжений и токов через интерфейс PMBus (Power<br />
Management Bus) с помощью программных средств Fusion Digital Power<br />
Designer компании Texas Instruments. Структура комплексной схемы<br />
компоненты<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
ПЛИС<br />
формирования и контроля питающих напряжений отладочного модуля<br />
Xilinx Zynq-7000 SoC ZC702 Evaluation Board показана на рис. 14.<br />
Более подробная информация по работе с инструментальным<br />
модулем Xilinx Zynq-7000 SoC ZC702 Evaluation Board представлена<br />
в [20, 21].<br />
Назначение и краткая характеристика<br />
коммутационного модуля аналоговых сигналов<br />
AMS101 Evaluation Card<br />
Коммутационный модуль AMS101 Evaluation Card, входящий<br />
в состав инструментального комплекта Xilinx Zynq-7000 SoC ZC702<br />
Evaluation Kit, является новой модификацией модуля расширения AMS<br />
Evaluation Card, который был представлен в [18]. Этот коммутационный<br />
модуль предназначен для подключения внешних аналоговых<br />
сигналов к соответствующим входам аналого-цифровых преобразователей<br />
и тестирования блока XADC расширяемой вычислительной<br />
платформы, установленной на основной отладочной плате. Модуль<br />
AMS101 Evaluation Card отличается от модуля расширения AMS<br />
Evaluation Card более компактным исполнением и возможностью прямого<br />
присоединения к отладочной плате Xilinx Zynq-7000 SoC ZC702<br />
Evaluation Board без использования шлейфа. Внешний вид коммутационного<br />
модуля AMS101 Evaluation Card приведен на рис. 15.<br />
На плате этого модуля есть следующие элементы:<br />
• Набор коммутационных перемычек, предоставляющих возможность<br />
выбора источника внешних аналоговых сигналов,<br />
подаваемых на входы аналого-цифрового блока XADC кристалла<br />
XC7Z020.<br />
• 16-разрядный двухканальный цифро-аналоговый преобразователь<br />
(ЦАП), формирующий аналоговые тестовые сигналы для блока<br />
XADC.<br />
• Операционный усилитель, выполняющий функции буферизации<br />
опорного сигнала.<br />
• Схема согласования уровней сигналов интерфейса управления<br />
ЦАП.<br />
• 20-контактный разъем сопряжения с основным отладочным модулем<br />
Xilinx Zynq-7000 SoC ZC702 Evaluation Board.<br />
• Разъемы, предназначенные для подключения внешних аналоговых<br />
сигналов.<br />
Структурная схема коммутационного модуля AMS101 Evaluation<br />
Card изображена на рис. 16. Двухканальный ЦАП реализован на базе<br />
микросхемы AD5065, выпускаемой компанией Analog Devices. В качестве<br />
операционного усилителя опорного сигнала используется микросхема<br />
AD8033 этой же компании. Схема согласования уровней сигна-<br />
Рис. 15. Внешний вид коммутационного модуля AMS101 Evaluation Card Рис. 16. Структурная схема коммутационного модуля AMS101 Evaluation Card<br />
87
88<br />
Реклама<br />
компоненты ПЛИС<br />
Рис. 17. Основное окно программных средств AMS101 Evaluator Tool<br />
лов интерфейса управления ЦАП выполнена<br />
на основе микросхем SN74LVC2T45DCT.<br />
Для установки параметров функционирования<br />
аналого-цифрового блока XADC<br />
расширяемой вычислительной платформы<br />
и оценки основных характеристик этого<br />
блока с применением коммутационного<br />
модуля в составе инструментального комплекта<br />
Xilinx Zynq-7000 SoC ZC702 Evaluation<br />
Kit предусмотрены программные средства<br />
AMS101 Evaluator Tool. Эти программные<br />
средства функционируют под управлением<br />
системы LabVIEW компании National<br />
Instruments. С помощью этих средств можно<br />
визуально оценить сигналы на выходах<br />
аналого-цифрового блока XADC, а также<br />
выполнить их обработку во временной<br />
или частотной области. На рис. 17 показан<br />
вид основного окна программных средств<br />
AMS101 Evaluator Tool, в котором отображаются<br />
результаты аналого-цифрового преобразования<br />
сигналов, поступающих на входы<br />
коммутационного модуля.<br />
Кроме того, эти программные средства<br />
позволяют контролировать температуру<br />
кристалла расширяемой вычислительной<br />
платформы основного инструментального<br />
модуля и осуществлять мониторинг уровней<br />
напряжений питания с помощью встроенных<br />
датчиков аналого-цифрового блока XADC.<br />
На рис. 18 приведен вид окна программных<br />
средств AMS101 Evaluator Tool, представляющего<br />
значения температуры и питающих<br />
напряжений программируемой системы<br />
на кристалле семейства Zynq-7000.<br />
Более подробную информацию о коммутационном<br />
модуле AMS101 Evaluation Card<br />
и программных средствах AMS101 Evaluator<br />
Tool можно найти в [22]. n<br />
Литература<br />
1. Зотов В. Особенности архитектуры нового поколения<br />
ПЛИС с архитектурой FPGA фирмы<br />
Xilinx // Компоненты и технологии. 2010. № 12.<br />
2. Zynq-7000 All Programmable SoC Overview.<br />
Advance Product Specification. Xilinx, 2012.<br />
3. Zynq-7000 All Programmable SoC (XC7Z010<br />
and XC7Z020): DC and AC Switching Characteristics.<br />
Xilinx, 2012.<br />
4. Zynq-7000 All Programmable SoC (XC7Z030<br />
and XC7Z045): DC and AC Switching Characteristics.<br />
Xilinx, 2012.<br />
5. Zynq-7000 All Programmable SoC Technical<br />
Reference Manual. Xilinx, 2012.<br />
6. Zynq-7000 All Programmable SoC: Concepts,<br />
Tools, and Techniques (CTT) A Hands-On Guide to<br />
Effective Embedded System Design. Xilinx, 2012.<br />
Рис. 18. Мониторинг температуры и питающих напряжений<br />
с помощью программных средств AMS101 Evaluator Tool<br />
7. Zynq-7000 All Programmable SoC Software<br />
Developers Guide. Xilinx, 2012.<br />
8. 7 Series FPGAs and Zynq-7000 All Programmable<br />
SoC XADC Dual 12-it 1 MSPS Analog-to-Digital<br />
Converter User Guide<br />
9. 7 Series FPGAs SelectIO Resources User Guide.<br />
Xilinx, 2011.<br />
10. 7 Series FPGAs Clocking Resources User Guide.<br />
Xilinx, 2011.<br />
11. 7 Series FPGAs Memory Resources User Guide.<br />
Xilinx, 2012.<br />
12. 7 Series FPGAs Configurable Logic Block User<br />
Guide. Xilinx, 2012.<br />
13. 7 Series FPGAs GTX Transceivers User Guide.<br />
Xilinx, 2011.<br />
14. 7 Series FPGAs Integrated Block for PCIe User<br />
Guide. Xilinx, 2012.<br />
15. 7 Series DSP48E1 Slice User Guide. Xilinx, 2012.<br />
16. Зотов В. Проектирование цифровых устройств<br />
на основе ПЛИС фирмы Xilinx в САПР<br />
WebPack ISE. М.: Горячая линия – Телеком,<br />
2003.<br />
17. Зотов В. Средства внутрикристальной отладки<br />
цифровых устройств и встраиваемых микропроцессорных<br />
систем, разрабатываемых на базе<br />
ПЛИС с архитектурой FPGA фирмы Xilinx —<br />
ChipScope Pro // Компоненты и технологии.<br />
2008. № 10.<br />
18. Зотов В. Инструментальные средства разработки<br />
и отладки цифровых устройств и встраиваемых<br />
микропроцессорных систем, проектируемых<br />
на основе ПЛИС FPGA фирмы Xilinx<br />
серии Kintex-7 // Компоненты и технологии.<br />
2012. № 4–5.<br />
19. Зотов В. Инструментальные средства отладки<br />
устройств цифровой обработки сигналов, проектируемых<br />
на основе ПЛИС FPGA фирмы Xilinx<br />
серий Virtex-6 и Spartan-6 // Компоненты и технологии.<br />
2011. № 4–12.<br />
20. ZC702 Evaluation Board for the Zynq-7000<br />
XC7Z020 Extensible Processing Platform User<br />
Guide. Xilinx, 2012.<br />
21. Zynq-7000 EPP ZC702 Base Targeted Reference<br />
Design User Guide. Xilinx, 2012.<br />
22. AMS101 Evaluation Card User Guide. Xilinx,<br />
2012.<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Реклама<br />
Реклама<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
89
90<br />
компоненты рубрика<br />
Эрлендур КРИСТьяНССОН<br />
(Erlendur KRISTJAnSSOn)<br />
Периферия — основная проблема<br />
Проектирование большинства конструкций<br />
начинается с определения перечня<br />
функций, которые будет выполнять система,<br />
и способов доступа пользователей к ним.<br />
В ходе этого процесса определяется, какие<br />
понадобятся схемы и какие периферийные<br />
устройства, интегрированные на микропроцессорном<br />
кристалле, будут нужны для управления<br />
этими схемами. Например, для реализации<br />
промышленного человеко-машинного интерфейса<br />
микроконтроллер должен поддерживать<br />
ЖК-индикатор с кнопками или сенсорным<br />
дисплеем, коммуникационный интерфейс для<br />
связи с машиной, светодиоды и зуммер либо<br />
другой компонент для подачи звуковых сигналов.<br />
Поэтому нужен будет микроконтроллер<br />
со встроенным контроллером интерфейса<br />
CAN для связи, АЦП для сенсорного экрана<br />
и ШИМ-таймером для зуммера. Чем шире<br />
функциональность периферийного устройства,<br />
тем меньше потребуется внешних компонентов<br />
и, вероятно, тем меньше кода придется<br />
писать проектировщику. Соответственно, использовать<br />
режим зуммера легче, чем настроить<br />
ШИМ для достижения того же результата.<br />
Обычно проектировщика интересуют два<br />
ключевых вопроса о ядре: хватит ли его быстродействия<br />
для выполнения всех программно<br />
реализованных задач, которые обеспечивают<br />
функциональность конечного продукта,<br />
и эффективно ли оно выполняет эти задачи.<br />
Если ответ на оба эти вопроса положительный,<br />
то большую часть проектировщиков<br />
не слишком заботит тип используемого ядра.<br />
Более важный вопрос — какой объем уже<br />
имеющегося программного и микропрограммного<br />
обеспечения, предназначенного для<br />
поддержки периферийных устройств, можно<br />
будет перенести на новое ядро. Поскольку программный<br />
код для 32-разрядных микроконтроллеров<br />
пишется главным образом на языке<br />
C, большую его часть можно перекомпилировать<br />
под любое ядро. Вместе с тем у каждого<br />
производителя микроконтроллеров, какое бы<br />
ядро он ни использовал, есть периферийные<br />
функции и программные модели, предназначенные<br />
именно для его продукции. Именно<br />
это обстоятельство затрудняет перенос кода.<br />
Совместимость<br />
32-разрядных микроконтроллеров<br />
Стандартизация на единой платформе микроконтроллерных ядер — один<br />
из приоритетных пунктов в списке пожеланий отрасли, но даже в случае<br />
общего ядра проектировщикам придется сталкиваться с проблемами при<br />
переносе периферийных устройств и микропрограмм.<br />
Перенос микропрограмм<br />
Каждый производитель микроконтроллеров<br />
поставляет библиотеку микропрограмм,<br />
содержащую код для настройки и обеспечения<br />
работы встроенных в микроконтроллер<br />
периферийных устройств. Но реализованы<br />
эти периферийные устройства у разных производителей<br />
по-разному, и набор их функций<br />
может отличаться. Это означает, что перенос<br />
приложения с одного микроконтроллера<br />
на другой — непростая задача.<br />
Компания ARM предложила решение этой<br />
проблемы, разработав стандарт на уровень<br />
абстракции микропрограмм под названием<br />
Cortex Microcontroller Software Interface<br />
Standard (CMSIS). Он был внедрен всеми производителями<br />
микроконтроллеров на базе<br />
ядер Cortex-M и используется в их микропрограммных<br />
библиотеках, однако не решает<br />
проблему сложности переноса периферийных<br />
устройств, а также не устанавливает единого<br />
соглашения об именовании переменных или<br />
функций. Как следствие, CMSIS лишь незначительно<br />
снижает трудозатраты на перенос<br />
приложений между микроконтроллерами<br />
разных производителей на платформе ARM.<br />
Обеспечение совместимости<br />
на этапе проектирования<br />
Даже если производители микроконтроллеров<br />
используют одно и то же ядро ARM, упрощать<br />
перенос на микроконтроллер конкурента<br />
не в их интересах. Поэтому задача сделать<br />
конструкцию переносимой ложится на плечи<br />
инженера-проектировщика. Чтобы упростить<br />
совместимость, проектировщик может<br />
реализовать уровень абстракции, создающий<br />
стандартный программный интерфейс между<br />
микроконтроллером, периферийным оборудованием<br />
и программным кодом. Для этого<br />
есть как минимум два подхода:<br />
• Разработать промежуточный слой (оболочку),<br />
который будет обеспечивать трансляцию<br />
между периферийной библиотекой<br />
производителя микроконтроллера и кодом<br />
прикладной программы. Это, пожалуй, самое<br />
рациональное решение с точки зрения<br />
временных затрат, но оно сопряжено с добавлением<br />
кода в тракты команд и данных.<br />
• Разработать стандартную схему именования<br />
функций и переменных и применить<br />
ее к каждой из периферийных библиотек.<br />
Это позволяет избежать написания дополнительного<br />
кода, но может быть очень трудоемким<br />
делом, особенно в случае сложной<br />
периферии.<br />
Портируемость необходимо закладывать<br />
на самых ранних стадиях процесса разработки.<br />
Помимо программного и микропрограммного<br />
обеспечения, есть еще проблема<br />
несовместимости по цоколевке, которая<br />
обычно вынуждает менять разводку печатной<br />
платы при переходе на микропроцессор<br />
другого производителя. Возможно, понадобится<br />
также изменить внешние компоненты,<br />
такие как конденсаторы и стабилизаторы.<br />
* * *<br />
По-видимому, совместимость между<br />
32-разрядными контроллерами разных производителей<br />
так и останется пожеланием,<br />
пока не будут решены проблемы переноса<br />
периферийных устройств и микропрограммных<br />
библиотек. Один из способов упростить<br />
задачу — выбрать микроконтроллер,<br />
обеспечивающий легкую миграцию на другие<br />
микроконтроллеры в рамках ассортимента<br />
продукции того же производителя.<br />
Например, компания Microchip недавно<br />
упростила свою линейку компиляторов языка<br />
C, предложив клиентам программный пакет<br />
MPLAB XC, который содержит один компилятор<br />
для каждой из ее 8-, 16- и 32-разрядных<br />
архитектур и поддерживает все модели микроконтроллеров<br />
PIC и цифровых сигнальных<br />
контроллеров dsPIC. Вдобавок обеспечивается<br />
совместимость снизу вверх между архитектурами<br />
для защиты инвестиций в разработку<br />
кода и совместимость по цоколевке между различными<br />
корпусами для упрощения разводки<br />
печатных плат под заменяющие компоненты.<br />
Поэтому пока проектировщики не дождались<br />
стандартного ядра со стандартными<br />
периферийными устройствами и микропрограммами,<br />
они могут хотя бы предусмотреть<br />
в своих конструкциях задел на будущее, оценив<br />
рентабельность миграции в рамках ассортимента<br />
одного производителя на начальной<br />
стадии каждого проекта. n<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Реклама
92<br />
Введение<br />
компоненты<br />
Вячеслав ГАВРИКОВ<br />
Современная электроника охватывает все<br />
области человеческой деятельности: от бытовых<br />
приборов до промышленных и медицинских<br />
устройств. Растущие требования<br />
к электронным устройствам напрямую относятся<br />
к используемым электронным компонентам.<br />
Бурное развитие портативных устройств<br />
с батарейным питанием требует от компонентов<br />
снижения потребления, уменьшения<br />
не только занимаемой площади, но и объема,<br />
снижения уровня шумов. Компания<br />
ONS предлагает сверхкомпактные BRTтранзисторы<br />
уже со встроенными резисторами,<br />
сверхтонкие логические полевые<br />
транзисторы, малошумящие миниатюрные<br />
LDO-регуляторы, прецизионные источники<br />
опорного напряжения, буферы тактовых<br />
сигналов, малопотребляющие логические<br />
микросхемы и мониторы напряжения питания.<br />
Широкое применение радиоустройств,<br />
быстродействующих процессоров и микросхем<br />
ставит задачу фильтрации и помехозащищенности<br />
сигналов. EMI-фильтры ONS<br />
имеют малые размеры и реализуют интегрированную<br />
ESD-защиту линий передачи<br />
данных. Кроме того, актуальным становится<br />
применение малошумящих и помехоподавляющих<br />
LDO-регуляторов.<br />
ONS предлагает высокоэффективные решения<br />
для источников питания (ИП): новые<br />
Таблица 1. Основные параметры контроллеров вторичного контура ИП<br />
Обозначение<br />
Напряжение<br />
питания (max), В<br />
Опорное<br />
напряжение, В<br />
Ток потребления,<br />
мкА<br />
Обзор новинок<br />
ON Semiconductor<br />
За последние полгода компания On Semiconductor (OnS) анонсировала<br />
большое число новых компонентов и микросхем: биполярные и полевые<br />
транзисторы, драйверы светодиодов, буферы тактовых частот, микросхемы<br />
питания, интегральные фильтры, трансиверы для различных интерфейсов,<br />
специализированные микросхемы. В статье дан краткий обзор<br />
новых продуктов.<br />
контроллеры вторичного контура AC/DC,<br />
эффективные DC/DC-микросхемы для систем<br />
с несколькими напряжениями питания,<br />
LDO-регуляторы с минимальным потреблением<br />
и низким падением напряжения.<br />
Усложнение автомобильных систем обработки<br />
данных требует появления новых<br />
ECU (electronic control unit) с поддержкой<br />
высокоскоростных интерфейсов (CAN, LIN,<br />
FlexRay). ONS разработала системообразующие<br />
микросхемы трансиверов, позволяющие<br />
реализовывать современные ECU.<br />
Микросхемы Rhythm R3710 этой компании<br />
могут применяться в узкоспециализированной<br />
области слуховых аппаратов.<br />
Особое внимание ONS уделяет разработке<br />
новых драйверов светодиодов. Новые драйверы<br />
дают дополнительное преимущество<br />
использования светодиодов для декоративного,<br />
дежурного и основного освещения.<br />
Отличительной чертой ON Semiconductor<br />
являются повышенные экологические требования<br />
к своим продуктам и проведение<br />
мероприятий по защите окружающей среды.<br />
ONS строго придерживается регламента<br />
Европейского Союза по производству и обороту<br />
всех химических веществ (REACH),<br />
а также информирует своих потребителей<br />
об используемых материалах и веществах:<br />
полная информация доступна на сайте ONS.<br />
Компоненты также соответствуют требованиям<br />
по содержанию вредных веществ (RoHS)<br />
Европейского Союза. ONS имеет и программу<br />
по утилизации своих продуктов.<br />
Подстройка<br />
напряжения<br />
включения Umin, В<br />
Контур<br />
контроля<br />
тока<br />
Вывод<br />
драйвера<br />
светодиода<br />
Корпус<br />
NCP4353A 36 1,25 15 Нет Есть Нет TSOP8<br />
NCP4353B 36 1,25 15 Есть Нет Нет TSOP8<br />
NCP4354A 36 1,25 15 Есть Есть Есть SOIC8<br />
NCP4354B 36 1,25 15 Есть Нет Есть SOIC8<br />
NCP4354A 36 1,25 15 Нет Есть Есть SOIC8<br />
NCP4354B 36 1,25 15 Есть Нет Есть SOIC8<br />
NCP4354С 36 1,25 15 Есть Есть Нет SOIC8<br />
NCP4303 30 0 6600 – – –<br />
SOIC8<br />
DFN8<br />
AC/DC-контроллеры<br />
NCP4353, NCP4354, NCP4355 — это новые<br />
контроллеры вторичного контура импульсных<br />
источников питания, разработанные<br />
специально для приложений с максимально<br />
низким собственным потреблением при отсутствии<br />
нагрузки. Контроллеры способны<br />
обнаруживать отсутствие нагрузки и переводить<br />
источник питания в режим OFF, при<br />
этом контроллер первичного контура выключается.<br />
Сам контроллер вторичной стороны<br />
работает от энергии, запасенной в выходном<br />
конденсаторе. Когда эта энергия расходуется<br />
и выходное напряжение снижается<br />
до напряжения U min , контроллер вторичной<br />
стороны автоматически запускает контроллер<br />
первичного контура. Основной областью<br />
применения этих микросхем являются автономно<br />
работающие адаптеры для ноутбуков,<br />
принтеров и игровых станций, а также мощные<br />
AC/DC-преобразователи для телевизоров<br />
и мониторов.<br />
NCP4353 и NCP4354 разработаны для совместной<br />
работы с контроллером первичного<br />
контура. NCP1246 используют для управления<br />
один оптрон. NCP4354 разработан<br />
для работы с контроллерами первичного<br />
контура, у которых помимо входа обратной<br />
связи FB есть вход разрешения работы;<br />
управление происходит посредством двух<br />
оптронов. Существуют версии контроллеров<br />
с дополнительным контуром контроля тока,<br />
возможностью подстройки минимального<br />
напряжения U min , а также дополнительным<br />
выводом для подключения индикаторного<br />
светодиода (табл. 1).<br />
NCP4303 — контроллер вторичного контура<br />
синхронного преобразователя. Благодаря универсальности<br />
его можно использовать в обычных<br />
прямоходовых и обратноходовых преобразователях.<br />
Сверхмалое время выключения<br />
(типовое значение 40 нс), большое значение<br />
выходного тока, малая индуктивность выводов<br />
корпуса DFN8 — все это позволяет создавать<br />
высокоэффективные синхронные ИП.<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Таблица 2. Основные параметры<br />
BRT-транзисторов ONS<br />
Обозначение Тип R1, кОм R2, кОм Корпус<br />
Основными областями применения являются<br />
преобразователи для ноутбуков, игровых консолей,<br />
систем с ATX-питанием, а также мощные<br />
AC/DC-преобразователи с повышенными<br />
требованиями к эффективности.<br />
Микросхемы<br />
для аудиоприложений<br />
Система Belasigna r262<br />
BelaSigna R262 — законченная система<br />
на кристалле (System-on-Chip, SoC), призванная<br />
обеспечить широкополосную фильтрацию<br />
шумов в голосовых приложениях.<br />
Она позволяет удалить механические, постоянные<br />
и непостоянные шумы, сохранить<br />
естественность и чистоту голоса при различном<br />
удалении говорящего от микрофона,<br />
а также обеспечивает поддержку широкого<br />
круга кодеков, микрофонов без какой-либо<br />
калибровки. BelaSigna R262 имеет в своем составе<br />
два аудиовхода, два аудиовыхода, вы-<br />
rhythm r3710<br />
Rhythm R3710 — это аудио DSP-устройство,<br />
оно реализовано в самом миниатюрном<br />
(для аналогичных микросхем) корпусе<br />
(4,573,121,52 мм), что позволяет создавать<br />
слуховые аппараты, которые могут располагаться<br />
непосредственно в слуховом канале.<br />
Суть работы этого чипа состоит в приеме<br />
сигнала от микрофона слухового аппарата<br />
и передаче обработанного звука в миниатюрный<br />
динамик.<br />
Rhythm R3710 позволяет выполнять анализ<br />
окружающих звуков, адаптивную фильтрацию<br />
шумов, фильтрацию эха от динамика<br />
и многое другое. В распоряжении разработчика<br />
оказываются до восьми каналов обра-<br />
компоненты<br />
NSBC123TPDP6<br />
2,2 ∞<br />
NSBC143EPDP6<br />
NSBC124EPDP6<br />
Комплементарные<br />
BRT<br />
4,7<br />
22<br />
4,7<br />
22<br />
SOT-963<br />
NSBC144WPDP6<br />
MUN2141<br />
47 22<br />
SC-59<br />
а б<br />
в<br />
MMUN2141L SOT-23<br />
MUN5141 PNP BRT 100 ∞ SC-70 Рис. 1. Внутренние схемы BRT-транзисторов ON Semiconductor: а) NPN BRT; б) PNP BRT; в) комплементарные BRT<br />
DTA115TM3 SC-75<br />
DTA115TE SOT-723<br />
MUN5241<br />
SC-70<br />
DTC115TM3 NPN BRT 100 ∞ SC-75<br />
DTC115TE SOT-723<br />
MUN2138<br />
SC-59<br />
MMUN2138L SOT-23<br />
MUN5138 PNP BRT 2,2 ∞ SC-70<br />
DTA123TM3 SC-75<br />
DTA123TE SOT-723<br />
MUN2238<br />
SC-59<br />
MUN5238<br />
DTC123TM3<br />
NPN BRT 2,2 ∞<br />
SC-70<br />
SC-723<br />
DTC123TE SOT-75<br />
MUN2135<br />
MMUN2135L<br />
PNP BRT 2,2 47<br />
SC-59<br />
SOT-23<br />
MUN2235<br />
MMUN2235L<br />
NPN BRT 2,2 47<br />
SC-59<br />
SOT-23<br />
MMUN2236L NPN BRT 100 100 SOT-23<br />
MMUN2136L PNP BRT 100 100 SOT-23<br />
DTA113EM3<br />
SOT-723<br />
NSBA113EF3 PNP BRT 1 1 SOT-1123<br />
DTA113FE SC-75<br />
NSBC113EF3<br />
DTC113EEE<br />
NPN BRT 1 1<br />
SOT-1123<br />
SC-75<br />
NSBA123EF3 PNP BRT 2,2 2,2 SOT-1123<br />
NSBC123EF3 NPN BRT 2,2 2,2 SOT-1123<br />
NSBA143TF3 PNP BRT 4,7 ∞ SOT-1123<br />
NSBC143TF3 NPN BRT 4,7 ∞ SOT-1123<br />
NSBA124XF3 PNP BRT 22 47 SOT-1123<br />
NSBC124XF3 NPN BRT 22 47 SOT-1123<br />
MMUN2140L<br />
MUN2140<br />
NSBA144TF3<br />
PNP BRT 47 ∞<br />
SOT-23<br />
SC-70<br />
SC-1123<br />
Буферы тактовых сигналов<br />
DTA144TE SOT-75<br />
MMUN2240L<br />
SOT-23<br />
MUN5240<br />
NSBC144TF3<br />
NPN BRT 47 ∞<br />
SC-70<br />
SC-1123<br />
DTC144TE SOT-75<br />
MMUN2137L PNP BRT 47 22 SOT-23<br />
MMUN2237L NPN BRT 47 22 SOT-23<br />
сокоэффективный (50 MIPS) 16-битный DSP<br />
и управляющий I2 ботки с поддержкой технологии компрессии<br />
C-интерфейс. Напряжение широкого динамического диапазона (Wide<br />
питания — 1,65–3,3 В. Корпус — WLCSP Dynamic Range Compression, WDRC), восемь<br />
(5,32 мм).<br />
биквадратных фильтров, два аналоговых<br />
Чип может работать в четырех основных входа, 8- или 16-Гц полоса пропускания, ин-<br />
режимах:<br />
тегрированная EEPROM, высокоточный ау-<br />
• Active — активный режим с фильтрацией диокодек, 20-битный аудиопроцессор, внеш-<br />
звука (17 мА).<br />
няя или внутренняя подстройка громкости<br />
• ByPass — активный режим без фильтрации и шина I<br />
звука (17 мА при внешнем тактировании,<br />
2,9 мА при внутреннем тактировании).<br />
• Sleep — неактивный режим с минимальным<br />
потреблением (40 мкА).<br />
• StandBy — режим ожидания.<br />
Миниатюрный корпус и гибкая система<br />
управления питанием позволяют использовать<br />
SoC BelaSigna R262 в различных звуковых<br />
приложениях, таких как мобильные<br />
телефоны, веб-камеры, планшеты и др.<br />
2C для программирования. Диапазон<br />
питающего напряжения — 1–1,65 В.<br />
Биполярные BRT-транзисторы<br />
ONS расширяет линейку транзисторов<br />
со встроенными резисторами (Bias Resistor<br />
Transistor, BRT) (табл. 2). Эта технология позволяет<br />
существенно сократить занимаемую<br />
компонентами площадь и уменьшить конечную<br />
стоимость изделия.<br />
Существует три основных вида транзисторов<br />
со встроенными резисторами: NPN, PNP<br />
и комплементарная пара (рис. 1).<br />
Таблица 3. Основные параметры буферов тактовых сигналов<br />
Обозначение Тип Тип входов Тип выходов<br />
NB3N121K Буфер 1×HCSL<br />
NB3M8T3910G Буфер<br />
NB3F8L3010C Буфер<br />
21×HCSL<br />
(возможен LVDS)<br />
ONS пополнила линейку буферов тактовых<br />
сигналов (табл. 3). Области их применения<br />
— телекоммуникация, SONET/SDH,<br />
Gigibit Ethernet, LAN/WAN, вычислительные<br />
системы, тестовое оборудование, карты памяти<br />
FBDIMM и PCIe. Буферы имеют дополнительные<br />
управляющие входы CLK_SEL<br />
или SELx (выбор одного из мультиплексированных<br />
входов), OE — для перевода выходов<br />
в высокоомное состояние и CLK_EN — для<br />
разрешения работы буфера.<br />
Управляющие<br />
входы<br />
Напряжение<br />
питания, В<br />
Макс. частота,<br />
МГц<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
2×ECL<br />
1×XTAL<br />
2×ECL<br />
1×XTAL<br />
5×ECL<br />
1×CMOS/TTL<br />
10×CMOS/TTL<br />
NB3N83948C Буфер 2×ECL 12×CMOS/TTL<br />
NB3N8543S Буфер 2×ECL 4×ECL<br />
NB3N853531 Буфер<br />
1×CMOS/TTL<br />
1×XTAL<br />
4×ECL<br />
NB3N853501 Буфер 2×CMOS/TTL 4×ECL<br />
Корпус<br />
– 3–3,3 400 QFN52<br />
SEL0, SEL1,<br />
SMODEA0,<br />
SMODEA1,<br />
SMODEB0,<br />
SMODEB1, OE<br />
SEL0,<br />
SEL1,<br />
OE<br />
CLK_SEL,<br />
CLK EN, OE<br />
CLK_SEL,<br />
CLK EN, OE<br />
CLK_SEL,<br />
CLK EN<br />
CLK_SEL,<br />
CLK EN<br />
2,5<br />
3,3<br />
1,5 (выходы)<br />
1,8 (выходы)<br />
2,5<br />
3,3<br />
200 QFN48<br />
200 QFN32<br />
2,5 3,3 350 TSSOP-20<br />
3,3 1000 TSSOP-20<br />
3,3 266 TSSOP-20<br />
3,3 266 TSSOP-20<br />
93
94<br />
LDO-регуляторы<br />
компоненты<br />
Таблица 4. Основные параметры новых LDO-регуляторов<br />
Обозначение<br />
Выходное<br />
напряжение<br />
Выходной<br />
ток, А<br />
CAT6201VP2-GT3 и CAT6202VP2-GT3<br />
представляют собой линейные регуляторы<br />
с малым падением (0,25 В) и возможностью<br />
подстройки выходного напряжения (табл. 4).<br />
Их особенностью является наличие выхода<br />
FLT, который сигнализирует о перегрузке по<br />
току или о перегреве. Кроме того, встроенная<br />
защита предотвращает выход устройства<br />
из строя при увеличении выходного<br />
напряжения больше входного, что может<br />
быть полезно, к примеру, при замыкании<br />
автомобильного аккумулятора на выход микросхемы.<br />
Малое собственное потребление<br />
и повышенная защищенность позволяют использовать<br />
эти регуляторы в автомобильной<br />
и промышленной электронике.<br />
NCP703/NCP702 — линейные регуляторы<br />
со сверхнизким (12 мкА) потреблением и выходным<br />
током до 300 мА (табл. 4). Главной<br />
особенностью является подавление помех<br />
питающего напряжения: PSRR составляет<br />
68 дБ для частоты 1 кГц. Это позволяет применять<br />
их в радиоустройствах (смартфоны,<br />
беспроводная гарнитура, беспроводные сети,<br />
Bluetooth, ZigBee), измерительной и медицинской<br />
аппаратуре, а малое потребление<br />
делает их привлекательными для устройств<br />
с батарейным питанием.<br />
Микросхемы<br />
DC/DC-преобразователей<br />
NCP6924 — микросхема питания, имеющая<br />
в своем составе два высокоэффективных<br />
понижающих DC/DC-конвертера и четыре<br />
LDO-регулятора. Каждый из двух DC/DC-<br />
Максимальное входное<br />
напряжение, В<br />
Падение<br />
напряжения, В<br />
Типовой ток<br />
потребления, мА<br />
конвертеров имеет нагрузочную способность<br />
800 мА, эффективность до 95% и возможность<br />
программного управления уровнем<br />
выходного напряжения (0,6–3,3 В с шагом<br />
12,5 мВ). Линейные регуляторы также имеют<br />
возможность программного управления<br />
выходным напряжением (1–3,3 В с шагом<br />
50 мВ) и нагрузочную способность 150 мА<br />
(первая пара регуляторов) и 300 мА (вторая<br />
пара). Управление работой производится<br />
по шине I 2 C. Корпус — WLCSP-30.<br />
Микросхема позволяет сберечь занимаемое<br />
на плате место и повысить энергетическую<br />
эффективность устройств в целом и подходит<br />
для портативных устройств с батарейным<br />
питанием, которым одновременно необходимо<br />
питающее напряжение различных<br />
уровней.<br />
NCP6338 — синхронный преобразователь,<br />
предназначенный для работы с мощными<br />
процессорами портативных устройств, питающихся<br />
от одной литий-ионной батареи.<br />
Преобразователь обладает нагрузочной способностью<br />
6 А, эффективностью до 95%,<br />
работает с частотой 3 МГц и имеет возможность<br />
программирования уровня выходного<br />
напряжения от 0,6 до 1,4 В. Интерфейс<br />
связи с контроллером — I 2 C. Корпус, как<br />
и у NCP6924, — WLCSP-30.<br />
Драйверы светодиодов<br />
Корпус<br />
CAT6201VP2-GT3 Подстраиваемое 0,3 13 0,25 0,16 TDFN-8<br />
CAT6202VP2-GT3 Подстраиваемое 0,5 13 0,25 0,16 TDFN-8<br />
NCP702 Фиксированное 0,2 1,8; 2,8; 3; 3,3 0,14 0,01 XDFN-6<br />
NCP703 Фиксированное 0,3 1,8; 2,8; 3; 3,3 0,18 0,012 XDFN-6<br />
Таблица 5. Основные параметры драйверов светодиодов<br />
Развитие технологии сверхъярких светодиодов<br />
приводит к тому, что сейчас<br />
они становятся альтернативой для люминесцентных<br />
ламп и других источников света.<br />
Существует несколько способов для управления<br />
светодиодами: источники питания для<br />
Обозначение Тип Ток, мА Напряжение питания, В Подстройка тока Корпус<br />
NSIC2020<br />
NSIC2030<br />
NSIC2050<br />
Драйвер светодиодов<br />
Драйвер светодиодов<br />
Драйвер светодиодов<br />
20<br />
30<br />
50<br />
120<br />
120<br />
120<br />
С помощью<br />
внешнего резистора<br />
SMB<br />
SMB<br />
SMB<br />
NSI50150ADT4G Драйвер светодиодов До 350 50<br />
С помощью<br />
внешнего резистора<br />
DPACK<br />
CAT4002A<br />
CAT4004A<br />
Драйвер светодиодов<br />
Драйвер светодиодов<br />
40<br />
40<br />
2,4–5,5<br />
2,4–5,5<br />
32-шаговая<br />
подстройка<br />
TSOT23-6<br />
SC70-6<br />
UDFN -8<br />
светодиодов на основе переключательных<br />
схем, линейные регуляторы или ограничительные<br />
резисторы, каждый из которых имеет<br />
свои недостатки. ON Semiconductor предлагает<br />
свое решение — регуляторы постоянного тока<br />
(Constant Current Regulator, CCR) на основе<br />
технологии SBT (Self-Biased Transistor, транзистор<br />
с автоматическим смещением).<br />
NSIC20xx представляют собой CCRдрайверы<br />
светодиодов для широчайшего<br />
диапазона входного напряжения (табл. 5),<br />
реализованные в корпусе SMB и не требующие<br />
внешних компонентов. Они разработаны<br />
для сетей переменного и постоянного<br />
тока (рис. 2). Температурная характеристика<br />
у этих устройств отрицательная, что позволяет<br />
защитить светодиоды в случае перегрева<br />
осветительного прибора. При работе следует<br />
учитывать падение напряжения на диодах,<br />
чтобы на драйвере оно не превышало<br />
120 В (рис. 3). Основными областями применения<br />
являются осветительные панели, работающие<br />
от переменного тока, и декоративное<br />
освещение.<br />
NSI50150ADT4G также представляет собой<br />
CCR-драйвер светодиодов, но имеет<br />
дополнительный вход для подстройки тока<br />
ограничения (150–350 мА). Эта микросхема<br />
разработана преимущественно для цепей<br />
постоянного тока и имеет максимальное<br />
рабочее напряжение 50 В. Области применения<br />
— дополнительные автомобильные<br />
стоп-сигналы (CHMSL), подсветка приборов,<br />
дежурное и аварийное освещение.<br />
CAT4002A и CAT4004A представляют собой<br />
2/4-канальные драйверы светодиодов, с возможностью<br />
изменения тока светодиодов посредством<br />
интерфейса 1-wire EZDim. Задание<br />
базового тока осуществляется посредством<br />
одного резистора. Диапазон питающего напряжения<br />
составляет 2,4–5,5 В, что позволяет<br />
питать светодиоды от литий-ионной батареи.<br />
Максимальный ток — 40 мА на каждый канал.<br />
Собственное потребление — 0,5 мА. Области<br />
применения — подсветка ЖК-экранов, мобильные<br />
телефоны, портативные устройства,<br />
фотоаппараты и камеры.<br />
Рис. 2. Типовая схема включения CCR-драйверов NSIC20xx Рис. 3. Вольт-амперная характеристика CCR-драйверов NSIC2050<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
EMI/RFI-фильтры<br />
Широкое распространение беспроводных<br />
технологий, рост рабочей частоты устройств<br />
и скорости передачи данных приводит<br />
и к росту уровня помех. Основными являются<br />
помехи от радиочастотных сигналов<br />
(850/900/1800/1900 МГц GSM, 1,6 ГГц GPS,<br />
1,7–2,2 ГГц UMTS, 2,4 ГГц Bluetooth/WLAN<br />
и т. д.) и помехи от собственных тактирующих<br />
сигналов, шин данных, линий питания<br />
и др. Помимо помехозащищенности, необходимо<br />
обеспечивать защиту микросхем<br />
от статического электричества (ESD). Решить<br />
эти задачи комплексно можно с помощью<br />
дискретных компонентов, но для портативных<br />
устройств критичным является и занимаемая<br />
компонентами площадь. ONS<br />
предлагает и постоянно пополняет линейку<br />
устройств, которые сочетают в одном корпусе<br />
EMI-фильтр и защиту от статического<br />
электричества (табл. 6).<br />
CM1624 представляет собой EMI-фильтр<br />
со встроенными защитными диодами и предназначен<br />
для использования в интерфейсах<br />
MMC и T-Flash/MicroSD, а также в различной<br />
портативной электронике, такой как сотовые<br />
телефоны, планшеты и т. д. CM1624 содержит<br />
шесть каналов. В соответствии<br />
с SD-спецификацией часть каналов имеют<br />
подтягивающие (25 кОм) и согласующие резисторы<br />
(40 Ом). Все каналы и вывод питания<br />
имеют интегрированные TVS-диоды.<br />
EMI2121 — это EMI-фильтр синфазных<br />
помех со встроенными защитными диодами.<br />
Он предназначен для работы с дифференциальными<br />
линиями USB2.0 и MIPI D-PHY.<br />
Служит для защиты одной дифференциальной<br />
линии связи. Основная область применения<br />
— мобильные телефоны и фотокамеры.<br />
EMI4182 и EMI4183 — EMI-фильтры синфазных<br />
помех со встроенными защитными<br />
диодами. Они предназначены для работы<br />
со скоростными дифференциальными линиями<br />
MIPI D-PHY и HDMI/DVI. Основная<br />
область применения — дисплеи портативных<br />
устройств и фотокамеры.<br />
Полевые транзисторы и IGBT<br />
NTNS3xx — новая линейка миниатюрных<br />
сигнальных полевых транзисторов (Small<br />
Signal MOSFET) (табл. 7), разработанных<br />
специально для ультракомпактных портативных<br />
устройств. По сравнению с предыдущими<br />
моделями их главные особенности —<br />
компактность и ультратонкие размеры:<br />
0,620,620,4 мм (XLLGA3) и 10,60,4 мм<br />
(SOT-883 (XDFN3)). Величина максимального<br />
порогового напряжения U зи снижена<br />
до 1 В, что позволяет управлять устройствами<br />
посредством низковольтной логики, однако<br />
величина сопротивления канала в открытом<br />
состоянии возросла. Транзисторы<br />
имеют встроенные защитные диоды и резисторы<br />
в цепи затвора, что делает их менее<br />
Таблица 6. Основные параметры фильтров<br />
подверженными пробою статическим электричеством.<br />
новые мощные mosFeT‑транзисторы<br />
с управлением от логических микросхем<br />
NVMFD5852NL и NVMFD5853NL представляют<br />
собой сдвоенные N-канальные транзисторы<br />
в миниатюрных корпусах DFN-8<br />
(SO-8FL). Они могут управляться логическими<br />
сигналами (табл. 8). Сопротивление<br />
канала при U зи = 4,5 В не превышает<br />
20 мОм. Благодаря компактным размерам<br />
(561,1 мм) и низким значениям управляющего<br />
напряжения их можно применять<br />
в широком диапазоне областей: от промышленной<br />
автоматики и автоматизации до бытовой<br />
техники и портативных устройств.<br />
NVD5890NL — одиночный N-канальный<br />
транзистор с логическим уровнем управления.<br />
Он реализован в мощном DPAK-корпусе.<br />
Имеет сопротивление канала при U зи = 4,5 В<br />
компоненты<br />
Обозначение Особенности Корпус<br />
CM1624<br />
EMI2121<br />
EMI4182<br />
EMI4183<br />
EMI-фильтр со встроенными защитными диодами для T-Flash/MicroSD-интерфейсов<br />
Имеет интегрированные согласующие и подтягивающие резисторы<br />
ESD-защита ±15 кВ согласно IEC 61000-4-2, уровень 4<br />
Одноканальный EMI-фильтр синфазных помех со встроенными защитными диодами для USB2.0 и MIPI D-PHY<br />
Ослабление синфазных помех — более 25 дБ на частоте 700 МГц, 30 дБ на 800 МГц<br />
ESD-защита ±12 кВ согласно IEC 61000-4-2, уровень 4<br />
Двухканальный EMI-фильтр синфазных помех со встроенными защитными диодами для MIPI D-PHY и HDMI<br />
Ослабление синфазных помех — более 25 дБ на частоте 700 МГц, 30 дБ на 800 МГц<br />
ESD-защита ±15 кВ согласно IEC 61000-4-2, уровень 4<br />
Трехканальный EMI-фильтр синфазных помех со встроенными защитными диодами для MIPI D-PHY<br />
Ослабление синфазных помех — более 25 дБ на частоте 700 МГц, 30 дБ на 800 МГц<br />
ESD-защита ±15 кВ согласно IEC 61000-4-2, уровень 4<br />
Таблица 7. Основные параметры MOSFET-транзисторов со встроенными защитными диодами и резисторами<br />
Обозначение Канал U си (min), В U зи (max), В<br />
U зи пороговое<br />
(max), В<br />
I с постоянный<br />
(max), А<br />
R откр , мОм<br />
(U зи = 2,5 В)<br />
UDFN16<br />
3,3×1,35×0,5 мм<br />
WDFN8<br />
2×2,2×0,75 мм<br />
WDFN10<br />
2×2,5×0,75 мм<br />
WDFN16<br />
2×4×0,75 мм<br />
всего 5 мОм. Этот транзистор можно применять<br />
в высокоэффективных ИП.<br />
NDD01Nxx, NDD02Nxx, NDD03Nxx —<br />
N-канальные мощные MOSFET-транзисторы<br />
(табл. 9). Их главной особенностью является<br />
встроенная защита затвора от статического<br />
электричества. Цепь затвора имеет защитные<br />
стабилитроны. Основными областями<br />
применения для них являются электронные<br />
балласты для люминесцентных ламп и импульсные<br />
источники питания.<br />
мощные igBT‑транзисторы<br />
ngTBxxn120<br />
Эти транзисторы имеют встроенный быстрый<br />
и мощный обратный диод, который<br />
может в ряде случаев заменить внешний.<br />
Новые транзисторы NGTB30N120<br />
и NGTB40N120 являются самыми мощными<br />
у ONS и рассчитаны на токи 30 и 40 А соответственно<br />
(табл. 10).<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
Корпус<br />
NTNS3164NZ N 20 8 1 0,2 2000 SOT-883<br />
NTNS3A65PZT P 20 8 1 0,2 2400 SOT-883<br />
NTNS3A91PZ P 20 8 1 0,2 1900 XLLGA3<br />
NTNS3193NZ N 20 8 1 0,2 2400 XLLGA3<br />
Таблица 8. Основные параметры MOSFET-транзисторов с низким пороговым U зи<br />
Обозначение Канал U си (min), В U зи (max), В<br />
U зи пороговое<br />
(max), В<br />
I с постоянный<br />
(max), А<br />
R откр , мОм<br />
(U зи = 4,5 В)<br />
Корпус<br />
NVD5890NL N 40 20 2,5 123 5,5 DPAK<br />
NVMFD5852NL Dual N 40 20 2,5 40 11,3 DFN-8 (SO-8FL)<br />
NVMFD5853NL Dual N 40 20 2,5 29 19 DFN-8 (SO-8FL)<br />
Таблица 9. Основные параметры мощных MOSFET-транзисторов с защитными диодами и резисторами<br />
Обозначение Канал U си (min), В U зи (max), В U зи пороговое (max), В R откр , мОм (U зи = 10 В) Корпус<br />
NDD01N60 N 600 30 4,5 8,5 IPAK, DPAK<br />
NDD02N40 N 400 30 4,5 5,5 IPAK, DPAK<br />
NDD03B80Z N 800 30 4,5 4,5 IPAK, DPAK<br />
Таблица 10. Основные параметры мощных IGBT-транзисторов<br />
Обозначение U кэ (тип.), В U кэ насыщения (тип.), В I c (max), А Мощность (max), Вт Корпус<br />
NGTB15N120FLWG 1200 2 15 156 TO-277-3<br />
NGTB25N120FLWG 1200 2 25 231 TO-277-3<br />
NGTB30N120LWG 1200 1,75 30 260 TO-277-3<br />
NGTB30N120IHLWG 1200 1,75 30 329 TO-277-3<br />
NGTB40N120LWG 1200 1,9 40 260 TO-277-3<br />
NGTB40N120FLWG 1200 2 40 320 TO-277-3<br />
NGTB40N120IHLWG 1200 1,9 40 329 TO-277-3<br />
95
96<br />
компоненты<br />
NGTBxxN120FL предназначены для работы<br />
в составе инверторов солнечных батарей<br />
и в источниках бесперебойного питания,<br />
NGTBxxN120L — для работы в составе инверторов<br />
сварочных машин и для управления<br />
мощными промышленными двигателями,<br />
а NGTBxxN120IHL — для работы в составе<br />
индукционных нагревателей и печей.<br />
Интерфейсные микросхемы<br />
NCV7471 — системообразующий модуль,<br />
имеющий в своем составе два LIN-трансивера,<br />
высокоскоростной CAN-трансивер, встроенный<br />
DC/DC-преобразователь 5 В на 500 мА,<br />
линейный регулятор на 5 В, SPI-интерфейс<br />
для связи с внешним контроллером и блок<br />
формирования прерываний при наступлении<br />
аварийных событий (перенапряжения,<br />
перегрева и т. д.). Все это позволяет использовать<br />
данную микросхему в качестве основы<br />
для построения автомобильных ECU<br />
(electronic control unit) модулей при значительном<br />
уменьшении количества необходимых<br />
компонентов (табл. 11).<br />
NCV7381 — одноканальный трансивер для<br />
FlexRay версии 3.0.1. FlexRay — это высокоскоростной<br />
сетевой протокол для автомобилей,<br />
разработанный при непосредственном<br />
участии компаний BMW, Daimler Chrysler,<br />
Bosch, GM и Volkswagen. Пропускная способность<br />
этого протокола достигает 10 Мбит/с.<br />
NCV7381 обладает защитой от статического<br />
электричества, совместим с 14-<br />
и 28-вольтовыми автомобильными системами<br />
и имеет очень гибкую систему<br />
управления энергопотреблением. Для ознакомления<br />
с особенностями работы этого<br />
драйвера ONS предлагает отладочную плату<br />
NCV73812037EVB (рис. 4). Она представляет<br />
собой ECU-модуль для FlexRay с двумя независимыми<br />
трансиверами. При помощи двух<br />
таких плат можно быстро изучить принципы<br />
работы шины FlexRay. Кроме того,<br />
при наличии Freescale BDM программатора<br />
и соответствующего ПО плата может быть<br />
перепрограммирована. Простейший ECU<br />
может содержать один трансивер NCV7381<br />
(рис. 5).<br />
NCN5120 — первая ИС приемопередатчика<br />
KNX от ONS. Он реализует стандарт<br />
EIB Instabus для витой пары (9600 бит/с).<br />
Имеет в своем составе два DC/DC-преобразователя<br />
для получения фиксированного<br />
3,3 В и подстраиваемого 3,3–21 В напряжений<br />
с током 100 мА каждый. Это оптимальное<br />
решение, так как с помощью этой ИС<br />
можно сократить число дополнительных<br />
компонентов и обеспечить возможность<br />
питания других устройств непосредственно<br />
от шины. Имеется возможность мониторинга<br />
напряжения на линии и состояния<br />
встроенных DC/DC-преобразователей.<br />
Области применения — вентиляция, кондиционирование,<br />
термостаты, сигнализация<br />
и датчики задымления.<br />
Таблица 11. Основные параметры трансиверов<br />
Обозначение Описание Напряжение питания, В Корпус<br />
NCV7471<br />
NCV7381<br />
NCN5120<br />
Микросхемы памяти<br />
Системообразующий модуль для ECU<br />
1×High-speed CAN-трансивер<br />
2×LIN-трансивера<br />
DC/DC 5 В/500 мА<br />
Стабилизатор 5 В/50 мА<br />
FlexRay-трансивер со скоростью передачи данных<br />
до 10 Мбит/с.<br />
Имеется оценочная плата<br />
KNX-приемопередатчик<br />
со встроенными DC/DC-преобразователями<br />
ONS анонсировала выпуск новых микросхем<br />
EEPROM-памяти CAT25M01<br />
и CAT25M02 (табл. 12). Ранее ONS выпускала<br />
память объемом до 1 Мбит с последовательным<br />
I 2 C-интерфейсом (CAT24xx).<br />
CAT25M02 — первая для компании память<br />
объемом 2 Мбит. CAT25M01 и CAT25M02<br />
имеют последовательный SPI-интерфейс,<br />
вывод HOLD, позволяющий приостанав-<br />
2,5–28 SSOP36-EP<br />
Питание от батареи 5,5–50<br />
Питание ядра 4,75–5,5<br />
Питание логики 2,3–5,5<br />
CAT9557 8-бит I 2 C-расширитель портов ввода/вывода 2,3–5,5<br />
Рис. 4. Ознакомительная плата NCV73812037EVB на базе трансивера NCV7381<br />
Рис. 5. Схема одноканального ECU-модуля на базе NCV7381<br />
SSOP-16<br />
3,3 QFN40<br />
TQFN–16;<br />
TSSOP–16;<br />
SOIC–16<br />
ливать операции обмена по SPI и схему<br />
коррекции ошибок (Error Correction Code).<br />
CAT25M01 и CAT25M02 имеют схему сброса<br />
по питанию (POR): работа микросхем разрешается<br />
лишь при достижении напряжением<br />
питания уровня срабатывания триггера POR.<br />
Если уровень питания ниже минимального<br />
порога, то микросхема переходит в режим<br />
сброса. Все это позволяет применять эту<br />
память в приложениях с минимальным потреблением,<br />
работающих долгое время в ав-<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
тономном режиме (системы сбора данных,<br />
слуховые аппараты и другие медицинские<br />
устройства).<br />
Микросхемы<br />
стандартной логики<br />
Новое семейство логических микросхем<br />
NL17SZxx (табл. 13) имеет широкий диапазон<br />
питающих напряжений (от 1,65 до 5,5 В),<br />
сверхнизкое собственное потребление (порядка<br />
10 мкА), обладает высоким быстродействием<br />
задержки сигнала TPD 2,4 нс (при<br />
5-В питании) и миниатюрными корпусами<br />
(1,61,60,6 мм SOT-553 и 2,22,21,1 мм<br />
SOT-353). Все это позволяет использовать их<br />
в портативных устройствах, в которых требования<br />
быстродействия и малой занимаемой<br />
площади являются определяющими.<br />
Главной особенностью семейства является<br />
толерантность входов и выходов к различным<br />
значениям входного напряжения.<br />
Достигается это отсутствием входных и выходных<br />
диодов, подключенных к выводу<br />
питания. В результате микросхема способна<br />
работать в режиме, когда входное напряжение<br />
может как превосходить напряжение питания,<br />
так и быть меньше него. Это дает возможность<br />
согласовывать устройства с разными<br />
уровнями сигналов (рис. 6).<br />
Прецизионные источники<br />
опорного напряжения<br />
CAT8900 — прецизионный источник<br />
опорного напряжения со сверхнизким собственным<br />
потреблением. Выпускается только<br />
с фиксированным выходным напряжением:<br />
1,024, 1,2, 1,25, 1,8, 2,048, 2,5, 2,6, 3 и 3,3 В.<br />
Начальная точность выходного напряжения<br />
определяется классом:<br />
• класс B (±1 мВ);<br />
• класс С (±2,5 мВ);<br />
• класс D (±5 мВ).<br />
Температурная стабильность — не хуже<br />
50 (10 –6 /°C), а чувствительность к изменению<br />
входного напряжения — не более 100 мкВ/В.<br />
Максимальное значение собственного потребления<br />
— 0,8 мкА, максимальный выходной<br />
ток — 10 мА.<br />
Микросхема выпускается в корпусе SOT-23<br />
и во многих случаях не требует выходного<br />
фильтрующего конденсатора, что обеспечивает<br />
малую площадь при монтаже. Все это<br />
позволяет использовать данный источник<br />
опорного напряжения в измерительных<br />
схемах, ответственных медицинских приложениях,<br />
а также портативных приложениях<br />
с определенным уровнем потребления и занимаемой<br />
площади.<br />
Микросхемы<br />
контроля напряжения<br />
NCS37000 — сигнальный процессор для<br />
устройств защитного отключения (УЗО).<br />
Таблица 12. Основные параметры EEPROM-памяти<br />
компоненты<br />
Тип Интерфейс Емкость, Мбит Организация Напряжение питания, В Температурный диапазон, °C Корпус<br />
CAT25M01VE-GT3 SPI 1 128K×8 2,5–5,5 –40…+125 SOIC-8<br />
CAT25M01VEI-GT3 SPI 1 128K×8 1,8–5,5 –40…+85 SOIC-8<br />
CAT25M01XI-T2 SPI 1 128K×8 1,8–5,5 –40…+85 SOIC-8<br />
CAT25M01YE-GT3 SPI 1 128K×8 2,5–5,5 –40…+125 TSSOP-8<br />
CAT25M01YI-GT3 SPI 1 128K×8 1,8–5,5 –40…+85 TSSOP-8<br />
CAT25AM02V SPI 2 256K×8 1,7–3,6 –40…+85 SOIC-8<br />
CAT25AM02D SPI 2 256K×8 1,7–3,6 –40…+85 DIE–PAD<br />
CAT25M02V SPI 2 256K×8 1,6–3,6 –40…+85 SOIC-8<br />
CAT25M02VI SPI 2 256K×8 1,6–3,6 –40…+125 SOIC-8<br />
CAT25M02D SPI 2 256K×8 1,6–3,6 –40…+85 DIE–PAD<br />
CAT25M02DI SPI 2 256K×8 1,6–3,6 –40…+125 DIE–PAD<br />
Таблица 13. Основные параметры новых микросхем стандартной логики<br />
Обозначение Тип Особенности Напряжение питания, В Корпус<br />
NL17SZ04 1×инвертор Задержка TPD 2,4 нс (при 5-В питании)<br />
Выход ОК*<br />
NL17SZ06 1×инвертор<br />
Возможность работы выходного<br />
транзистора с напряжением до 7 В<br />
Выход ОК*<br />
NL17SZ07 1×буфер<br />
Возможность работы выходного<br />
транзистора с напряжением до 7 В<br />
NL17SZ14 1×инвертор Триггер Шмитта<br />
NL17SZ16 1×буфер Задержка TPD 2,4 нс (при 5-В питании)<br />
NL17SZ17 1×буфер Триггер Шмитта<br />
NL17SZ00 1×И-НЕ Задержка TPD 2,4 нс (при 5-В питании)<br />
NL17SZ02 1×ИЛИ-НЕ Задержка TPD 2,4 нс (при 5-В питании)<br />
NL17SZ08 1×И Задержка TPD 2,4 нс (при 5-В питании)<br />
NL17SZ32 1×ИЛИ Задержка TPD 2,4 нс (при 5-В питании)<br />
NL17SZ86 1×исключающее ИЛИ Задержка TPD 2,4 нс (при 5-В питании)<br />
NL17SZ125 1×буфер Наличие инвертированного вывода разрешения<br />
NL17SZ126 1×буфер Наличие вывода разрешения<br />
Примечание. * ОК — открытый коллектор.<br />
Рис. 6. Согласование микросхем с различными логическими уровнями<br />
Рис. 7. Совместная работа нескольких мониторов напряжения питания NCP308<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
1,65–5,5<br />
SOT-353<br />
2,2×2,2×1,1 мм<br />
SOT-553<br />
1,6×1,6×0,6 мм<br />
97
98<br />
компоненты<br />
Имеет в своем составе специализированный<br />
цифровой сигнальный процессор, который<br />
позволяет работать в зашумленных цепях<br />
без необходимости использования сложных<br />
фильтров. Кроме того, интегрированный<br />
LDO-регулятор и шунт также позволяют<br />
сократить число внешних компонентов.<br />
Микросхема соответствует стандарту UL943<br />
для устройств защитного отключения.<br />
NCP308 пополняет линейку NCP30x малопотребляющих<br />
мониторов напряжения<br />
питания. Основным отличием от предыдущих<br />
версий (NCP302/NCP303) является<br />
вход ручного сброса (Manual Reset, MR), ко-<br />
новости силовая электроника<br />
торый позволяет создавать цепочки мониторов<br />
в системах со многими питающими<br />
напряжениями (рис. 7). Еще одной особенностью<br />
по сравнению с предыдущими моделями<br />
стала очевидная ориентация на меньшие<br />
значения уровня напряжения: версии<br />
NCP308SNADJ/NCP308MTADJ позволяют<br />
подстраивать величину напряжения срабатывания<br />
начиная с 0,405 В.<br />
Микросхема выпускается в миниатюрных<br />
корпусах TSOP-6 и WDFN-6. Типовое собственное<br />
потребление — порядка 1,6 мкА.<br />
Напряжение питания — 1,6–5,5 В. Тип выхода<br />
— открытый сток. Область примене-<br />
Новый цифровой драйвер SEMIKROn SKyPER 42LJ<br />
для инверторов мощностью до 400 кВт<br />
Компания SEMIKRON представляет новый цифровой драйвер SEMIKRON<br />
SKYPER 42LJ для инверторов мощностью до 400 кВт.<br />
Увеличение эффективности преобразования промышленных инверторов<br />
средней и высокой мощности достигается за счет применения различных топологий<br />
схем, оптимизированных для конкретного применения. Одним из наиболее<br />
действенных методов увеличения КПД является чередование фаз (интерливинг)<br />
при последовательном и параллельном включении ячеек. Однако этот способ<br />
предъявляет очень высокие требования к качеству передачи сигнала управления.<br />
Новое ядро драйвера SKYPER 42LJ (Low Jitter) компании SEMIKRON объединяет<br />
преимущества цифровой передачи данных и высокую функциональную<br />
насыщенность.<br />
Двухканальное устройство управления SKYPER 42LJ предназначено для<br />
управления полумостовыми модулями IGBT с рабочим напряжением 600,<br />
1200 и 1700 В. При среднем выходном токе 80 мА драйвер способен работать<br />
с силовыми ключами с током до 1000 А при максимальной частоте<br />
коммутации 100 кГц. Специализированная микросхема (ASIC) высокой<br />
степени интеграции осуществляет независимое управление изолированным<br />
источником питания и формирование потока данных, что гарантирует прецизионную<br />
передачу сигнала при максимальной величине джиттера ±1,5 нс<br />
и фазовой ошибке менее 20 нс во всем диапазоне рабочих температур.<br />
Подобные временные характеристики, а также стабилизация напряжения<br />
затвора обеспечивают симметричную работу параллельно соединенных<br />
силовых ключей без использования выравнивающих индуктивностей.<br />
Кроме того, в SKYPER 42LJ предусмотрена возможность регулирования<br />
времени подавления шумовых импульсов, что позволяет адаптировать ядро<br />
для конкретной задачи.<br />
Драйвер SKYPER 42LJ отличается высокой производительностью,<br />
а также надежностью и безопасностью управления. Схема защиты имеет<br />
модифицированный режим SoftOff, позволяющий прерывать токи КЗ<br />
при низком уровне коммутационных всплесков и динамических потерь.<br />
Когда напряжение DC-шины достигает заданного уровня, она выключает<br />
выходные транзисторы. Кроме того, при активизации функции активного<br />
ограничения напряжения на коллекторе схема защиты блокирует сигналы<br />
выключения затвора. Разделение каналов передачи управляющих импульсов<br />
и сигналов ошибки обеспечивает быстрое формирование сигнала<br />
ошибки в аварийных режимах даже при работе в 3-уровневых схемах (3L<br />
NPC) и исключает перекрестную связь. У драйвера SKYPER 42LJ предусмотрена<br />
возможность отключения функции InterLOCK, что необходимо<br />
при работе 3L-инвертора.<br />
Благодаря возможности настройки схемы управления интегрированная защита<br />
драйвера и контроллер верхнего уровня способны быстро реагировать<br />
на неисправности, возникающие в системе. Цифровой способ транслирования<br />
ния — ноутбуки, компьютеры и портативные<br />
батарейные устройства.<br />
Заключение<br />
Новые компоненты компании ON Semiconductor<br />
обладают отличными характеристиками<br />
и обязательно найдут свое применение<br />
в самых различных областях, например<br />
в портативных устройствах (смартфонах,<br />
ноутбуках), источниках питания, промышленном<br />
оборудовании, аудиоприложениях,<br />
освещении, измерительных приборах, медицинской<br />
и специальной технике. n<br />
данных с помощью потока высокочастотных импульсов обеспечивает гораздо<br />
более высокий уровень устойчивости и помехозащищенности по сравнению<br />
с традиционным методом передачи фронтов через изолирующий трансформатор.<br />
За счет этого SKYPER 42LJ, рассчитанный на напряжение изоляции<br />
4 кВ, способен безопасно коммутировать управляющие сигналы при экстремально<br />
высоком уровне электромагнитных помех. Драйвер имеет независимый<br />
изолированный вход для передачи на контроллер сигналов датчика<br />
температуры или напряжения. При этом дополнительный источник питания<br />
не требуется.<br />
Благодаря цифровому способу передачи данных временные характеристики<br />
SKYPER 42LJ не зависят от параметров компонентов и не меняются в течение<br />
срока службы. Применение специализированной интегральной схемы нового<br />
поколения и экранирующего слоя для защиты от EMI обеспечивает беспрецедентный<br />
уровень надежности: наработка на отказ (MTBF) нового драйвера<br />
составляет более 6 млн часов, что является рекордным показателем для изделий<br />
этого класса.<br />
На базе ядра SKYPER 42LJ компания SEMIKRON разработала трехфазный<br />
драйвер для управления модулем SKiM 93, предназначенным для применения<br />
в транспортном тяговом приводе мощностью до 200 кВт, а также схему<br />
управления 3-уровневым инвертором.<br />
www.semikron.spb.ru<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Реклама
100<br />
встраиваемые системы<br />
чечия ХСУ (Chechia HSU)<br />
Санди чЕН (Sandy CHEn)<br />
Варианты цоколевки и функциональность MlOe<br />
MIOe — это разработанный компанией Advantech интегрированный<br />
интерфейсный стандарт, отвечающий требованиям большинства<br />
областей применения. В нем предусмотрен широкий выбор интерфейсов<br />
(DP/DisplayPort, PCIe, USB, LPC, SMBus, линейный аудиовыход<br />
и питание) для удовлетворения разнообразных потребностей<br />
клиентов в части системной интеграции. По сравнению с обыкновенными<br />
одноинтерфейсными стандартами, такими как PCI, PCIe<br />
и PC/104, стандарт MIOe обеспечивает улучшенную интеграцию для<br />
более широкого круга систем и клиентов.<br />
MIOe поддерживает работу до четырех портов Gigabit Ethernet от четырех<br />
интерфейсов PCIe x1, а также множества портов RS232/422/485<br />
с помощью дополнительного контроллера Super I/O, подключенного<br />
к интерфейсу LPC. От интерфейса SMBus с помощью ИС конвертера<br />
SMBus-GPIO можно получать сигнал GPIO (ввода/вывода общего<br />
назначения) для управления переключением между RS232 и RS485.<br />
Если нужно соблюсти дополнительные требования (например, касающиеся<br />
развязки), соответствующие функциональные узлы могут<br />
быть включены в состав того же модуля MIOe. Вдобавок, поскольку<br />
питать модуль MIOe можно непосредственно от процессорной платы<br />
MI/O Extension, в нем не нужно проектировать отдельную зону пита-<br />
Конструктивные преимущества<br />
решения Ml/O Extension<br />
Системная интеграция — непростая задача, облегчить которую стремится<br />
большинство разработчиков. Решение MI/O Extension компании Avantech<br />
поможет клиентам без раскрытия наработок в своих предметных областях<br />
проектировать собственные модули расширения, которые позволят сделать<br />
конструкции более компактными, уменьшить число их компонентов<br />
и сгруппировать источники тепла для повышения надежности.<br />
MI/O Extension — это гибкое и функциональное конструктивное решение<br />
для создания одноплатных встраиваемых систем, задающее новый подход<br />
к компоновке конструкций и проектированию охлаждения.<br />
ния, что существенно упрощает конструкцию. Одноинтерфейсный<br />
стандарт не предусматривает такой возможности, а MIOe позволяет<br />
легко интегрировать системы этих типов.<br />
Как MlOe упрощает проектирование<br />
Схемы и компоновка<br />
Интерфейсы были выбраны для включения в состав MIOe, исходя<br />
из накопленных за много лет знаний и опыта, а также значительной<br />
роли, которая отводится им в будущем отрасли. Цель соединителя<br />
MIOe состоит в том, чтобы реализовать как можно больше интерфейсов<br />
на базе ограниченного числа выводов. Сигналов в одном интерфейсе<br />
немного, что подразумевает относительную простоту схем<br />
и компоновки; а это, в свою очередь, облегчает проектирование модуля<br />
MIOe. Возьмем в качестве примера шину SMBus. Она имеет всего два<br />
провода, что упрощает проектирование схемы и разводку печатной<br />
платы, а отсутствие высокоскоростных сигналов позволяет учитывать<br />
меньше факторов при компоновке, благодаря чему существенно сокращаются<br />
временные и финансовые затраты на разработку.<br />
Хотя высокоскоростные сигналы присутствуют в других интерфейсах,<br />
например USB и PCIe, число таких сигналов невелико, и схема остается<br />
простой. При компоновке следует по-прежнему уделять внимание<br />
длине и импедансу проводников, но эти высокоскоростные сигналы<br />
допускают использование токоведущих дорожек длиной до 25 см и даже<br />
больше (в зависимости от набора микросхем), что позволяет успешно<br />
решать проблемы, связанные с пространственными ограничениями.<br />
Например, соединитель USB можно размещать там, где он действительно<br />
нужен, а не вблизи от соединителя MIOe, как могли бы диктовать<br />
гипотетические ограничения на длину дорожек, и сигналы USB или PCIe<br />
в модуле MIOe не будут вызывать проблем совместимости.<br />
Затраты на проектирование<br />
Плата MI/O Extension может использоваться самостоятельно, и потребность<br />
в проектировании модулей MIOe возникает только в случаях,<br />
когда имеющихся у этой платы функций ввода/вывода недостаточно.<br />
Однако в специализированных применениях бывает трудно<br />
соблюсти определенные технические требования, пользуясь лишь<br />
стандартными решениями. Поэтому для большинства таких применений<br />
потребуется адаптация. С точки зрения клиента это влечет<br />
повышение прогнозируемой себестоимости и единовременных затрат<br />
на проектирование, а также удлинение сроков разработки. Кроме того,<br />
при использовании нестандартного решения может быть трудно сохранить<br />
в тайне определенные наработки в предметной области.<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
встраиваемые системы<br />
Рис. 1. Примеры применения GPIO Рис. 2. Питание процессорной платы и модуля MIOe от одного источника<br />
Конструктивно модуль MIOe аналогичен<br />
модулю ввода/вывода. Самостоятельное<br />
проектирование и разработка такого модуля<br />
с требуемыми функциональными возможностями<br />
— в целом посильная для клиентов<br />
задача, поэтому упомянутые выше барьеры<br />
для разработки снижаются. Самая сложная<br />
в проектировании часть (как правило, это<br />
источник питания) уже встроена в плату<br />
MI/O Extension. Если весь модуль потребляет<br />
не более 25 Вт, проектировать отдельный источник<br />
питания нет необходимости. Все это<br />
существенно снижает затраты на разработку.<br />
Еще одна особенность MIOe — возможность<br />
встраивания широкого ассортимента<br />
устройств. Например, интерфейсы USB<br />
и PCIe обеспечивают подключение к проводным<br />
сетям Gigabit Ethernet, беспроводным<br />
сетям (PCIe), а также к устройствам с интерфейсом<br />
COM и накопителям (USB). Для<br />
реализации ввода/вывода общего назначения<br />
(GPIO) можно использовать преобразователь<br />
PCIe-GPIO, но стоимость такого решения будет<br />
относительно высока даже с учетом роста<br />
скорости передачи данных GPIO, достижимого<br />
за счет большей пропускной способности<br />
шины PCIe. Если же вместо этого использовать<br />
преобразователь SMBus-GPIO,<br />
стоимость будет существенно ниже, но при<br />
этом упадет и скорость. Время отклика измеряется<br />
миллисекундами, а конкретное схе-<br />
Рис. 3. Преимущества MIOe при использовании различных интерфейсов<br />
мотехническое решение зависит от области<br />
применения и требований клиента.<br />
Проектирование источника питания<br />
Питание MIOe планируется исходя из того,<br />
что процессорная плата предоставляет модулю<br />
MIOe напряжения питания 12 и 5 В в расчете<br />
на потребляемую мощность не менее<br />
25 Вт. Для большинства применений этого<br />
достаточно. Если модуль MIOe потребляет<br />
более 25 Вт, можно изменить схему питания<br />
таким образом, чтобы один источник питал<br />
одновременно процессорную плату и модуль<br />
MIOe, как показано на рис. 2.<br />
Питание MIOe рассчитано на конструкции<br />
как с низким, так и с высоким энергопотреблением.<br />
В маломощных схемах модуль MIOe<br />
можно питать напрямую от процессорной<br />
платы, причем в этом случае процессорная<br />
плата непосредственно управляет временной<br />
синхронизацией включения и выключения<br />
питания модуля. Тем самым предотвращаются<br />
сбои при включении системы из-за неверной<br />
последовательности подачи напряжений<br />
питания, а это позволяет уменьшить затраты<br />
времени, сил и средств на разработку.<br />
техническая документация<br />
Для клиентов, внедряющих архитектуру<br />
на базе MIOe, компания Advantech подготовила<br />
технические документы «Спецификация<br />
MI/O Extension» и «Руководство по проектированию<br />
модулей MIOe». В них приведена<br />
вся информация, которая понадобится конструкторским<br />
коллективам, включая цоколевку,<br />
инструкции по механическому сопряжению<br />
и проектированию охлаждения.<br />
У компании Advantech имеются демонстрационные<br />
платы и стандартные модули MIOe,<br />
позволяющие быстро проверить функциональность<br />
MIOe. Клиенты могут оперативно<br />
разрабатывать собственные платы с требуемыми<br />
функциональными возможностями,<br />
что привносит методичность в процесс проектирования<br />
и интеграции систем.<br />
Устройства, сопрягаемые<br />
с функциональными блоками MlOe<br />
интерфейс dP<br />
DP (Display Port) — это прикладной интерфейс<br />
для дисплеев, получивший широкое<br />
распространение в современных платформах<br />
с заделом на будущее. Текущие тенденции<br />
указывают на то, что традиционные стандарты,<br />
такие как SDVO и LVDS, будут поддерживаться<br />
только на отдельных платформах, а могут<br />
и вовсе потерять популярность у пользователей<br />
и выйти из употребления. Используя<br />
DP в совокупности с контроллером, можно<br />
реализовать различные интерфейсы — например,<br />
HDMI, LVDS и eDP (рис. 3).<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
101
102<br />
встраиваемые системы<br />
интерфейс PCiext<br />
Интерфейс PCIe рассчитан на высокоскоростные сигналы и использует<br />
достаточно широкую полосу пропускания. В модуле MIOe<br />
имеется четыре гнезда PCIex1, которые могут быть сконфигурированы<br />
как PCIex2 или PCIex4 для повышения пропускной способности<br />
или в связи с конкретными эксплуатационными требованиями (в зависимости<br />
от характеристик набора микросхем). За счет этого MIOe<br />
может использоваться в системах с высокой потребной пропускной<br />
способностью — например, для высокоскоростной передачи данных.<br />
Интерфейс PCIex1 широко применяется для реализации Gigabit<br />
Ethernet и преобразователей PCIe-SATA и PCIe-USB 2.0/3.0, а также<br />
может непосредственно сопрягаться с интерфейсом miniPCIe.<br />
интерфейс usB 2.0/3.0<br />
В MIOe имеются три интерфейса USB 2.0 или один USB 2.0 и один<br />
USB 3.0 (в зависимости от характеристик набора микросхем). USB —<br />
более зрелое решение для устройств памяти (flash-накопители, преобразователи<br />
USB-CF и USB-SD Card, порты USB).<br />
интерфейс lPC<br />
Интерфейс LPC (Low Pin Count) может использоваться для реализации<br />
последовательных портов RS232/422/485, порта принтера, интерфейса<br />
НГМД, модуля TPM, аппаратного монитора, GPIO и других<br />
базовых функций. Конструкции на базе сложных программируемых<br />
логических интегральных схем (CPLD) и программируемых пользователем<br />
вентильных матриц (FPGA) позволяют эффективнее реализовывать<br />
эксплуатационные требования клиентов за счет широких возможностей<br />
модификации. Как показано на рис. 4, клиенты могут изменять микропрограмму<br />
для получения требуемых функциональных и количественных<br />
характеристик устройства (GPIO, ЦАП и АЦП, ОЗУ).<br />
интерфейс smBus<br />
SMBus (System Management Bus) — в настоящее время наиболее широко<br />
распространенный и дешевый интерфейс. Интегральные схемы<br />
с ним можно найти во всех типах аналоговых устройств (ЦАП, АЦП,<br />
датчики напряжения, тока и температуры). Кроме того, уже достаточно<br />
Рис. 5. Примеры решений для охлаждения<br />
Решение для охлаждения<br />
Одноплатный компьютер<br />
MI/O Extension<br />
Модуль MIOe<br />
Рис. 4. Использование интерфейса LPC<br />
давно на процессорных платах широко реализуются цифровые функциональные<br />
блоки, такие как GPIO, тактовые генераторы и ЭСППЗУ.<br />
линейный аудиовыход<br />
MIOe имеет линейный аудиовыход, к которому можно подключить<br />
подходящий для конкретной области применения усилитель<br />
звуковых частот (УЗЧ). Если бы в схему был включен УЗЧ, его характеристики<br />
вряд ли отвечали бы требованиям всех клиентов. Поэтому<br />
в MIOe выбор усилителя оставлен за пользователями: они сами смогут<br />
подобрать усилитель, который лучше всего подходит для конкретного<br />
применения.<br />
Конструктивные преимущества<br />
механической части решения Ml/O Extension<br />
Идея новаторского форм-фактора MI/O Extension возникла как<br />
плод размышлений на тему системной интеграции. Она включает<br />
в себя методы упрощения механических деталей, облегчения сборки<br />
и устранения потенциальных проблем системной интеграции, с которыми<br />
приходилось сталкиваться в прошлом.<br />
MI/O-Compact с модулем MIOe<br />
MI/O-Ultra с модулем MIOe<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Рис. 6. Структура форм-фактора MI/O Extension<br />
оптимизация проводки за счет<br />
интеграции<br />
При сборке шасси используются кабели.<br />
Если проводов слишком много, их приходится<br />
связывать в жгуты, которые создают препятствия<br />
для потока воздуха, а следовательно,<br />
затрудняют охлаждение. Благодаря конструктивным<br />
особенностям форм-фактора MI/O<br />
Extension количество внутренней проводки<br />
сведено к минимуму, а используемые провода<br />
имеют единообразный стиль, что повышает<br />
эргономичность и позволяет избежать сложностей,<br />
связанных со жгутами.<br />
единообразное расположение<br />
соединителей<br />
Соединители (COM, SATA, аудиовыход<br />
и т. д.) располагаются у края платы. Это благоприятствует<br />
системной интеграции, облегчая<br />
выполнение проводки и объединение кабелей<br />
в жгуты, а также обеспечивая удобное<br />
централизованное управление.<br />
группировка источников тепла<br />
Охлаждение MI/O Extension рассчитано<br />
таким образом, чтобы инженерысистемотехники,<br />
термисты и механики могли<br />
скомпоновать систему на этапах проектирования<br />
и разработки без необходимости<br />
специально учитывать расположение мест<br />
наибольшего нагрева на процессорной плате<br />
или разрабатывать отдельные приспособления<br />
для охлаждения. В одноплатных<br />
конструкциях не требуется также оставлять<br />
место под процессорной платой для рассеивания<br />
тепла от нагревающихся компонентов,<br />
что позволяет уменьшить толщину системы.<br />
Компоненты с большим тепловыделением<br />
сосредоточены с одной стороны вверху платы<br />
(рис. 5), и с помощью решения Heatspreader<br />
или специализированных модулей охлаждения<br />
можно легко спроектировать систему без<br />
вентиляторов.<br />
унифицированное расширение<br />
Под платой MI/O Extension располагается<br />
зона модулей расширения (рис. 6), содер-<br />
жащая обращенные наружу соединители<br />
CFast, PCIe Mini Card и MIOe. Это позволяет<br />
клиентам проектировать собственные блоки<br />
расширения, под которые инженераммеханикам<br />
достаточно предусмотреть соответствующие<br />
отверстия или спроектировать<br />
модули с монтажными платами для целей<br />
системной интеграции. Вдобавок на нижней<br />
стороне платы нет компонентов с большим<br />
тепловыделением, отсюда меньше ограничений<br />
на выбор модулей расширения.<br />
Преимущества организации<br />
охлаждения в Ml/O Extension<br />
Одной из серьезных проблем системной<br />
интеграции, с которой часто приходится<br />
сталкиваться клиентам, является тепловыделение<br />
системы. Помимо платы центрального<br />
процессора, в системе имеется еще<br />
целый ряд компонентов, при работе которых<br />
выделяется тепло: в частности, источ-<br />
встраиваемые системы<br />
ник питания, жесткий диск и панельный<br />
инвертор. Вдобавок другие периферийные<br />
устройства могут сами по себе быть источниками<br />
тепла или нагреваться от соседних<br />
компонентов. Если механические компоненты<br />
системы сконструированы неудачно,<br />
это может привести к неполадкам при перегреве<br />
или к необходимости использовать<br />
более высококачественную и дорогостоящую<br />
периферию для обеспечения стабильности<br />
изделия.<br />
В процессе работы набора микросхем<br />
часть их энергии преобразуется в тепло, что<br />
сказывается на надежности и долговечности.<br />
Например, при повышении температуры<br />
окружающей среды на 10 °C срок службы<br />
набора микросхем сокращается вдвое.<br />
Поэтому применение единого интегрированного<br />
радиатора может способствовать<br />
улучшению теплообмена и эффективной<br />
теплопередаче внутри системы и за ее пределы<br />
(рис. 7).<br />
Рис. 7. Модельная термограмма конструкции:<br />
а) с группировкой источников тепла (например, MI/O Extension); б) с рассредоточенными источниками тепла<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
а<br />
б<br />
103
104<br />
встраиваемые системы<br />
Преимущества реализации<br />
централизованного охлаждения<br />
Охлаждение платы MI/O Extension компании Advantech устроено<br />
таким образом, что все тепловыделяющие компоненты, такие как<br />
центральный процессор, южный мост, память, ИС управления питанием,<br />
тактовый генератор и выбранная активная микросхема, сосредоточены<br />
на одной стороне печатной платы. За счет этого клиентам<br />
достаточно обеспечить охлаждение одной поверхности, что упрощает<br />
механическую часть конструкции.<br />
группировка источников тепла<br />
Группировка источников тепла на процессорной плате позволяет<br />
также избежать проблем, связанных с нагревом периферийных<br />
устройств от компонентов с высоким тепловыделением, расположенных<br />
на обратной стороне платы. Если тепловыделяющие<br />
компоненты разбросаны по разным сторонам печатной платы,<br />
то не удастся использовать радиатор для тех компонентов, которые<br />
находятся с противоположной от него стороны, а следовательно,<br />
и эффективно отводить тепло от них. Кроме того, в этом случае<br />
остается меньше места под платой и создаются преграды для потока<br />
воздуха, что препятствует быстрому рассеиванию тепла, накапливающегося<br />
под платой.<br />
максимизация площади теплоотдачи<br />
Форм-фактор MI/O Extension спроектирован в расчете на фиксированную<br />
зону охлаждения, поэтому общая площадь теплоотдачи<br />
максимизируется, и эффективность охлаждения существенно<br />
возрастает.<br />
уменьшение общей высоты системы<br />
Используя предлагаемый в качестве опции теплораспределитель,<br />
можно организовать отвод тепла непосредственно через верхнюю<br />
крышку шасси по всей ее поверхности (рис. 8). Тем самым уменьшается<br />
общая высота системы, в которой иначе могли бы потребоваться<br />
охлаждающие ребра, и достигается оптимальное охлаждение.<br />
новости<br />
Рис. 8. Отвод тепла через верхнюю крышку шасси<br />
позволяет уменьшить общую высоту системы<br />
Заключение<br />
За многолетнюю историю работы на рынке промышленных компьютеров<br />
компания Advantech накопила значительный опыт. Чтобы<br />
помочь клиентам в решении стоящих перед ними задач, компания разработала<br />
и вывела на рынок новаторскую технологию MI/O Extension,<br />
в которой учтены перспективы будущих применений и отзывы участников<br />
отрасли. Помимо облегчения системной интеграции за счет<br />
оптимизации охлаждения и механической части конструкции, эта<br />
технология обеспечивает максимальную расширяемость ввода/вывода,<br />
позволяя клиентам разрабатывать модульные конструкции с наименьшими<br />
затратами ресурсов. А свойственная ей повышенная конструктивная<br />
гибкость открывает возможности для создания новых<br />
решений на базе одноплатных компьютеров Advantech с использованием<br />
технологии MI/O Extension и привлечения новых клиентов. n<br />
ADVAnTECH обновила линейку продукции для электрических подстанций<br />
Компания ADVANTECH представила новый промышленный компьютер UNO-<br />
4683, сертифицированный в соответствии со стандартами IEC 61850-3 и IEEE<br />
1613, а также четыре дополнительных модуля ввода/вывода серии UNOP.<br />
Безвентиляторный компьютер UNO-4683 представляет собой высокопроизводительную<br />
вычислительную платформу на базе процессора Intel<br />
Core i7 с высокими показателями прочности и надежности, выполненную<br />
в компактном форм-факторе высотой 2U для монтажа в 19-дюймовую стойку<br />
и оснащенную двумя входами питания: AC (100 ~ 240 В перем. тока) и DC<br />
(106 ~ 250 В пост. тока).<br />
Новый компьютер соответствует стандартам IEC 61850-3/IEEE 1613 и способен<br />
работать с различными устройствами на электрических подстанциях<br />
благодаря возможности гибкого расширения и широкому спектру коммуникационных<br />
интерфейсов. К ним относятся шесть портов Ethernet с поддержкой<br />
функции объединения, шесть портов USB и два изолированных<br />
последовательных порта RS-232/422/485. Внутри располагаются три конфигурируемых<br />
слота расширения, позволяющих подключать дополнительные<br />
модули ввода/вывода серии UNOP и тем самым адаптировать систему для<br />
применения в приложении клиента.<br />
Модули серии UNOP (UNOP-1514C, UNOP-1618D, UNOP-1628D и UNOP-<br />
1624D), предназначены для работы со встраиваемыми промышленными ком-<br />
пьютерами UNO-4673A и UNO-4683. Они также разработаны в соответствии<br />
со стандартами, определяющими требования по защите оборудования сетей<br />
связи и иных систем на электрических подстанциях от ЭМИ и условий жесткого<br />
климата.<br />
Модели UNO-4673A и UNO-4683 оснащены тремя конфигурируемыми<br />
слотами расширения системы ввода/вывода, в которые вставляются необходимые<br />
дополнительные модули. UNOP-1624D — это дополнительная плата<br />
с четырьмя изолированными портами RS-232/422/485 и поддержкой<br />
интерфейса IRIG-B. Плата UNOP-1514C оснащена четырьмя оптическими<br />
портами 100Base-FX. Два устройства с поддержкой восьми портов RS-232/<br />
422/485 отличаются по изоляции: UNO-1618D не содержит изоляции между<br />
портами, а плата UNO-1628D оснащена ею.<br />
Модули этой линейки легко конфигурируются и интегрируются в компьютеры<br />
UNO-4673A/4683 со светодиодными индикаторами на передней панели.<br />
Эти индикаторы отображают состояние дополнительных приборов серии<br />
UNOP. Использование этих устройств значительно расширяет функциональные<br />
возможности открытой архитектуры UNO-4673A/4683, что позволяет им<br />
выступать в качестве высокопроизводительных шлюзов данных, контроллеров<br />
или преобразователей протоколов на электрических подстанциях.<br />
www.prosoft.ru<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Реклама
106<br />
Введение<br />
проектирование моделирование работы<br />
Виктор ЛИФЕРЕНКО,<br />
д. т. н., профессор<br />
Руслан ПРОСКУРяКОВ,<br />
д. т. н., профессор<br />
Александра КОПТЕВА<br />
Эффективность измерительных приборов<br />
напрямую зависит от отсутствия<br />
влияния на ход исследуемых процессов.<br />
Разрабатываемый прибор, основанный<br />
на комптоновском рассеянии, состоит из двух<br />
составляющих: первичного преобразователя,<br />
взаимодействующего с исследуемой средой<br />
и выявляющего информативный параметр,<br />
и вторичного прибора, в котором происхо-<br />
дит обработка, градуировка, представление<br />
и передача полученного значения.<br />
При движении потока отдельные компоненты<br />
регистрируются первичным преобразователем,<br />
предварительно отградуированным<br />
на газосодержание, за счет<br />
«просвечивания» потока жестким электромагнитным<br />
излучением (в данном случае<br />
это гамма-излучение изотопа Cs 137 ).<br />
Схематическое изображение установки<br />
приведено на рис. 1.<br />
Рис. 1. Структура радиоизотопной измерительной системы:<br />
1 — участок трубы с многокомпонентным потоком, движущимся со скоростью V; 2 — пузырьки свободного газа;<br />
3 — блок излучения; 4 — источник излучения (химический элемент Cs 137 );<br />
5 — блок детектирования прямого излучения N 1 ; 6 — интеллектуальная система;<br />
7, 8 — блоки детектирования рассеянного излучения N 2 и N 3 соответственно;<br />
9, 10 — прямое и рассеянное гамма-излучение соответственно<br />
Имитационная модель<br />
первичного преобразователя<br />
радиоизотопной измерительной<br />
системы нефтяных потоков<br />
Сегодня одной из главных задач при учете нефтяных ресурсов является<br />
разработка высокоточных датчиков покомпонентного состава углеводородов.<br />
Это обусловлено тем фактом, что при добыче нефти из скважины извлекается<br />
не чистый продукт, а нефте-газоводяная эмульсия. (Например,<br />
свободный газ в нефти, после процесса сепарации, может достигать 2%<br />
от всего объема транспортируемого потока, при добыче в России около<br />
600 млн т/год.) Неточность выполнения измерений и контроля транспортируемой<br />
нефти в измерительных линиях коммерческих узлов учета и недостоверность<br />
полученных лабораторных результатов о качестве углеводородного<br />
сырья приводят к многомиллионным потерям для государства<br />
и предприятия, создают разногласия между поставщиком и потребителем,<br />
обостряют обстановку как внутри страны, так и на международной арене,<br />
уменьшают эффективность управления технологическими процессами<br />
и снижают уровень производства [1].<br />
Имитационная модель<br />
выходного сигнала<br />
вторичного преобразователя<br />
Радиоизотопный измеритель плотности<br />
(РИП) — это сложная система оборудования,<br />
включающая большое количество процессов.<br />
Необходимость изучения полученного<br />
аналогового сигнала, дороговизна лабораторного<br />
оборудования и большое количество различных<br />
вариантов — все это обусловило разработку<br />
и применение мощного виртуального<br />
математического аппарата для моделирования.<br />
На рис. 2 приведена структура разрабатываемой<br />
виртуальной модели в системе<br />
LabVIEW. С помощью генераторов случайных<br />
чисел мы получили полную имитацию<br />
полученного сигнала с имитатором пузырей,<br />
перманентно детектируемым прямым и рассеянным<br />
излучением и соответствующими<br />
шумами, что позволяет производить последующую<br />
обработку данных и находить неизвестные<br />
ранее значения зависимости [2].<br />
При этом функции Slide и Slide2 изменяют<br />
амплитудное и временное положение<br />
сигналов.<br />
Световой индикатор Boolean позволяет наглядно<br />
детектировать сигналы, превышающие<br />
заданное значение амплитудного параметра.<br />
Так, например, при большом скоплении пузырей<br />
свободного газа в пункте контроля и учета<br />
нефтепродуктов персонал незамедлительно<br />
получит световой сигнал от индикатора.<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Типичная кривая с выхода датчика изображена<br />
на рис. 3.<br />
Анализ верхнего шума и нижней эпюры позволяет<br />
вычислить все статистические свойства<br />
данного сигнала и подобрать для конкретной<br />
скважины типичную картину. Это необходимо<br />
для анализа поведения кривой во времени<br />
и позволит проследить ее динамику.<br />
С помощью полученной имитационной модели<br />
можно осуществлять мониторинг системы<br />
без больших затрат на лабораторное оборудование<br />
и время, а также провести эксперименты<br />
с большим числом всевозможных испытаний.<br />
Заключение<br />
Следует отметить, что имитационное моделирование<br />
выходного сигнала первичного<br />
преобразователя радиоизотопной измерительной<br />
системы позволяет:<br />
моделирование работы проектирование<br />
Рис. 2. Виртуальная модель выходного сигнала в системе Labview<br />
Рис. 3. Лицевая панель имитационной модели<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
107<br />
• Существенно экономить время, затрачиваемое<br />
на подготовку и проведение сложных<br />
лабораторных испытаний, а также на приведение<br />
полученных данных к исходному<br />
виду для последующей обработки.<br />
• Экономить средства, затрачиваемые на дорогостоящее<br />
лабораторное оборудование.<br />
• Полностью исключить контакт с опасным<br />
радиоизотопным излучением.<br />
Результаты, полученные при моделировании,<br />
соответствуют результатам лабораторных<br />
испытаний, что доказывает адекватность<br />
разрабатываемой имитационной модели. n<br />
Литература<br />
1. Акимов В. Ф. Измерение расхода газонасыщенной<br />
нефти. М.: Недра, 1978.<br />
2. Суранов А. Я. LabVIEW 7: справочник по функциям.<br />
М.: ДМК Пресс, 2005.<br />
новости силовая электроника<br />
Карбидокремниевые<br />
диоды Шоттки<br />
с рабочим<br />
напряжением 1200 В<br />
в корпусах TO-247 и D3<br />
Корпорация Microsemi представила новое<br />
семейство карбидокремниевых диодов<br />
Шоттки с рабочим напряжением 1200 В<br />
(150 °C), предназначенных для широкого диапазона<br />
промышленных применений: это солнечные<br />
инверторы, сварочное оборудование,<br />
установки для плазменной резки, устройства<br />
быстрой зарядки электромобилей, нефтеразведочное<br />
оборудование и т. п.<br />
В отличие от кремния карбид кремния обладает<br />
высокой теплопроводностью, высоким<br />
электрическим полем пробоя и высокой плотностью<br />
электрического тока, что делает его<br />
оптимальным материалом для производства<br />
устройств большой мощности. Он также имеет<br />
очень низкий коэффициент теплового расширения<br />
(4,0×10 –6 K), и в нем не происходит<br />
фазовых переходов, из-за которых может<br />
произойти разрушение монокристаллов.<br />
Новые SiC-диоды Шоттки разработаны для<br />
применений, где необходимы высокий рабочий<br />
уровень мощности и напряжения наряду<br />
с плотностью мощности и надежностью.<br />
В настоящее время компания Microsemi<br />
является единственным производителем,<br />
предлагающим карбидокремниевые диоды<br />
Шоттки в корпусе для поверхностного монтажа<br />
D3. Этот тип корпуса имеет большую площадку<br />
на обратной стороне, с помощью которой<br />
можно повысить уровень достижимой<br />
плотности мощности и уменьшить стоимость<br />
работ по монтажу компонентов.<br />
Новая линейка карбидокремниевых диодов<br />
Шоттки включает в себя следующие<br />
устройства:<br />
• APT10SCD120BCT (1200 В, 10 А,<br />
два диода с общим катодом, корпус TO-247);<br />
• APT20SCD120B<br />
(1200 В, 20 А, корпус TO-247);<br />
• APT30SCD120B<br />
(1200 В, 30 А, корпус TO-247);<br />
• APT20SCD120S (1200 В, 20 А, корпус D3);<br />
• APT30SCD120S (1200 В, 30 А, корпус D3).<br />
www.icquest.ru<br />
Реклама
108<br />
новости<br />
Новости сайта www.rtsoft.ru<br />
Новейшие COM Express:<br />
лучшие возможности для создания<br />
графических приложений высшего класса<br />
Компании Kontron и «РТСофт» выводят на рынок серию «компьютеров<br />
на модуле» формата COM Express basic, которые поддерживают процессоры<br />
Intel Core 3-го поколения, под общим наименованием COMe-bIP#.<br />
Новинки доступны в версиях с распиновкой Type 2 и Type 6 и оснащаются<br />
процессорами с различной производительностью. Все модули отличаются<br />
беспрецедентным уровнем общих и графических вычислений, поддерживают<br />
три независимых дисплея, обладают новейшими интерфейсами USB 3.0 и шиной<br />
PCI Express 3.0 с увеличенной пропускной способностью. Разработчики<br />
конкурентоспособных интеллектуальных встраиваемых приложений смогут<br />
найти оптимальное соотношение производительности, энергопотребления<br />
и стоимости целевой системы, применяя широкий спектр «компьютеров<br />
на модуле» с процессорами Intel Core 3-го поколения.<br />
Прикладные системы, к которым предъявляются жесткие ограничения<br />
по теплоотдаче, получат ряд конкурентных преимуществ благодаря энергоэффективности<br />
процессоров 3-го поколения, которая на 40% выше по сравнению<br />
с предыдущими поколениями. Улучшенная энергоэффективность —<br />
результат нового 22-нм технологического процесса производства 3 D Tri-Gate<br />
транзисторов. Более того, производительность «компьютеров на модуле»<br />
на процессорах Intel Core 3-го поколения выросла на 20%, а количество<br />
операционных блоков, занятых в интегрированной графической подсистеме<br />
для обработки графики HD-качества, увеличено на 30%.<br />
Улучшенные наборы инструкций Intel Advanced Vector Extensions (Intel<br />
AVX) и SSE для вычислений с плавающей запятой и поддержка OpenCL 1.1<br />
делают «компьютеры на модуле» серии COMe-bIP# оптимальной платформой<br />
для реализации преимуществ нового поколения процессоров Intel Core<br />
в высокопроизводительных встраиваемых системах оборонного, промышленного,<br />
авиакосмического, медицинского и другого назначения. Все модули<br />
поддерживают передовую технологию PCI Express, что позволяет оснащать<br />
созданные на их основе телекоммуникационные и другие решения скоростными<br />
беспроводными модемами 3G/4G и другими устройствами связи. Такие<br />
возможности позволяют создавать управляемые по сети разнообразные<br />
интеллектуальные платформы с высоким уровнем безопасности.<br />
В основе новых модулей Kontron — чипсет Intel QM77 Еxpress и различные<br />
процессоры Intel Core 3-го поколения вплоть до 4-ядерного Intel<br />
Core i7 3615QE с частотой 2,3 ГГц. Модули поддерживают до 16 Гбайт оперативной<br />
памяти DDR3, соответствуют последней версии спецификации COM<br />
Express, включают до семи каналов PCI Express 3.0 в конфигурациях с распиновкой<br />
Type 6 и реализуют параллельную шину PCI в версии с распиновкой<br />
Type 2. Они оснащены большим количеством интерфейсов: 4×USB 3.0,<br />
1×Gigabit Ethernet, 4×SATA (2×SATA 3 и 2×SATA 2) для подключения накопителей.<br />
Встроенный графический процессор Intel GMA HD 4000 iGFX<br />
значительно превосходит предшественников по производительности и поддерживает<br />
три независимых дисплея. Графическая подсистема поддерживает<br />
технологии 3D Blu-ray, AVC/H.264, VC1, WMV9, OpenGL 3.1, DirectX 11<br />
и обеспечивает наивысший уровень производительности. Для подключения<br />
дисплеев доступно множество различных стандартных интерфейсов —<br />
от VGA и SDVO до новейших HDMI, DVI, DisplayPort и eDP. Питание модулей<br />
осуществляется от блоков с напряжением от 8,5 до 20 В постоянного тока,<br />
соответствующих стандарту Open Pluggable Standard (OPS), который применяется<br />
при создании систем Digital Signage.<br />
«Компьютеры на модуле» серии COMe-bIP# поддерживают различные<br />
версии ОС Linux, VxWorks, все операционные системы семейства Windows<br />
и другие ОСРВ. Планируется поддержка ОС Windows 8.<br />
Новая версия расширения реального времени RTx<br />
для Windows<br />
Компания IntervalZero, один из ведущих разработчиков программных решений,<br />
официальным представителем которой в России является «РТСофт»,<br />
объявила о выпуске новой версии расширения реального времени RTX для<br />
Windows — RTX 2012. Этот программный продукт позволяет превратить<br />
Microsoft Windows в операционную систему «жесткого» реального времени.<br />
RTX 2012 совместим как с «настольными», так и со встраиваемыми<br />
ОС Windows и включает поддержку их последних официальных версий —<br />
Windows 7 SP1 и Windows Embedded Standard 7 SP1.<br />
Расширение RTX поддерживает режим «симметричной мультипроцессности»<br />
(Symmetric Multiprocessing, SMP) и включает в себя общесистемные<br />
функции отладки, полностью интегрированные в среду разработки Visual<br />
Studio компании Microsoft. Это дает разработчикам широкие возможности<br />
для отслеживания и контроля работы приложений и значительно упрощает<br />
отладку сложных детерминированных приложений.<br />
Выход новой версии значительно повысил уровень доступности RTX для<br />
разработчиков. Стоимость большинства продуктов была существенно снижена,<br />
а на некоторые позиции и вовсе уменьшена вдвое. Основные изменения<br />
коснулись сред исполнения Runtime для многоядерных процессоров, что<br />
позволяет сократить стоимость конечного продукта в проектах, требующих<br />
большой вычислительной мощности задач реального времени.<br />
RTX 2012 является ключевым компонентом платформы RTX RTOS, состоящей<br />
из многоядерных многопроцессорных систем с архитектурой x86,<br />
операционной системы Windows и Ethernet реального времени. В задачах<br />
реального времени эта платформа заменяет цифровые сигнальные процессоры,<br />
микроконтроллеры и другое коммуникационное оборудование, что<br />
существенно сокращает затраты на разработку и стоимость вычислительных<br />
систем, требующих детерминизма или «жесткого» реального времени.<br />
Считается, что при изоляции систем на отдельных ядрах (в частности, при<br />
виртуализации) прямое взаимодействие между ядрами не нужно, и необходимость<br />
симметричной многопроцессорной обработки отпадает. Проблема<br />
такого подхода заключается в том, что приходится создавать громоздкую<br />
коммуникационную систему с избыточностью данных и репликацией. Время<br />
отклика такой системы становится непредсказуемым. В RTX 2012 реализован<br />
прямой доступ к разделяемой памяти всех ядер, что дает оптимальный<br />
детерминизм, а наличие в составе RTX обновленного отладчика помогает<br />
разработчикам максимально использовать это преимущество.<br />
Для расширения реального времени RTX 2012 компания IntervalZero реализовала<br />
новую систему лицензирования продукта, которая будет применяться<br />
и для всех последующих релизов RTX. Новая система лицензирования<br />
обеспечит клиентам необходимую гибкость как при активации лицензий, так<br />
и при разработке целевых приложений. По мере развития RTX RTOS Platform<br />
будет содержать исходный код, совместимый с архитектурами x86 и x64,<br />
поэтому унифицированная система лицензирования поможет клиентам при<br />
миграции их приложений с x86 на x64. IntervalZero планирует выпуск версии<br />
RTX для 64-битных аппаратных платформ в конце этого года.<br />
Опционально c RTX 2012 может поставляться сетевой стек EtherCAT Master<br />
Stack от компании acontis. Сотрудничество с компанией acontis и предлагаемый<br />
набор из расширения RTX и стека EtherCAT — еще один шаг на пути<br />
к превращению платформы RTX RTOS в полноценное решение для систем<br />
реального времени с прошедшими предварительную проверку и сертификацию<br />
приложениями и драйверами в рамках единой интегрированной среды<br />
разработки. Применение EtherCAT Master Stack вместе с расширением RTX<br />
дает OEM-производителям и конечным пользователям ряд преимуществ,<br />
в том числе уменьшение затрат на разработку устройств, сокращение времени<br />
их выхода на рынок, а также их более высокую производительность,<br />
масштабируемость и безопасность.<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Реклама
110<br />
проектирование моделирование работы<br />
Анатолий ДяТЛОВ<br />
Александр ШОСТАК<br />
Тактовая синхронизация фазоманипулированных<br />
сигналов (ФМС) является<br />
важным этапом обработки инфор-<br />
и быстродействия при приеме квазидетерминированных<br />
ФМС на фоне белого шума<br />
целесообразно использовать системотехни- •<br />
частоты ФМС, поступающая в качестве<br />
внешнего целеуказания; FC = FT = 0,8 МГц.<br />
Величина задержки выбирается из условия<br />
мации в процессе демодуляции. Устройства<br />
тактовой синхронизации (УТС) ФМС реаческое<br />
моделирование.<br />
В этой работе рассматривается методика •<br />
t = 1/2FT и составляет 0,625 мкс.<br />
В качестве перестраиваемого узкополоснолизуются<br />
на основе следящих и неследящих моделирования автокорреляционного УТС, го фильтра используется компенсацион-<br />
алгоритмов [1]. При приеме слабых ФМС, реализованного в виде стенда с использованая схема [2], состоящая из режекторного<br />
когда входное отношение сигнал/помеха нием продуктов программы системотехни- фильтра, двух смесителей, узкополосного<br />
по напряжению gвх < 1, а временной ресурс ческого моделирования System View компа- фильтра и перестраиваемого гетеродина.<br />
ограничен, особого внимания заслуживают нии Elanix.<br />
Структура этой схемы приведена на рис. 2.<br />
УТС, реализованные на основе неследящих Для конкретизации модели стенда прини- На рис. 2 приняты следующие обозна-<br />
алгоритмов, в частности, автокорреляционные<br />
УТС, недостаточно освещенные в [1].<br />
Структура автокорреляционного УТС примаем<br />
следующие исходные данные:<br />
• Сигнал представляет собой псевдослучайную<br />
последовате льность (ПСП), сфорчения:<br />
РФ — режекторный фильтр; СМ1 ,<br />
СМ2 — смесители; УФ — узкополосный<br />
фильтр; ПГ — перестраиваемый гетеродин.<br />
ведена на рис. 1, где ЛЗ — линия задержки; мированную по закону Голда и имеющую Выбор компенсационной схемы обуслов-<br />
П — перемножитель; УФ — узкополосный<br />
фильтр; УУ — устройство управления; Огр —<br />
длительность 1024ТЭ в данном случае —<br />
длительность элемента, которая составляет<br />
лен тем, что при этом обеспечивается возможность<br />
перестройки частоты в широком<br />
ограничитель; ДЦ — дифференцирующая 1,25 мкс.<br />
диапазоне, инвариантность избирательности<br />
цепь; ОД — однополупериодный детектор; • Помеха представляет собой белый шум. по соседнему каналу, подавление комбина-<br />
РЛЗ — регулируемая линия задержки. • Диапазон тактовых частот ФМС находится ционных продуктов, простота перестройки<br />
Алгоритм работы автокорреляционного<br />
УТС описывается следующим соотношением: •<br />
в интервале от FН = 0,4 МГц до Fв = 1,6 МГц.<br />
На входе автокорреляционного УТС устасредней<br />
частоты фильтра путем изменения<br />
(при наличии целеуказаний) частоты ПГ.<br />
F[y12 (t)]→{UУФ (t)→U0 (t)→UД (t)→UT (t)},<br />
навливается ФНЧ с верхней граничной частотой<br />
FC = Fˆ<br />
T , где Fˆ<br />
T — оценка тактовой<br />
Однако наряду с достоинствами у компенсационной<br />
схемы есть такой недостаток, как по-<br />
U УФ (t) = U УФ cos(2pF T t),<br />
где F[y 12(t)] — оператор, соответствующий<br />
последовательному преобразованию аддитивной<br />
смеси y 12(t) компонента s 1(t), представляющего<br />
манипулирующую последовательность<br />
ФМС П(t) и гауссовой стационарной<br />
помехи n 2(t), снимаемой с выхода<br />
фильтра нижних частот квазикогерентного<br />
демодулятора, в гармонический процесс<br />
U УФ (t) с последующим ограничением U o (t),<br />
дифференцированием U Д(t) и выделением<br />
однополярных стробирующих импульсов<br />
в виде тактовой последовательности U T (t);<br />
F T — тактовая частота П(t); t = 1/2F T — запаздывание,<br />
вносимое ЛЗ; f УФ , Df УФ — средняя<br />
частота и полоса пропускания УФ.<br />
Для проверки результатов теоретических<br />
исследований автокорреляционного УТС<br />
и оптимизации его помехоустойчивости<br />
Моделирование<br />
автокорреляционного устройства<br />
тактовой синхронизации<br />
фазоманипулированных сигналов<br />
В статье приведены исследования особенности построения стенда для<br />
моделирования автокорреляционного устройства тактовой синхронизации<br />
и обеспечения оптимизации его помехоустойчивости и быстродействия.<br />
Рис. 1. Структура автокорреляционного УТС<br />
Рис. 2. Структура компенсационной схемы<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
г<br />
в<br />
Рис. 3. Меню функциональных узлов<br />
явление комбинационных составляющих в выходном эффекте за счет<br />
наличия СМ 1 и СМ 2. С целью уменьшения уровня комбинационных составляющих<br />
до допустимого уровня, например не менее чем на –60 дБ,<br />
при выборе параметров функциональных узлов (ФУ), входящих в компенсационную<br />
схему, используется методика, приведенная в работе [2].<br />
При заданном частотном диапазоне тактовых частот ФМС<br />
F T∈[0,4–1,6] МГц предлагается использовать РФ с граничными частотами<br />
f H = 4 МГц и f в = 6 МГц; УФ со средней частотой F Ф = 2 МГц<br />
и полосой пропускания Df Ф = 5 кГц и ПГ, перестраиваемый в диапазоне<br />
от f ГН = 2,4 МГц до f Гв = 3,6 МГц.<br />
При таком выборе параметров ФУ компенсационной схемы имеется<br />
пораженный частотный участок при F T = 1 МГц, поскольку при<br />
этом после СМ 1 в УФ появляется комбинационный продукт с частотой<br />
2F Т →F Т , а после СМ 2 на выходе РФ возникает комбинационный<br />
продукт с частотой 2F Т –f Г →F T .<br />
При приеме ПСП с F T = 0,8 МГц для перестройки частоты ПГ формируется<br />
целеуказание по частоте исходя из условия f Г = F T +F Ф = 2,8 МГц.<br />
моделирование работы<br />
проектирование<br />
а б<br />
ж з<br />
д е<br />
Рис. 4. Меню системного моделирования<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
111
112<br />
Рис. 5. Функциональная схема модели<br />
а<br />
б<br />
в<br />
г<br />
д<br />
е<br />
проектирование моделирование работы<br />
Рис. 6. Временные и спектральные эпюры на выходах функциональных узлов<br />
При составлении функциональной схемы<br />
модели автокорреляционного УТС из панели<br />
библиотек окна System отбираем следующие<br />
функциональные узлы:<br />
• Генератор прямоугольных импульсов —<br />
ФУ0 , выбираем по адресу main libraries/<br />
source/Periodic/Pulse Train. Окно меню<br />
с параметрами приведено на рис. 3а.<br />
• Генератор кода Голда — ФУ1<br />
, выбираем<br />
по адресу optional libraries/Comm/(Filters/<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
а<br />
б<br />
в<br />
г<br />
д<br />
Рис. 7. Эпюры тактовых последовательностей<br />
data)/gold Code. Окно меню с параметрами представлено<br />
на рис. 3б.<br />
• Генератор шума — ФУ3,<br />
выбираем по адресу main libraries/<br />
source/(noise/Pn)/unif noise. Окно меню с параметрами изображено<br />
на рис. 3в.<br />
• ФНЧ — ФУ4,<br />
выбираем по адресу main libraries/operator/<br />
(Filters/systems)/linear sys Filters/Analog. Окно меню с параметрами<br />
приведено на рис. 3г.<br />
• Линия задержки — ФУ6<br />
, выбираем по адресу main libraries/operator/<br />
delays/delay. Окно меню с параметрами представлено на рис. 3д.<br />
• Перестраиваемый гетеродин — ФУ8,<br />
выбираем по адресу main<br />
libraries/source/Periodic/sinusoid. Окно меню с параметрами изображено<br />
на рис. 3е.<br />
• УФ — ФУ9<br />
, выбираем по адресу main libraries/operator/(Filters/<br />
systems)/linear sys Filters/Analog. Окно меню с параметрами приведено<br />
на рис. 3ж.<br />
моделирование работы<br />
проектирование<br />
• РФ — ФУ11,<br />
выбираем по адресу main libraries/operator/<br />
(Filters/systems)/linear sys Filters/Analog. Окно меню с параметрами<br />
приведено на рис. 3з.<br />
• Сумматор — ФУ2,<br />
выбираем по адресу main libraries/Adder.<br />
• Перемножитель — ФУ5<br />
, ФУ7 , ФУ10 , выбираем по адресу<br />
main libraries/multiplier.<br />
• Ограничитель — ФУ12<br />
, ФУ14 , выбираем по адресу<br />
main libraries/Function/non linear/limit.<br />
• Дифференцирующая цепь — ФУ13<br />
, выбираем по адресу<br />
main libraries/operator/(integral/diff)/derivative.<br />
• Однополупериодный детектор — ФУ15,<br />
выбираем по адресу<br />
main libraries/Function/non linear/Half rctfy.<br />
• Регулируемая линия задержки — ФУ16<br />
, выбираем по адресу<br />
main libraries/operator/delays/delay;<br />
• Анализатор данных — ФУ17,<br />
ФУ18, ФУ19, ФУ20, ФУ21, ФУ22, выбираем по адресу main libraries/sink/Analysis/Analysis.<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
113
114<br />
проектирование моделирование работы<br />
Рис. 8. Зависимость быстродействия УТС от полосы пропускания УФ<br />
Анализ будет выполняться при одинаковых параметрах, которые<br />
представлены на рис. 4 и устанавливаются в меню system Time<br />
specification, где видно:<br />
• время моделирования<br />
ТМОД = 1024ТЭ = 1,28 мс;<br />
• частота дискретизации FД = 200 МГц;<br />
• количество циклов моделирования — 1.<br />
Функциональная схема модели автокорреляционной УТС приведена<br />
на рис. 5.<br />
Моделирование проводится в три этапа. В ходе первого этапа<br />
осуществляется проверка принципа действия, в ходе второго этапа<br />
оценка быстродействия и оптимизация параметров ФУ, а в ходе<br />
третьего этапа — оценка помехоустойчивости и оптимизация параметров<br />
ФУ. При проведении первого этапа моделирования<br />
на вход автокорреляционного УТС подается ПСП по закону Голда<br />
с амплитудой Um = 1 В с тактовой частотой FТ = 0,8 Гц и длительностью<br />
кодового интервала ТК = 1024/FT = 1280 мкс. При этом корректируются<br />
параметры ФНЧ, ЛЗ и ПГ следующим образом: FC = FT = 0,8 МГц;<br />
t = 1/2FT = 0,625 мкс; fГ = FT +FФ = 2,8 МГц.<br />
Рис. 9. Эпюра ПСП на входе УТС<br />
Рис. 10. Эпюра шума на входе УТС<br />
В процессе первого этапа моделирования фиксируется временное<br />
и спектральное представления на выходе генератора ПСП<br />
(рис. 6а), на выходе ФНЧ (рис. 6б), на выходе перемножителя ФУ 5<br />
(рис. 6в), на выходе УФ (рис. 6г), на выходе РФ (рис. 6д) и на выходе<br />
УТС (рис. 6е). Они подтверждают теоретические расчеты<br />
и раскрывают процедуры преобразования ПСП U s (t) в тактовую<br />
последовательность импульсов отсчета, используемых в процессе<br />
демодуляции.<br />
Поскольку автокорреляционное УТС относится к классу неследящих<br />
устройств, то его быстродействие определяется инерционностью<br />
линейных ФУ, среди которых наибольший вклад вносит УФ. В ходе<br />
проведения второго этапа моделирования в качестве переменного параметра<br />
используется полоса пропускания УФ Df Ф , а быстродействие<br />
автокорреляционного УТС Т Б зависит от длительности переходного<br />
процесса напряжения U T (t), которое определяется с момента подачи<br />
ПСП на вход УТС t 0 и до момента t 0 +Т Б , когда амплитуда напряжения<br />
U T (t) составит 0,8 амплитуды от установившегося значения.<br />
В процессе второго этапа моделирования проводится ряд сеансов,<br />
аналогичных первому этапу моделирования, но при разных значениях<br />
Df Ф . На рис. 7 представлены напряжения U T (t) при Df Ф ∈[5; 10; 15;<br />
20; 30] кГц, на которых используются маркеры определенных значений<br />
быстродействия Т Б ≡ х при y ≅ 0,8U mT .<br />
По полученным результатам строится зависимость Т Б = F(Df Ф )<br />
(рис. 8), на основе которой при заданной величине быстродействия Т Б<br />
можно оптимизировать величину Df Ф .<br />
Для оценки помехоустойчивости автокорреляционного УТС, при<br />
Df Ф = 5 кГц, используется зависимость:<br />
σТ Э /Т Э = F(g вх ), g вх = U m /(σ n √2)),<br />
где σТ Э /Т Э — относительная среднеквадратичная погрешность флуктуаций<br />
временного положения строб-импульсов тактовой последовательности;<br />
g вх — отношение сигнал/шум по напряжению после<br />
ФНЧ; σ n — дисперсия шума на выходе ФНЧ.<br />
При проведении третьего этапа моделирования проводится ряд<br />
сеансов, при которых на вход УТС подается аддитивная смесь ПСП<br />
и шума с разными значениями g вх , а на выходе УТС фиксируются<br />
значения напряжения тактовой последовательности U Tn (t) со смещенными<br />
по времени строб-импульсами. На рис. 9, 10 приводятся<br />
эпюры напряжений ПСП и шума на выходе УТС с использованием<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
а<br />
б<br />
в<br />
для расчета g вх маркерных оценок U m и σ n , а на рис. 11 — эпюры напряжения<br />
U Tn(t) при разных значениях g вх с наложенными эпюрами<br />
U T (t)g вх →∞ и П(t).<br />
моделирование работы<br />
Рис. 11. Эпюры напряжений на выходе УТС при разных значениях g ВХ : а) при g ВХ = 4,75; б) при g ВХ = 1,52; в) при g ВХ = 0,544<br />
Рис. 12. Зависимость относительной среднеквадратичной погрешности УТС от величины g ВХ<br />
проектирование<br />
На рис. 11в видно, что значение погрешности может достигать σТ Э /Т Э =<br />
= 0,108 при g вх = 0,544, поэтому дальнейший анализ не целесообразен.<br />
В результате статистической обработки эпюр напряжений U Tn(t)<br />
определены значения относительной среднеквадратичной погрешности<br />
σТ Э /Т Э для каждого значения g вх , по результатам которых<br />
построена зависимость σТ Э/Т Э = F(g вх), приведенная на рис. 12.<br />
Эту зависимость можно использовать для оптимизации параметров<br />
УТС при заданной величине σТ Э/Т Э.<br />
Полученные при моделировании результаты подтверждают теоретические<br />
расчеты, обеспечивают возможность оптимизации параметров<br />
УТС при заданных требованиях к быстродействию и помехоустойчивости<br />
и могут найти применение при проектировании<br />
современных средств связи и радиомониторинга. n<br />
Литература<br />
1. Дятлов А. П., Дятлов П. А. Автокорреляционное устройство тактовой<br />
синхронизации // Вопросы радиоэлектроники. Серия «Общие вопросы<br />
радиоэлектроники» РНИИРС. 2008. Вып. 1.<br />
2. Сартасов Н. А., Едвабный В. М. КВ магистральные радиоприемные устройства.<br />
М.: Связь, 1971.<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
115
116<br />
окончание части 2.<br />
начало в № 10`2012<br />
новые технологии<br />
Евгений СИЛКИН,<br />
к. т. н.<br />
elsi-mail@ya.ru<br />
Существенно, что «тепловой источник»<br />
в низкотемпературной плазме<br />
локализован фактически на поверхности.<br />
Как уже было отмечено, в такой плазме<br />
даже самые быстрые ионы, ускоренные<br />
в электрическом поле вблизи поверхности,<br />
имеют сравнительно небольшую энергию<br />
(0,01–0,5 кэВ), и их проникновение вглубь<br />
материала никогда не превышает одной постоянной<br />
решетки.<br />
Отметим еще такую существенную деталь<br />
— выраженную неравномерность нагрева<br />
частей поверхностей в разрядной<br />
зоне (в практических схемах электросинтеза).<br />
То есть мгновенная температура К не одинакова<br />
по всей площади электродов (диэлектрических<br />
слоев).<br />
Разность температур DК в двух точках рабочей<br />
поверхности разрядной зоны возникает<br />
из-за того, что на одну часть поверхности падает,<br />
а с другой части поверхности отводится<br />
тепловой поток. Точка, расположенная ближе<br />
к поверхности, обращенной к разряду (столбу<br />
микроразряда), имеет, очевидно, более высокую<br />
температуру К. На поверхности из материалов<br />
с низкой теплопроводностью (диэлектрический<br />
слой) имеется значительный<br />
градиент температуры и по толщине. То есть<br />
локальная температура поверхности значительно<br />
отличается от температуры держателя<br />
(электрода) по сравнению с теплопроводящей<br />
поверхностью (металл, полупроводниковая<br />
керамика). Таким образом, неравномерность<br />
нагрева еще более выражена.<br />
До каких же температур могут нагреваться<br />
рабочие поверхности разрядной зоны<br />
в барьерном генераторе и, в первую очередь,<br />
диэлектрический слой? Известных<br />
оценок немного. Например, по данным [9]<br />
можно только косвенно оценить локальную<br />
температуру поверхности диэлектрического<br />
слоя, равную 473 К. Там же говорится, что<br />
в качестве диэлектрика нельзя использовать<br />
какие-либо полимерные материалы (фторопласт,<br />
эпоксидные смолы и т. д.). Углерод,<br />
входящий в эти соединения, как считают<br />
авторы, постепенно выгорает, и диэлектрик<br />
разрушается. Здесь косвенная оценка дает<br />
температуру, превышающую 550 К.<br />
Цель работы [21] — повышение стойкости<br />
барьеров из различных органических (по-<br />
Синтез озона<br />
в электрических разрядах<br />
и повышение его эффективности.<br />
Часть 2<br />
лимерных) материалов путем применения<br />
защитного покрытия на основе кремнийорганической<br />
эмали с наполнителем из Al 2 O 3 .<br />
Выбор в качестве связующего кремнийорганической<br />
эмали был обусловлен высокой<br />
«короно-, дуго- и озоностойкостью», а также<br />
стойкостью материалов этой группы к действию<br />
повышенных температур. Снижение<br />
ресурса слоев из органических материалов<br />
объясняется воздействием на диэлектрический<br />
барьер озона и непосредственно электрического<br />
поля, а также высокотемпературным<br />
воздействием каналов микроразрядов.<br />
В этом случае температура поверхности барьеров,<br />
как можно заключить, видимо превышала<br />
500 К.<br />
Действительно, в реальных условиях локальная<br />
температура участков диэлектрического<br />
слоя в местах возникновения микроразрядов<br />
на сотни градусов отличается<br />
от температуры других участков поверхности<br />
[22, 23]. Обьем, занятый разрядом, существенно<br />
меньше объема реактора (V И
нием незначительных флуктуаций положения,<br />
обусловленных изменением локальной<br />
температуры поверхности диэлектрического<br />
слоя из-за дестабилизирующих факторов,<br />
вызванных, в частности, охлаждением электродов<br />
и протоком газа [22, 23]. Нарушение<br />
пространственной «привязки» микроразрядов<br />
возможно в переходных режимах, а также<br />
при использовании специальных типов<br />
диэлектриков, что будет рассмотрено ниже.<br />
Следует, однако, заметить, что тезис о «стохастическом»<br />
характере процессов в барьерном<br />
разряде в литературе по озону доказывается<br />
не очень отчетливо. При утверждениях<br />
о «стохастичности возникновения микроразрядов»,<br />
в частности, измеряются величины<br />
переносимых зарядов, оцениваются диаметры<br />
каналов микроразрядов, определяются<br />
напряжения зажигания, горения, погасания<br />
и прочее — все это параметры совсем<br />
не «случайные». Одновременно констатируется<br />
(например, в [8]), что, вероятно, существует<br />
какой-то механизм запуска последующих<br />
микроразрядов от первого или, возможно,<br />
последующего от предыдущего. Таким<br />
механизмом, полагают авторы этой монографии,<br />
повторяя ранее известные сведения,<br />
может быть «стримерно-лавинообразный»,<br />
когда образование канала инициируется ультрафиолетовым<br />
излучением из ранее возникшего<br />
канала.<br />
Аналогичные представления характерны<br />
также и для работ [9, 24] и ряда других. В [5],<br />
например, установлено, что вся энергия разряда<br />
переходит в тепло и отводится через<br />
расширенную часть канала микроразряда<br />
вблизи электродов. Имеет место некоторое<br />
распределение зарядов, как в объеме разрядного<br />
промежутка, так и на поверхности<br />
электродов. Как следствие, считают авторы,<br />
происходит перетекание поверхностных зарядов,<br />
приводящее к зарождению новых,<br />
не возобновленных мест для микроразрядов.<br />
Этим объясняется, по мнению авторов [5],<br />
что микроразряды не «привязаны» к определенным<br />
местам на поверхности электродов.<br />
Так как локальные разряды через неопределенные<br />
промежутки времени прерываются<br />
и возобновляются, совокупность разрядов<br />
«является стохастической в том смысле, что<br />
все акты случайны, но в целом подчиняются<br />
статистическим законам и могут быть охарактеризованы<br />
в терминах статистики».<br />
Только в последнее время стало распространяться<br />
противоположное мнение, что<br />
микроразряды в барьерном разряде возникают,<br />
все-таки, не «случайным» образом<br />
[3, 16, 27], а их местоположение зависит<br />
от сочетания определенных факторов.<br />
В [16], в частности, говорится о том, что места<br />
появления микроразрядов в очередной<br />
серии определяются расположением поверхностных<br />
зарядов, оставшихся после прохождения<br />
предыдущих серий.<br />
В [27] находим сведения, что в «оптимальных»<br />
условиях «диффузные микроразряды»<br />
в барьерной эксилампе обычно остаются<br />
на одном месте. Возбуждение эксилампы осуществлялось<br />
в описываемых экспериментах<br />
однополярными импульсами, которые имели<br />
амплитуду до 8 кВ, длительность ~1,5 мкс<br />
и частоту следования 75 кГц. После включения<br />
за время порядка 1 с эксилампа начинала<br />
работать в стационарном режиме. За искровой<br />
формой разряда без прокачки рабочей<br />
смеси и без изменения ее состава, как сообщается,<br />
наблюдается стабильное «расконтрагирование»<br />
разряда. Яркие искровые разряды<br />
меняются на конусообразные микроразряды.<br />
Ранее «расконтрагирование» разряда, как говорится<br />
в статье, наблюдалось в импульснопериодических<br />
разрядах СО 2 -лазеров с поперечным<br />
разрядом и прокачкой рабочей<br />
смеси, а также в лазерах на парах металлов<br />
с продольным разрядом, и объяснялось это<br />
изменением состава газовой смеси. Переход<br />
контрагированного разряда в разновидность<br />
диффузного разряда в данных экспериментальных<br />
условиях может быть объяснен,<br />
считают авторы, появлением «убегающих<br />
электронов» при пробое промежутка.<br />
В [27] сообщается, что, как показало фотографирование<br />
разряда, первые диффузные<br />
микроразряды формируются, как правило,<br />
на том месте, где перед этим наблюдался<br />
яркий ветвистый (искровой) канал. Авторы<br />
работы полагают, что в паузе между импульсами<br />
наибольшая остаточная концентрация<br />
электронов остается в области с наибольшей<br />
плотностью тока при предыдущем импульсе.<br />
Эта область имеет сравнительно малые поперечные<br />
размеры и «перемыкает» разрядный<br />
промежуток. При подаче следующего<br />
импульса на границе этой области за счет<br />
«геометрического фактора» происходит<br />
усиление электрического поля, которое, как<br />
предполагают авторы, оказывается достаточным<br />
для появления в разряде «быстрых<br />
электронов». Появлению быстрых электронов<br />
дополнительно способствует нагрев газа<br />
в местах с наибольшей плотностью тока<br />
во время отдельных стадий разряда. Разряд<br />
состоит из диффузных микроразрядов<br />
в виде конусов с сомкнутыми вершинами,<br />
при этом на оси микроразряда хорошо заметен<br />
нитевидный «более яркий диффузный<br />
канал». При нагреве концентрация рабочей<br />
смеси в местах с наибольшей плотностью<br />
тока уменьшается, и это, как полагают авторы,<br />
облегчает ускорение электронов до повышенных<br />
энергий. Поскольку нагрев смеси<br />
до стационарной температуры происходит<br />
за большое число импульсов, то будет и задержка<br />
(1 с) при формировании установившейся<br />
стадии разряда.<br />
Несмотря на то, что последняя цитата,<br />
по большому счету, ничего не объясняет<br />
в реальном процессе, тем не менее она опровергает<br />
приведенное выше утверждение<br />
о роли «поверхностных зарядов». В целом же<br />
источники [3, 16, 27] являются своего рода<br />
определенным подтверждением общих<br />
новые технологии<br />
представлений о «детерминированных процессах»<br />
в барьерных разрядах, изложенных<br />
в [22, 23, 28].<br />
Температура поверхности диэлектрического<br />
слоя, как уже указано, крайне неоднородна.<br />
На поверхности есть участки с очень<br />
высоким значением температуры в местах существования<br />
каналов микроразрядов. Этим<br />
обьясняются, в частности, значительные расхождения<br />
результатов экспериментальных<br />
оценок (разными авторами) и температуры<br />
газа (К) в разрядном промежутке.<br />
Строгое пространственное расположение<br />
каналов микроразрядов в установившемся<br />
процессе обусловлено значительным локальным<br />
нагревом отдельных участков барьера<br />
непосредственно под каналами. Нагрев<br />
локального участка в подавляющем большинстве<br />
случаев приводит к увеличению диэлектрической<br />
проницаемости ε материала<br />
этого участка и локальному росту напряженности<br />
электрического поля, что и «привязывает»<br />
последующие микроразряды к определенному<br />
местоположению (в существующих<br />
конструкциях). Эффективная диэлектрическая<br />
проницаемость ε барьера увеличивается<br />
не только за счет локального повышения<br />
температуры К участков (и тепловой генерации<br />
свободных носителей заряда в самом<br />
диэлектрике за счет его локального нагрева),<br />
но и, в некоторой степени, из-за локального<br />
увеличения проводимости в результате периодической<br />
инжекции электронов в обьем<br />
диэлектрика с металлических электродов генератора<br />
озона. Для наиболее выраженной<br />
зависимости относительной диэлектрической<br />
проницаемости ε материала барьера<br />
от температуры К имеет место и более «строгая<br />
привязка» каналов микроразрядов.<br />
При этом к рассмотренному процессу<br />
не имеют отношения ни «расположение<br />
поверхностных зарядов, оставшихся после<br />
прохождения предыдущих серий» [3, 16, 29],<br />
ни «геометрический фактор», ни, тем более,<br />
«убегающие электроны» [27]. К моменту изменения<br />
полярности напряжения на электродах<br />
«поверхностные заряды» в местах расположения<br />
микроразрядов от предыдущих серий<br />
фактически отсутствуют. Действительно,<br />
если бы это было не так, то непонятным<br />
оставалось бы, как в этом случае (в условиях<br />
существования поверхностных зарядов)<br />
на одних и тех же местах вообще возникают<br />
последующие серии (одного полупериода).<br />
В действительности время «нейтрализации»<br />
(δt) объемных и поверхностных зарядов<br />
на диэлектрическом слое пропорционально<br />
произведению объемного и поверхностного<br />
удельных сопротивлений (δt~ερρ s ), составляет<br />
доли или единицы микросекунд и обычно<br />
снижается с ростом температуры К (за счет ρ<br />
и ρ s ). И «строгая» пространственная привязка<br />
микроразрядов имеет место даже при питании<br />
барьерного генератора униполярными<br />
импульсами напряжения [22, 23, 27]. Эффект<br />
«убегающих электронов», или ЭАЭ (электро-<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
117
118<br />
новые технологии<br />
Рис. 13. Зависимость угла диэлектрических потерь материалов от частоты tgσ = F 4(f) Рис. 14. Зависимость диэлектрической проницаемости от температуры ε = F 5(К)<br />
ны аномальных энергий), невозможно (неясно<br />
как) приложить к исследуемым явлениям.<br />
Кроме того, средняя энергия электронов<br />
в барьерных разрядах озонаторов составляет<br />
единицы электрон-вольт (ЭАЭ же с энергией<br />
J > eU 0 , где е — заряд электрона, то есть в десятки<br />
кэВ, в этих разрядах попросту нет).<br />
Во время существования отдельной серии<br />
возможно прохождение как одного, так<br />
и нескольких стримеров по одному и тому же<br />
каналу. Число последовательных стримеров<br />
зависит от удельного сопротивления —<br />
объемного ρ и поверхностного ρ s , а также<br />
от значения относительной диэлектрической<br />
проницаемости ε нагретого участка слоя.<br />
С возрастанием температуры К значения<br />
удельного сопротивления (ρ, ρ s ) уменьшаются.<br />
Это приводит к более быстрой нейтрализации<br />
«объемных и поверхностных зарядов»<br />
от предыдущих стримеров и росту вероятности<br />
прохождения повторных стримеров. В [3]<br />
серии последовательных стримеров наблюдались<br />
для барьерного разряда в среде влажного<br />
аргона (Аr, Н 2 О). Последовательные<br />
стримеры (незавершенные микроразряды)<br />
могут проходить и в кислородсодержащем<br />
(электроотрицательном) газе, поэтому<br />
противопоставлять эти два вида процессов<br />
по указанному признаку (как это имеет место<br />
в [3]) некорректно. Прохождением последовательных<br />
стримеров, в частности,<br />
объясняется полиэкстремальность кривых<br />
распределения величин зарядов, переносимых<br />
в сериях микроразрядов в кислороде<br />
и в воздухе.<br />
Пространственная привязка каналов микроразрядов<br />
и возникновение повторных<br />
стримеров приводят к снижению выхода<br />
озона и росту энергозатрат из-за увеличения<br />
доли распадающегося озона.<br />
Теперь становится понятным снижение<br />
производительности барьерных генераторов<br />
с ростом влажности (D) газовой смеси<br />
и более низкий выход озона в генераторах<br />
с одним диэлектрическим слоем (с односторонним<br />
охлаждением), а также наклон<br />
и «смещение» участка вольт-амперной характеристики<br />
(I CP = F 1 (U РЭ )) генератора к оси токов<br />
I CP при горении разряда. Все эти явления<br />
обусловлены, соответственно, уменьшением<br />
поверхностного удельного сопротивления ρ s<br />
и возрастанием относительной диэлектрической<br />
проницаемости ε отдельных участков<br />
барьеров (в связи с локальным их нагревом).<br />
Ростом диэлектрической проницаемости ε<br />
при нагреве диэлектрического слоя объясняется<br />
то, что реальная (измеряемая) емкость<br />
барьера С1 (и общая емкость генератора озона)<br />
может превышать расчетную, несмотря<br />
на относительно малую величину Λ (элементарные<br />
емкости участков включены параллельно).<br />
В [27] эксимерная лампа была выполнена<br />
из кварцевого стекла. Именно локальным<br />
нагревом диэлектрического слоя и повышением<br />
напряженности поля за счет увеличения<br />
ε (и емкости) на участках возникновения<br />
микроразрядов в разрядном промежутке<br />
можно объяснить пространственную «привязку»<br />
микроразрядов в эксимерной лампе.<br />
Также радиационным нагревом (излучением)<br />
лампой диэлектрического слоя и изменением<br />
его свойств, в частности диэлектрической<br />
проницаемости ε, обусловлен «эффект<br />
Джоши». Действительно, диэлектрики, как<br />
правило, прозрачны в видимой и ближней<br />
ультрафиолетовой области, но имеют широкие<br />
полосы непрозрачности в инфракрасной<br />
области спектра, излучение в которой<br />
является основным для лампы накаливания.<br />
То, каким будет «эффект Джоши» — «положительным»<br />
или «отрицательным», зависит<br />
от характера (вида) температурной кривой<br />
относительной диэлектрической проницаемости<br />
ε (коэффициент в зависимости может<br />
быть как положительным, так и отрицатель-<br />
ным). Характерно, что «эффект Джоши»<br />
в принципе может не регистрироваться, если<br />
ε зависит слабо (или не зависит) от температуры<br />
К по какой-либо причине.<br />
Обычно с ростом частоты f существенно<br />
снижается тангенс угла диэлектрических потерь<br />
tgσ материала барьера, что приводит<br />
к уменьшению потерь от тока проводимости<br />
в диэлектрическом слое и меньшему нагреву<br />
его поверхности (и, соответственно,<br />
объема). Величина tgσ характеризует «степень<br />
несовершенства» материала диэлектрического<br />
слоя. На рис. 13 приведены графики<br />
зависимости tgσ = F 4 (f ) для некоторых типов<br />
стекла. Можно видеть, что tgσ с ростом<br />
частоты f вначале падает, а затем начинает<br />
расти. В диапазонах частот f, применяемых<br />
в озонаторах (0,05–100 кГц), например, для<br />
стекла С86-1 тангенс угла диэлектрических<br />
потерь может изменяться более чем в 10 раз.<br />
Вместе с тем есть материалы (С40-1) с малыми<br />
диэлектрическими потерями и слабой<br />
зависимостью tgσ от частоты f (tgσ = F 4 (f )).<br />
Одновременно с ростом частоты f питающего<br />
напряжения u для применяемых в современных<br />
генераторах озона диэлектриков,<br />
в частности стекла, зависимость относительной<br />
диэлектрической проницаемости ε<br />
от температуры К становится менее выраженной<br />
(рис. 14). Это объясняет «стабилизирующую»<br />
роль питания барьерного генератора<br />
напряжением повышенной частоты f.<br />
На рис. 14 представлены кривые ε = F 5(К)<br />
для стекла F-36-N при различных значениях f.<br />
Кривые показывают, что в «рабочем» диапазоне<br />
температур К диэлектрического слоя<br />
относительная диэлектрическая проницаемость<br />
ε может измениться более чем в пять<br />
раз (соответственно изменится емкость С1<br />
и электрический режим генератора озона).<br />
При увеличении частоты f (0,3–10 кГц) зависимость<br />
ε = F 5(К) становится менее выраженной.<br />
С ростом температуры К, как правило,<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
растет и величина тангенса угла диэлектрических<br />
потерь tgσ, что отражено на рис. 15.<br />
Здесь даны графики зависимости tgσ = F 6 (К)<br />
для стекла С89-6. Величина tgσ в диапазоне<br />
возможных температур К для этого материала<br />
может возрасти в 10–15 раз. И так же<br />
с ростом рабочей частоты f зависимость<br />
tgσ = F 6 (К) становится менее выраженной.<br />
Рассмотренные (рис. 13–15) графики зависимости<br />
(tgσ = F 4 (f ), ε = F 5 (К) и tgσ = F 6 (К))<br />
подтверждают, как может влиять материал<br />
диэлектрического слоя на характеристики генератора<br />
озона, а также, очевидно, на технологический<br />
результат.<br />
Исключительную важность имеет охлаждение<br />
диэлектрического слоя. Более тонкие<br />
и однородные слои из диэлектрических материалов<br />
с повышенным коэффициентом<br />
теплопроводности обеспечивают более равномерную<br />
и более низкую температуру К поверхности<br />
барьера. Эффективность синтеза<br />
озона в рассматриваемом случае повышается.<br />
В этой связи материал должен обладать<br />
и высокой электрической прочностью.<br />
Значение имеют также объемное ρ и поверхностное<br />
ρ s удельные сопротивления и их зависимость<br />
от различных параметров. Удельные<br />
сопротивления, как отмечалось выше, влияют<br />
на «форму» барьерного разряда. Они в условиях<br />
разряда тоже не являются неизменными.<br />
На рис. 16 представлены графики зависимости<br />
удельного объемного сопротивления ряда<br />
керамических материалов от температуры<br />
ρ = F 7 (К). Удельное объемное сопротивление ρ,<br />
например, керамики ТК-21 при изменении<br />
температуры на ~200 К уменьшается на пять<br />
порядков. В объеме керамического материала<br />
с ростом температуры К возрастает число<br />
свободных носителей заряда. В определенном<br />
смысле увеличение числа носителей заряда эквивалентно<br />
росту относительной диэлектрической<br />
проницаемости ε.<br />
Вид зависимости ρ = F 7 (К) для разных<br />
материалов (при общей тенденции к снижению<br />
ρ с ростом К) различен. В частности, керамика<br />
Ф-17, имея существенно меньшее ρ<br />
при низкой температуре К, на границе диапазона<br />
(473 К) обладает большим сопротивлением,<br />
чем керамика ТК-21. На рис. 17 показано,<br />
как зависит удельное поверхностное<br />
сопротивление стекла от относительной<br />
влажности ρ s = F 8 (D) при различных значениях<br />
температуры. Удельное поверхностное<br />
сопротивление ρ s с ростом температуры К<br />
уменьшается. Увлажнение поверхности<br />
(D→100%) может снизить ρ s на 4–5 порядков.<br />
Графики зависимости ρ s = F 8 (D) для разных<br />
материалов (С47-1, С89-2) также различаются<br />
(и могут, как видно, «пересекаться»).<br />
Таким образом, как поведет себя материал<br />
в условиях разряда, определяется его маркой<br />
(типом).<br />
Температура К диэлектрического слоя —<br />
важнейший параметр, от которого зависят<br />
характеристики, форма разряда и технологический<br />
результат.<br />
Рис. 15. Зависимость угла диэлектрических потерь от температуры tgσ = F 6(К)<br />
новые технологии<br />
Рис. 16. Зависимость объемного удельного сопротивления от температуры ρ = F 7 (К)<br />
Рис. 17. Зависимость удельного поверхностного сопротивления от влажности ρ s = F 8 (D)<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
119
120<br />
новые технологии<br />
Сама по себе величина относительной диэлектрической<br />
проницаемости ε материала<br />
барьера самостоятельного (специфического)<br />
значения не имеет. В качестве диэлектрических<br />
слоев в барьерных генераторах применяют<br />
различные виды стекла, стеклоэмали,<br />
реже керамики со средним значением относительной<br />
диэлектрической проницаемости<br />
ε (в нормальных условиях), равной<br />
5–50 [4]. Давно известны факты улучшения<br />
характеристик генераторов озона при использовании<br />
стекла (для некоторых составов)<br />
и стеклоэмали с добавлением, например,<br />
рутила (ТiO 2 ). Однако попытки [6, 17, 18]<br />
объяснить это улучшение только увеличением<br />
значения относительной диэлектрической<br />
проницаемости ε материалов не корректны.<br />
Действительно, в генераторе обычной конструкции<br />
при отсутствии диэлектрического<br />
(полупроводникового) барьера выход<br />
озона практически отсутствует, несмотря<br />
на то, что материал электрода (металл) имеет<br />
относительную диэлектрическую проницаемость<br />
ε, стремящуюся к бесконечности.<br />
Диэлектрический слой выполняет функцию<br />
«контроллера» разряда. Благодаря барьеру<br />
разряд в озонаторах и имеет дискретную<br />
форму (существует в виде серий микроразрядов).<br />
Здесь хотелось бы понять, что же такое<br />
диэлектрическая проницаемость ε материала<br />
барьера в генераторе озона?<br />
Свойством ослаблять (это и характеризует<br />
величина ε) электрическое поле обладают все<br />
материалы и во всех агрегатных состояниях.<br />
При переменном электрическом поле диэлектрическая<br />
проницаемость ε математически<br />
выражается комплексным числом:<br />
ε = ε в – j ε М ,<br />
где ε в , ε М — соответственно вещественная<br />
и мнимая части комплексного числа.<br />
Отношение мнимой и вещественной частей<br />
(ε М , ε в ) и представляет собой тангенс угла<br />
диэлектрических потерь tgσ, то есть:<br />
–1 tgσ = εМ εв .<br />
Считается, что металлы обладают наибольшей<br />
диэлектрической проницаемостью<br />
1
Реклама<br />
15. Самойлович В. Г., Панин В. В., Крылова Л. Н.<br />
Современные тенденции в конструировании<br />
промышленных озонаторов // Тезисы докл.<br />
Всерос. конференции, посвящ. озону и другим<br />
экологически чистым окислителям, науке<br />
и технологиям. Москва. 7–9 июня 2005 г.<br />
16. Козлов К. В. Современный уровень понимания<br />
механизма барьерного разряда в смесях кислорода<br />
с озоном // Тезисы докл. Всерос. конференции,<br />
посвящ. озону и другим экологически<br />
чистым окислителям, науке и технологиям.<br />
Москва. 7–9 июня 2005 г.<br />
17. Соколова М. В., Кривов С. А., Хулка Л. и др.<br />
Влияние материала диэлектрического барьера<br />
и вытягивающего напряжения на структуру поверхностного<br />
разряда и выход озона // Тезисы<br />
докл. Всерос. конференции, посвящ. озону<br />
и другим экологически чистым окислителям,<br />
науке и технологиям. Москва. 7–9 июня 2005 г.<br />
18. Вобликова В. А., Шаброва Е. А., Шаброва Е. В.<br />
и др. Оптимизация синтеза озона при использовании<br />
специальных диэлектрических материалов<br />
и повышенной частоты тока // Тезисы<br />
докл. Всерос. конференции, посвящ. озону<br />
и другим экологически чистым окислителям,<br />
науке и технологиям. Москва. 7–9 июня<br />
2005 г.<br />
19. Кухта В. Р., Лопатин В. В., Носков М. Д. Влияние<br />
внедренного объемного заряда на формирование<br />
разрядной структуры в диэлектриках //<br />
Письма в ЖТФ. 1993. Т. 19. Вып. 23.<br />
20. Бельков Е. П., Дашук П. Н., Спичкин Г. Л. и др.<br />
Объемный разряд емкостного типа в SF6 //<br />
Письма в ЖТФ. 1993. Т. 19. Вып. 21.<br />
21. Пичугин Ю. П., Кравченко Г. А., Матюнин А. Н.<br />
Совершенствование генераторов озона для<br />
агропромышленного комплекса // Матералы<br />
2-й межд. науч. конференции, посвящ. алтайскому<br />
селу. Барнаул. 7 июня 2011 г.<br />
22. Силкин Е. М. Интенсификация электротехнологий<br />
водоподготовки и водоочистки // Тезисы<br />
докл. межотрасл. науч.-технич. конференции, посвящ.<br />
альтернативной энергетике и проблемам<br />
экологии. Кемер (Турция). 21–28 ноября 1995 г.<br />
23. Силкин Е. М. Физические процессы в барьерных<br />
генераторах при электросинтезе озона //<br />
Материалы XII науч. конференции, посвящ.<br />
химии, новым технологиям и новым продуктам.<br />
Кемерово. 21–24 апреля 2009 г.<br />
новые технологии<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
121<br />
24. Пичугин Ю. П. Структура барьерного разряда<br />
и синтез озона // Тезисы докл. Всерос. конференции,<br />
посвящ. озону и другим экологически<br />
чистым окислителям, науке и технологиям.<br />
Москва. 7–9 июня 2005 г.<br />
25. Kogelschatz U., Eliasson B., Egli W. Dielectric<br />
barrier discharges — principle and applications //<br />
J. Phsique. 1997. Vol. IV-C4.<br />
26. Heuser C., Pietsch G. Pre-breakdown phenomena<br />
between glass-glass and metal-glass electrodes //<br />
Proc. 6 th Int. Conf. on Gas Discharges and their<br />
Applications. Edinburgh, 1980.<br />
27. Тарасенко В. Ф., Шитц Д. В., Ломаев М. И.<br />
О формировании барьерного разряда<br />
в KrCl-эксилампе // Известия вузов. Физика.<br />
2003. № 7.<br />
28. П. 2261837 РФ, МКИ С01 В13/11. Озонатор /<br />
Е. М. Силкин. Опубл. Б. И. 2005. № 28.<br />
29. Лунин В. В., Гибалов В. И., Ткаченко И. С. Синтез<br />
озона в поверхностном барьерном разряде<br />
в кислороде // Тезисы докл. Всерос. семинара,<br />
посвящ. озону и другим экологически чистым<br />
окислителям. Москва. 18–19 июня 2008 г.<br />
30. Силкин Е. М. Совершенствование промышленной<br />
технологии электросинтеза озона // Тезисы<br />
докл. Межд. симпозиума, посвящ. чистой воде.<br />
Екатеринбург. 17–21 апреля 2001 г.<br />
31. З. 2003112555\09 РФ, МКИ Н05 В41/30. Способ<br />
питания электрической нагрузки, содержащей<br />
газоразрядный промежуток / Е. М. Силкин.<br />
Опубл. Б. И. 2003. № 34.<br />
32. Силкин Е. М. Комбинированные электротехнологии<br />
в водоподготовке и водоочистке // Тезисы<br />
докл. Межд. симпозиума, посвящ. чистой воде.<br />
Екатеринбург. 15–19 апреля 2003 г.<br />
33. Силкин Е. М. Повышение эффективности технологий<br />
озонирования питьевых и сточных<br />
вод // Труды VIII Межд. конференции, посвящ.<br />
водоснабжению и водоотведению. Кемерово.<br />
15–18 ноября 2005 г.<br />
34. Силкин Е. М. Повышение эффективности технологии<br />
синтеза озона // Тезисы докл. V Всерос.<br />
науч. конференции, посвящ. энергосбережению<br />
в городском хозяйстве, энергетике, промышленности.<br />
Ульяновск. 20–21 апреля 2006 г.<br />
35. П. 2258670 РФ, МКИ С01 В13/11. Озонатор /<br />
Е. М. Силкин. Опубл. Б. И. 2005. № 23.<br />
36. П. 2336231 РФ, МКИ С02 F1/467. Способ очистки<br />
воды / Е. М. Силкин. Опубл. Б. И. 2008. № 29.<br />
Новое шасси<br />
MicroTCA<br />
высотой 4U<br />
для систем с высоким<br />
коэффициентом<br />
готовности<br />
Компания Schroff предлагает новое<br />
компактное 19-дюймовое шасси стандарта<br />
MicroTCA высотой 4U с резервированием<br />
основных компонентов для использования<br />
в системах с высоким коэффициентом готовности<br />
(до 99,999).<br />
Шасси соответствует спецификации PICMG<br />
MicroTCA.0 R1.0 и предназначено для применения<br />
в ответственных телекоммуникационных<br />
приложениях. Устройство может вмещать<br />
до 12 одинарных модулей АМС, а также два<br />
резервированных модуля MicroTCA Carrier<br />
HUB и два модуля источника питания шириной<br />
до 12 HP. В шасси установлена кросс-плата<br />
с топологией «двойная звезда» для соединения<br />
между собой двух комплектов слотов, образующих<br />
полностью резервированную систему.<br />
Охлаждение шасси — воздушное принудительное.<br />
Оно реализовано по схеме<br />
«спереди — вверх — назад», при этом фильтрующий<br />
элемент можно легко заменить<br />
с передней стороны шасси. Резервирование<br />
охлаждения обеспечивается при помощи двух<br />
блоков с «горячей» заменой, установленных<br />
в задней части шасси. Каждый из блоков<br />
оснащен двумя вентиляторами и системой<br />
управления с контролем температуры.<br />
Конструктивно шасси выполнено<br />
в 19-дюймовом корпусе глубиной 296 мм,<br />
окрашенном снаружи порошковой эмалью<br />
черного цвета RAL9005. При поставке<br />
устройство оснащается полным комплектом<br />
направляющих рельсов, предназначенных<br />
для установки модулей АМС.<br />
www.prosoft.ru<br />
Реклама новости шасси
122<br />
Введение<br />
новые технологии<br />
Святослав ЮРьЕВ<br />
Особую роль в современной жизни<br />
играют автономные источники электрического<br />
тока, в том числе и перезаряжаемые.<br />
Аккумуляторные батареи самого разнообразного<br />
назначения и габаритов, батарейки<br />
для часов, медицинских приборов, имплантируемых<br />
в человеческое тело, и для других<br />
устройств производятся в мире в огромном<br />
и все возрастающем количестве. Однако отработанные<br />
автономные источники питания<br />
представляют угрозу для окружающей среды<br />
и жизни человека.<br />
Габариты существующих источников питания,<br />
вес и их форма ставят перед разработчиками<br />
устройств и объектов, в которых<br />
они используются, крайне трудные задачи<br />
общей компоновки проектируемых приборов.<br />
Существенное значение имеет и стоимость<br />
источников питания, а также их влияние<br />
на экологию в процессе изготовления,<br />
эксплуатации и переработки.<br />
В мире проводятся интенсивные исследования<br />
по усовершенствованию известных<br />
и разработке новых автономных источников<br />
питания, удовлетворяющих современным<br />
техническим, экономическим и экологическим<br />
требованиям.<br />
Вниманию читателей представлены переводы<br />
публикации по этой тематике из журналов<br />
Scientific American и NCLA News.<br />
Напыляемые<br />
источники питания<br />
Группа исследователей недавно объявила<br />
о разработке новой конструкции перезаряжаемых<br />
источников питания, которые<br />
изготавливаются методом напыления необходимых<br />
компонентов. Эта технология может<br />
изменить обычный способ производства<br />
батарей и устранить ограничительные<br />
требования к поверхностям, используемым<br />
для установки устройств, аккумулирующих<br />
электроэнергию.<br />
«Нарисованные» источники питания,<br />
как и ионно-литиевые батареи, состоят<br />
из пяти слоев: это токоприемник положи-<br />
Новое в технологиях<br />
электрических источников питания<br />
Источники питания — неотъемлемая составляющая любых электротехнических<br />
коммерческих и бытовых объектов и устройств, электронных<br />
приборов, бытовой и медицинской техники. В последнее время ученые<br />
разработали новые технологии создания таких источников.<br />
тельного заряда; катод, который притягивает<br />
положительно заряженные ионы;<br />
ионно-проводящий сепаратор; анод, притягивающий<br />
отрицательно заряженные ионы,<br />
и токоприемник отрицательного заряда. Для<br />
решения вопроса о том, как изготавливать<br />
каждый слой, необходимо было решить задачу<br />
найти способ смешения материала, обладающего<br />
электрической проводимостью,<br />
с различными полимерами для того, чтобы<br />
создать краску, которая может быть нанесена<br />
напылением на разные поверхности.<br />
Ниилам Сингх (Neelam Singh), член<br />
группы ученых-материаловедов и химиков<br />
из университета Райса (Rice) в Хьюстоне<br />
и Католического университета города<br />
Лёвен в Бельгии, сказала: «Мы сильно<br />
волновались, пытаясь решить эту задачу.<br />
Сможем ли мы в действительности нарисовать<br />
батарейку на различных поверхностях<br />
и превратить любой объект в накопитель<br />
электроэнергии?»<br />
Сингх сообщила, что цель, которую поставил<br />
перед собой ее коллектив, — решить социально<br />
важную задачу накопления энергии,<br />
создав новую конструкцию перезаряжаемого<br />
источника питания. «Мы пришли к выводу,<br />
что фокус в исследованиях сдвигается в сторону<br />
интегрирования батарей». Это означает,<br />
Рис. 1. Демонстрация устройства<br />
для испытания нарисованных батареек, состоящего<br />
из девяти керамических плиток в комбинации<br />
с солнечным элементом и светодиодной матрицей<br />
что исследователи стараются создать источники<br />
питания типа батареек, которые могут<br />
быть встроены в различные объекты.<br />
Несколько групп разработчиков сосредоточили<br />
свои усилия на создании тонких<br />
и гибких батарей, а также батарей, которые<br />
можно было бы встроить в структуру разных<br />
тканей. Солнечная энергетика — одна из областей<br />
применения, к которой исследователи<br />
проявляют особый интерес. Солнечные панели<br />
могут иметь очень большие площади<br />
полезной поверхности. С учетом этого обстоятельства<br />
конструкция, разработанная<br />
группой исследователей из университета<br />
Райса, является эффективным средством<br />
сбора и накопления электроэнергии в солнечной<br />
энергетике.<br />
Для проведения испытаний этой конструкции<br />
исследователи наносили слои, образующие<br />
батарею, на керамическую ванную<br />
плитку (рис. 1), стекло, гибкие прозрачные<br />
пленки, нержавеющую сталь, на боковую поверхность<br />
глиняной пивной кружки. В каждом<br />
случае батарея работала. В одном эксперименте<br />
к элементу солнечной батареи<br />
была подсоединена нарисованная батарейка,<br />
от которой осуществлялось питание светодиодного<br />
монитора.<br />
По словам Сингх, самой большой проблемой<br />
было сделать батарею одновременно стабильной<br />
и мощной. «Не очень-то легко было<br />
наносить слои один на другой, исключив<br />
при этом емкостную связь между ними, или<br />
находить компромиссные решения для обеспечения<br />
требуемых характеристик батареи».<br />
Рассматривались и вопросы безопасности.<br />
Во многих ионно-литиевых батареях положительный<br />
коллектор тока изготавливается<br />
из алюминия, но микрочастицы этого металла<br />
могут представлять опасность для легких,<br />
так что их использование в аэрозольных красках<br />
может быть опасным для здоровья. В качестве<br />
замены исследователи использовали<br />
углеродные нанотрубки.<br />
Для изготовления катода применялся<br />
литий-кобальт оксид, имеющийся на рынке<br />
электролитический гель играл роль сепаратора,<br />
анод изготавливался из литий-титан<br />
оксида, а коллектор отрицательного заря-<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
да — из меди. Детально информация изложена<br />
в Scientific Reports от 28 июня 2012 г.<br />
Сингх считает, что разработанные их группой<br />
батареи обладают достаточной для их<br />
объема энергией. Их можно наносить на разные<br />
по форме объекты, которые могут быть<br />
изготовлены из различных материалов.<br />
Вилас Пол (Vilas Pol), ученый-материаловед<br />
из национальной лаборатории «Аргон»<br />
(Argonne), не принимавший участия в этой<br />
работе, согласен с тем, что новая конструкция<br />
захватывает воображение, он характеризует<br />
идею как исключительную и выдающуюся<br />
в области разработок и интеграции<br />
источников питания.<br />
Однако в настоящее время «нарисованные»<br />
батарейки еще не полностью готовы для того,<br />
чтобы занять место на полках в местных<br />
магазинах, торгующих бытовой техникой.<br />
Например, слой электролитного сепаратора<br />
не обладает достаточной стабильностью при<br />
воздействии кислорода. Он может взорваться<br />
при контакте с воздухом, так что необходимо<br />
создавать специальные условия при изготовлении<br />
батарей.<br />
Сингх сообщила, что их группа в настоящее<br />
время работает над снижением взаимодействия<br />
материалов с воздухом и влагой,<br />
чтобы обеспечить экологическую безопасность.<br />
Она добавила, что другие группы<br />
работают над созданием изготавливаемых<br />
методом напыления солнечных фотовольтаических<br />
элементов. Кроме того, она считает,<br />
что нарисованные солнечные элементы<br />
будут использоваться в солнечных батареях.<br />
Дома могут превратиться в объекты, которые<br />
преобразуют солнечную энергию в электричество<br />
и способны хранить его.<br />
Позолоченный сепаратор<br />
Перезаряжаемый воздушно-реактивный<br />
аккумулятор, который может накапливать<br />
в 10 раз больше электроэнергии, чем современные<br />
ионно-литиевые аккумуляторы, может<br />
оказаться технологическим прорывом,<br />
который сделает электромобили практичным<br />
транспортным средством, — если такая батарея<br />
когда-либо выйдет за пределы лаборатории.<br />
Ученые во всем мире заняты поисками<br />
наилучшей комбинации материалов, которая<br />
сделает работающий воздушно-литиевый аккумулятор<br />
реальностью, не говоря уже о его<br />
возможности выдерживать многочисленные<br />
циклы зарядки и разрядки.<br />
К настоящему времени ученые нашли материал,<br />
который поможет решить проблему.<br />
Это — золото.<br />
Исследователи из университета Сент-<br />
Эндрюс (Saint Andrews) в Шотландии вначале<br />
решили, что аккумулятор для автомобилей,<br />
сделанный из золота, не сможет найти практического<br />
применения. Однако, используя<br />
золото в экспериментальном аккумуляторе,<br />
они сделали важный шаг на пути создания<br />
жизнеспособного литиевого аккумулятора<br />
Рис. 2. Воздушно-литиевый аккумулятор<br />
для массового рынка, который сможет обеспечить<br />
пробег автомобиля в сотни километров<br />
между подзарядками.<br />
Химики университета Сент-Эндрюс в статье,<br />
опубликованной в [3], сообщили, что их<br />
экспериментальный воздушно-литиевый аккумулятор<br />
(рис. 2), в котором использованы<br />
органический электролит (диметил сульфоксид)<br />
и пористый золотой электрод, сохранял<br />
95% емкости после ста циклов зарядкиразрядки.<br />
Ученые проявляют интерес к воздушнолитиевым<br />
аккумуляторам, поскольку современная<br />
ионно-литиевая технология характеризуется<br />
наличием ограничений на емкость<br />
заряда, что делает ее неприемлемой в долговременном<br />
плане для изготовления аккумуляторов<br />
для автомобилей.<br />
В используемых сейчас ионно-литиевых<br />
аккумуляторах катод (положительный электрод)<br />
изготавливается из окислов металла<br />
или металл-фосфатов (обычно это кобальт,<br />
марганец или материалы на основе ионов<br />
железа), анод (отрицательный электрод) —<br />
из углерода, а электролит обеспечивает прохождение<br />
ионов лития от одного электрода<br />
к другому. При зарядке аккумулятора поток<br />
ионов изменяет направление своего движения.<br />
Однако ограниченное количество ионов,<br />
которые могут быть накоплены на электродах,<br />
заставило исследователей искать другие<br />
пути решения проблемы.<br />
Питер Брюс (Peter Bruce), профессор химии<br />
университета Сент-Эндрюс, сообщил:<br />
«Ионно-литиевые аккумуляторы в настоящее<br />
время являются наилучшим типом аккумуляторов<br />
в отношении плотности энергии,<br />
и они будут использоваться продолжительное<br />
время в будущем, в частности в электромобилях.<br />
Но мы уже знаем, что, если сможем<br />
удвоить запасаемую в этих аккумуляторах<br />
энергию в два раза, то приблизимся к возможному<br />
пределу. Ионно-литиевые аккумуляторы<br />
не смогут удовлетворить наши нужды<br />
в будущем, по этой причине и существует<br />
интерес к поиску альтернативных решений<br />
вроде конструкции аккумулятора, в которой<br />
используется сочетание литий-воздух».<br />
В принципе кислород для воздушнолитиевого<br />
аккумулятора поступает в него<br />
из воздуха во время движения автомобиля.<br />
Это означает, что исчезает необходимость<br />
новые технологии<br />
в оксидах тяжелых металлов, которые существенно<br />
увеличивают вес аккумулятора,<br />
но ограничивают его емкость. Молекулы<br />
кислорода вступают в реакцию с ионами<br />
лития и электронами на поверхности пористого<br />
золотого катода, в результате чего образуется<br />
пероксид лития. Во время разряда<br />
формирование пероксида лития обеспечивает<br />
протекание тока, который питает двигатель<br />
автомобиля. Во время заряда имеет<br />
место обратная реакция: кислород выходит<br />
в атмосферу.<br />
При испытаниях катоды и электролиты<br />
разлагаются и деградируют до такого состояния,<br />
когда через небольшое число циклов<br />
заряда-разряда пероксид лития образуется<br />
в незначительном количестве или его образование<br />
носит частичный характер. Питер Брюс<br />
и его коллеги из университета Сент-Эндрюс<br />
Цзангуань Пенг (Zhangquan Peng), Стефан<br />
Фрюнбергер (Stefan Freunberger) и Юху Чен<br />
(Yuhui Chen) пытаются найти такой тип реакции<br />
на электроде, которая может осуществляться<br />
в течение многих циклов.<br />
С учетом необходимости снижения стоимости<br />
аккумулятора исследователи считают,<br />
что вместо полностью золотых электродов<br />
можно использовать углерод, покрытый золотом.<br />
При этом важно проверить, насколько<br />
сопоставимы результаты.<br />
Питер Брюс заявил: «Мы показали,<br />
что необходимая электрохимическая реакция<br />
в воздушном аккумуляторе имеет место<br />
и что она должна быть обратимой». Он<br />
и его группа обратили внимание на золото<br />
как на возможный материал для электрода,<br />
поскольку этот металл является стабильным<br />
субстратом для уменьшения содержания кислорода<br />
в безводных системах (изготовленных<br />
на основе не воды, а других жидкостей).<br />
Использование пористого золота обеспечивает<br />
наличие свободного места для внедрения<br />
твердого пероксида лития, что невозможно<br />
при сплошной, без пор, поверхности золота.<br />
«Пока мы в действительности не знаем, как<br />
обстоит дело с золотом с нанопорами, которое,<br />
похоже, может обеспечить требуемую<br />
стабильность. Необходимо проделать дополнительную<br />
работу, чтобы это выяснить», —<br />
добавил профессор Брюс.<br />
Исследования по созданию воздушнолитиевых<br />
аккумуляторов также проводятся<br />
в национальной лаборатории «Аргон»,<br />
в МТИ, IBM и других местах.<br />
Прозрачные солнечные<br />
фотоэлементы для окон,<br />
генерирующие электричество<br />
Исследователи из университета штата<br />
Калифорния (UCLA), Лос-Анджелес, разработали<br />
новый прозрачный фотовольтаический<br />
солнечный элемент (рис. 3). При размещении<br />
панелей из таких элементов на окнах<br />
домов и других строений для генерации<br />
электричества сохраняется возможность ви-<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
123
124<br />
Рис. 3. Прозрачные солнечные<br />
фотовольтаические элементы<br />
новые технологии<br />
деть все за окном. Результаты этой работы<br />
были опубликованы в журнале ACS Nano.<br />
Исследователи из UCLA описывают новый<br />
вид полимерного солнечного элемента<br />
(PSC), который вырабатывает электричество<br />
за счет поглощения главным образом инфракрасного<br />
излучения, а не видимого света.<br />
Прозрачность такого солнечного элемента<br />
для человеческого глаза приближается к 70%.<br />
Элемент изготовлен из фотоактивного полимера,<br />
который преобразует инфракрасный<br />
свет в электрический ток.<br />
«Эти результаты открывают большие возможности<br />
для применения прозрачных для<br />
человеческого глаза полимерных солнечных<br />
элементов в качестве дополнительных компонентов<br />
портативных электронных устройств,<br />
для «умных» окон и интегрированных в здания<br />
фотовольтаических панелей, а также для<br />
множества других применений», — сообщил<br />
руководитель работы Йенг Йенг (Yang Yang),<br />
профессор UCLA, специалист в области инженерии<br />
и науки о материалах, который также<br />
является директором центра возобновляемой<br />
наноэнергетики в Калифорнийском<br />
институте наносистем (CNSI).<br />
Йенг добавляет, что к так называемым полимерным<br />
солнечным элементам проявляется<br />
большой интерес в мировом масштабе.<br />
«Наши PSC сделаны из материалов, подобных<br />
полимерам, они легкие и гибкие, — говорит<br />
он. — Что еще более важно, их можно<br />
производить в больших количествах при<br />
низкой стоимости».<br />
Полимерные солнечные элементы привлекают<br />
большое внимание благодаря их пре-<br />
восходству над конкурирующими технологиями<br />
изготовления солнечных элементов.<br />
Ученые активно исследуют элементы PSC<br />
из-за их потенциала обеспечивать уникальные<br />
возможности в самых разнообразных<br />
областях применения. Высокоэффективные,<br />
прозрачные в видимой области фотовольтаические<br />
устройства могут найти применение<br />
в таких областях, как интегрированные<br />
в здания фотовольтаические панели и интегрированные<br />
в портативную электронику<br />
фотовольтаические зарядные устройства.<br />
До настоящего времени предпринимались<br />
попытки продемонстрировать прозрачные<br />
или полупрозрачные элементы PSC. Однако<br />
часто результатом таких работ являлась малая<br />
прозрачность в видимой области спектра<br />
и/или недостаточная эффективность этих<br />
элементов из-за того, что в конструкции<br />
и при изготовлении солнечных элементов<br />
использовались полимерные фотовольтаические<br />
материалы и прозрачные проводники<br />
с не соответствующими конечной цели характеристиками.<br />
Группа исследователей, представляющих<br />
Калифорнийский институт наносистем, школу<br />
инженерии и прикладных наук Г. Самуэли<br />
(H. Samueli), а также отделение химии и биохимии<br />
университета UCLA, продемонстрировали<br />
высокоэффективные полимерные<br />
солнечные элементы, прозрачные в видимой<br />
области спектра. Они были изготовлены<br />
из полимера, обладающего высокой чувствительностью<br />
в ближней инфракрасной<br />
области, с использованием композитных серебряных<br />
нанопроводов в качестве прозрачных<br />
электродов. Фотоактивный в ближней<br />
инфракрасной области полимер поглощает<br />
излучение, лежащее в этой области, однако<br />
он менее чувствителен к видимому свету.<br />
Таким образом было сбалансировано сочетание<br />
характеристик солнечного элемента и его<br />
прозрачности в видимой области спектра.<br />
Другим важным достижением является<br />
реализация прозрачного проводника, изготовленного<br />
из комбинации серебряного<br />
нанопровода и частиц диоксида титана,<br />
который заменил ранее использовавшийся<br />
непрозрачный металлический электрод.<br />
Такой композитный электрод также дает<br />
возможность изготавливать недорогие солнечные<br />
элементы путем нанесения на электрод<br />
фоточувствительного материала<br />
из раствора. При такой комбинации эффективность<br />
преобразования энергии полимерных<br />
солнечных элементов, изготовленных<br />
в процессе обработки раствора с использованием<br />
прозрачного в видимой области<br />
спектра полимера, может достигать 4%.<br />
Исследования проводились при поддержке<br />
Школы техники и прикладной науки<br />
Г. Самуэли, Департамента исследований<br />
ВМС и фонда Kavili.<br />
Заключение<br />
Создание автономных, в том числе перезаряжаемых<br />
источников питания (Университет<br />
Райса в Калифорнии, США), которые изготавливаются<br />
нанесением слоев необходимых<br />
материалов методом напыления, открывает<br />
новые возможности в конструировании<br />
электронных приборов.<br />
Разработка эффективных аккумуляторов,<br />
которые могут выдерживать многочисленные<br />
циклы зарядки-разрядки (Университет<br />
Сент-Эндрюс, Шотландия), способствует<br />
более широкому внедрению экологически<br />
чистых видов транспортных средств на электрической<br />
тяге.<br />
Применение прозрачных фотовольтаических<br />
элементов для формирования<br />
солнечных панелей, преобразующих энергию<br />
Солнца в электрическую энергию<br />
(Университет штата Калифорния, США),<br />
дает возможность использовать огромные<br />
площади стеклянных окон и крыш (в оранжереях,<br />
например) для бытовых и производственных<br />
нужд. Технология изготовления<br />
таких прозрачных фотовольтаических элементов<br />
позволяет также формировать из них<br />
солнечные панели на поверхностях различных<br />
объектов, таких как здания, космические<br />
корабли и станции и др.<br />
Приведенные примеры разработок новых<br />
типов автономных электрических источников<br />
питания демонстрируют эффективную<br />
роль технологий в создании новых компонентов<br />
в соответствии с ужесточающимися<br />
требованиями к техническим, экономическим<br />
и экологическим характеристикам<br />
объектов промышленного, коммерческого,<br />
медицинского и бытового назначения для<br />
обеспечения нормальной жизнедеятельности<br />
человека в будущем. n<br />
Литература<br />
1. http://www.scientificamerican.com/page.cfm?<br />
section=mobile<br />
2. http://an.com/article.cfm? id=cover-charge-newspray-on-battery<br />
3. http://www.scientificamerican.com/article.cfm?<br />
id=gold-lithium-air-battery<br />
4. http://newsroom.ucla.edu/portal/ucla/uclaresearchers-create-highly-236698.aspx<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Реклама<br />
Реклама<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
125
126<br />
новости измерительная аппаратура<br />
Ручной цифровой мультиметр для экстремальных зимних температур<br />
Компания Agilent Technologies объявила о выпуске<br />
ручного цифрового мультиметра U1273AX<br />
на органических светодиодах, который может<br />
работать при температуре от –40 °C. Даже на таком<br />
морозе новый ручной цифровой мультиметр<br />
позволяет получить точные результаты без предварительного<br />
прогрева.<br />
Хотя Agilent U1273AX особенно удобен зимой,<br />
он будет полезен и в любое другое время года,<br />
поскольку его рабочий диапазон температур увеличен<br />
до +55 °C. А сочетание широкого температурного<br />
диапазона со степенью защиты от влаги<br />
и пыли IP54 и категорией защиты от перенапряжения<br />
КАТ IV/600 В превращает его в надежный инструмент,<br />
позволяющий выполнять электрические<br />
измерения в сложных промышленных условиях.<br />
В паре с токоизмерительными клещами переменного<br />
тока U1583B мультиметр U1273AX позволяет<br />
измерять ток без разрыва цепи. Диапазон рабочих<br />
температур U1583B также начинается с –40 °C.<br />
U1273AX имеет 4½-разрядный дисплей<br />
на органических светодиодах, который создает<br />
кристально чистое изображение с коэффициентом<br />
контрастности 2000:1 и углом обзора 160°.<br />
Расширенные возможности включают режим<br />
низкого входного сопротивления при измерении<br />
напряжения, что снижает наводки через емкост-<br />
ную связь, и ФНЧ, подавляющий коммутационные<br />
помехи от преобразователей в системах электропривода.<br />
Для беспроводного подключения к смартфонам<br />
и планшетам мультиметр U1273AX можно<br />
оснастить инновационным адаптером Bluetooth<br />
U1177A и соответствующими приложениями дистанционного<br />
мониторинга, которые поддержива-<br />
ют всю линейку ручных цифровых мультиметров<br />
компании Agilent. Эта уникальная возможность<br />
позволяет использовать мобильные устройства<br />
с операционной системой Android для беспроводного<br />
мониторинга и управления мультиметром<br />
U1273AX из теплого и безопасного места, например<br />
из автомобиля или здания.<br />
www.agilent.com<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013<br />
Реклама
Вадим ШПЕНСТ,<br />
д. т. н., профессор<br />
Современные радиолокационные средства,<br />
устанавливаемые на самолетах<br />
и космических аппаратах, в настоящее<br />
время представляют один из наиболее интенсивно<br />
развивающихся сегментов радиоэлектронной<br />
техники. Основные различия между<br />
космическими и авиационными радиолокационными<br />
станциями (РЛС) заключаются<br />
в принципах обработки радиолокационного<br />
сигнала, связанными с различным размером<br />
апертуры, особенностями распространения<br />
радиолокационных сигналов в разных слоях<br />
атмосферы, необходимостью учета кривизны<br />
земной поверхности и т. д. [1].<br />
Сейчас бортовые РЛС с синтезированием<br />
апертуры (РСА) позволяют решать задачи<br />
видовой разведки (вести съемку земной поверхности<br />
в различных режимах), селекции<br />
мобильных и стационарных целей, анализа<br />
изменений наземной обстановки, осуществлять<br />
съемку объектов, скрытых в лесных<br />
массивах, обнаруживать заглубленные и малоразмерные<br />
морские объекты. Основным<br />
назначением РСА является детальная съемка<br />
земной поверхности. За счет искусственного<br />
увеличения апертуры бортовой антенны,<br />
основной принцип которого заключается<br />
в когерентном накоплении отраженных<br />
радиолокационных сигналов на интервале<br />
синтезирования, удается получить высокое<br />
разрешение по углу. В современных системах<br />
разрешение может достигать десятков сантиметров<br />
при работе в сантиметровом диапазоне<br />
длин волн.<br />
Обработка траекторного сигнала для получения<br />
сверхвысокой разрешающей спо-<br />
новые технологии<br />
Методы распараллеливания<br />
вычислительного алгоритма<br />
формирования изображения<br />
в радиолокационных станциях<br />
с синтезированной апертурой<br />
В статье рассмотрены методы распараллеливания вычислительного алгоритма<br />
формирования изображения в радиолокационных станциях с синтезированной<br />
апертурой на основе параллельных вычислений и определения<br />
методов их реализации. Предложены способы определения подзадач<br />
процесса формирования изображения и способ выделения информационной<br />
зависимости, разработан способ масштабирования и распределения<br />
подзадач по процессорам, а также методика анализа эффективности<br />
процесса распараллеливания и оценки устойчивости алгоритма, проведен<br />
вычислительный эксперимент и оценка полученных результатов.<br />
собности по дальности и азимуту в реальном<br />
масштабе времени требует высокого быстродействия<br />
(≈10 12 –10 15 операций/с) и большого<br />
объема памяти процессора (≈10 10 –10 12 бит),<br />
что представляет исключительно сложную<br />
задачу для бортовых ЦВМ. В наземных условиях<br />
эту задачу успешно решает оптический<br />
процессор, в котором используется запись<br />
траекторного сигнала на фотопленку и аналоговая<br />
обработка сигнала с помощью когерентной<br />
оптической системы [2].<br />
Синтезирование апертуры (СА) требует<br />
определенного времени, что приводит к задержке<br />
информации в РСА. Минимальное<br />
запаздывание информации определяется<br />
временем синтезирования, то есть временем<br />
формирования СА. Обычно оно составляет<br />
десятые доли — единицы секунд.<br />
Максимальная задержка определяется с учетом<br />
времени выполнения алгоритма синтезирования<br />
соответствующим процессором<br />
обработки траекторных сигналов.<br />
Наибольшую задержку имеют наземные<br />
оптические процессоры. Она состоит из времени<br />
полета самолета в зоне работы РСА,<br />
времени возвращения на базу, времени доставки<br />
фотопленки с записью траекторных<br />
сигналов в лабораторию, времени фотохимической<br />
обработки пленки, оптической обработки<br />
и записи изображения на вторичную<br />
фотопленку и, наконец, фотохимической обработки<br />
вторичной пленки. Это время может<br />
достигать нескольких часов.<br />
Перечисленные соображения и области<br />
применения РСА обуславливают высокую<br />
актуальность проведения исследований и раз-<br />
работки методов, позволяющих кардинально<br />
разрешить данную проблему. Отдельные публикации<br />
и технические решения позволяют<br />
сделать вывод о технической реализуемости<br />
предлагаемых решений. Основной целью<br />
проведения этого исследования является разработка<br />
принципиально нового направления<br />
в обработке информации при формировании<br />
изображений в РСА — создание способов<br />
и алгоритмов распределения вычислений,<br />
проводимых в процессе функционирования<br />
РСА.<br />
Параллельные вычислительные системы<br />
— это физические компьютерные, а также<br />
программные системы, реализующие тем<br />
или иным способом параллельную обработку<br />
данных на многих вычислительных узлах,<br />
что наиболее подходит к разрабатываемой<br />
задаче, с учетом того, что большинство современных<br />
компьютерных систем являются<br />
многопроцессорными (многоядерными).<br />
Идея распараллеливания вычислений базируется<br />
на том, что большинство задач может<br />
быть разделено на набор меньших задач,<br />
которые могут быть решены одновременно.<br />
Основным замыслом распределения вычислительного<br />
процесса является переход от параллелизма<br />
на уровне инструкций к параллелизму<br />
на уровне данных и задач.<br />
Разработка алгоритмов (а в особенности<br />
методов параллельных вычислений) для<br />
решения сложных научно-технических задач<br />
часто представляет собой значительную<br />
проблему. Для снижения сложности рассматриваемой<br />
темы оставим в стороне математические<br />
аспекты разработки и доказатель-<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
127
128<br />
новые технологии<br />
ства сходимости алгоритмов — эти вопросы<br />
в той или иной степени освещены. Здесь же<br />
мы будем полагать, что вычислительные схемы<br />
решения задач, рассматриваемых далее<br />
в качестве примеров, уже известны. С учетом<br />
высказанных предположений последующие<br />
действия для определения эффективных<br />
способов организации параллельных вычислений<br />
могут состоять в следующем (рис. 1):<br />
• Выполнить анализ имеющихся вычислительных<br />
схем и осуществить их разделение<br />
(декомпозицию) на части (подзадачи),<br />
которые могут быть реализованы<br />
в значительной степени независимо друг<br />
от друга.<br />
• Выделить для сформированного набора<br />
подзадач информационные взаимодействия,<br />
которые должны осуществляться<br />
в ходе решения исходной поставленной<br />
задачи.<br />
• Определить необходимую (или доступную)<br />
для решения задачи вычислительную<br />
систему и выполнить распределение<br />
имеющегося набора подзадач между процессорами<br />
системы.<br />
При самом общем рассмотрении понятно,<br />
что объем вычислений для каждого используемого<br />
процессора должен быть примерно<br />
одинаков — это позволит обеспечить равномерную<br />
вычислительную загрузку (балансировку)<br />
процессоров. Кроме того, также<br />
понятно, что распределение подзадач между<br />
процессорами должно быть выполнено таким<br />
образом, чтобы наличие информационных<br />
связей (коммуникационных взаимодействий)<br />
между подзадачами было минимальным<br />
[3].<br />
После выполнения всех перечисленных<br />
этапов проектирования можно оценить эффективность<br />
разрабатываемых параллельных<br />
методов — для этого обычно определяются<br />
значения показателей качества порождаемых<br />
параллельных вычислений (ускорение,<br />
эффективность, масштабируемость). По результатам<br />
проведенного анализа может оказаться,<br />
что необходимо повторить отдельные<br />
(в предельном случае все) этапы разработки.<br />
Следует отметить, что возврат к предшествующим<br />
шагам разработки может происходить<br />
на любой стадии проектирования параллельных<br />
вычислительных схем.<br />
В этом отношении часто выполняемым<br />
дополнительным действием в приведенной<br />
выше схеме проектирования является корректировка<br />
состава сформированного множества<br />
задач после определения имеющегося<br />
количества процессоров: подзадачи могут<br />
быть укрупнены (агрегированы) при наличии<br />
малого числа процессоров или, наоборот,<br />
детализированы. В целом эти действия<br />
могут быть определены как масштабирование<br />
разрабатываемого алгоритма и выделены<br />
в качестве отдельного этапа проектирования<br />
параллельных вычислений.<br />
Для применения получаемого в конечном<br />
итоге параллельного метода необходимо вы-<br />
Рис. 1. Общая схема разработки параллельных алгоритмов<br />
полнить разработку программ для решения<br />
сформированного набора подзадач и разместить<br />
разработанные программы по процессорам<br />
в соответствии с выбранной схемой<br />
распределения подзадач. Для проведения вычислений<br />
программы запускаются на выполнение.<br />
(Программы на стадии выполнения<br />
обычно именуются процессами.) Для реализации<br />
информационных взаимодействий<br />
программы должны иметь в своем распоряжении<br />
средства обмена данными (каналы<br />
передачи сообщений).<br />
Следует отметить, что каждый процессор<br />
обычно выделяется для решения однойединственной<br />
подзадачи, однако при наличии<br />
большого количества подзадач или использовании<br />
ограниченного числа процессоров это<br />
правило может не соблюдаться. В результате<br />
на процессорах может выполняться одновременно<br />
несколько программ (процессов).<br />
В частности, при разработке и начальной<br />
проверке параллельной программы для выполнения<br />
всех процессов может использоваться<br />
один процессор. (При расположении<br />
на одном процессоре процессы выполняются<br />
в режиме распределения времени [4].)<br />
Рассмотрев внимательно разработанную<br />
схему проектирования и реализации параллельных<br />
вычислений, можно отметить,<br />
что данный подход в значительной степени<br />
ориентирован на вычислительные системы<br />
с распределенной памятью, когда необходимые<br />
информационные взаимодействия<br />
реализуются при помощи передачи сообщений<br />
по каналам связи между процессорами.<br />
Тем не менее эта схема может быть использована<br />
без потери какой-либо эффективности<br />
параллельных вычислений и для разработки<br />
параллельных методов для систем с общей<br />
памятью: в этом случае механизмы передачи<br />
сообщений для обеспечения информационных<br />
взаимодействий должны быть заменены<br />
операциями доступа к общим (разделяемым)<br />
переменным [5].<br />
Рассмотренная схема проектирования<br />
и реализации параллельных вычислений дает<br />
способ понимания параллельных алгоритмов<br />
и программ. На стадии проектирования<br />
параллельный метод может быть представлен<br />
в виде графа «подзадачи – сообщения»,<br />
который представляет собой не что иное, как<br />
укрупненное (агрегированное) представление<br />
графа информационных зависимостей.<br />
Аналогично на стадии выполнения для описания<br />
параллельной программы может быть<br />
использована модель в виде графа «процессы<br />
– каналы», в которой вместо подзадач используется<br />
понятие процессов, а информационные<br />
зависимости заменяются каналами<br />
передачи сообщений. В дополнение на этой<br />
модели может быть показано распределение<br />
процессов по процессорам вычислительной<br />
системы, если количество подзадач превышает<br />
число процессоров (рис. 2).<br />
Использование двух моделей параллельных<br />
вычислений позволяет лучше разделить<br />
проблемы, которые проявляются при разработке<br />
параллельных методов. Первая модель<br />
— граф «подзадачи – сообщения» — позволяет<br />
сосредоточиться на вопросах выделения<br />
подзадач одинаковой вычислительной<br />
сложности, при этом обеспечивается низкий<br />
уровень информационной зависимости<br />
между подзадачами. Вторая модель — граф<br />
«процессы – каналы» — концентрирует внимание<br />
на вопросах распределения подзадач<br />
по процессорам, обеспечивая еще одну возможность<br />
снижения трудоемкости информационных<br />
взаимодействий между подзадачами<br />
за счет размещения на одних и тех же<br />
процессорах интенсивно взаимодействующих<br />
процессов.<br />
Кроме того, эта модель позволяет лучше<br />
анализировать эффективность разработанного<br />
параллельного метода и позволяет более<br />
адекватно описать процесс выполнения<br />
параллельных вычислений. Под процессом<br />
в рамках этого исследования будем понимать<br />
выполняемую на процессоре программу, которая<br />
использует для свой работы часть локальной<br />
памяти процессора и содержит ряд<br />
операций приема/передачи данных для орга-<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Рис. 2. Модель параллельной программы в виде графа «процессы – каналы»<br />
а б в<br />
Рис. 3. Регулярные структуры базовых подзадач после декомпозиции данных:<br />
а) одномерная; б) двухмерная; в) трехмерная<br />
низации информационного взаимодействия<br />
между выполняемыми процессами параллельной<br />
программы. Канал передачи данных<br />
с логической точки зрения можно рассматривать<br />
как очередь сообщений, в которую один<br />
или несколько процессов могут отправлять<br />
пересылаемые данные и из которой процессадресат<br />
может извлекать сообщения, отправляемые<br />
другими процессами [5].<br />
В общем случае можно считать, что каналы<br />
возникают динамически в момент выполнения<br />
первой операции приема/передачи с каналом.<br />
По степени общности канал может<br />
соответствовать одной или нескольким командам<br />
приема данных процесса-получателя;<br />
аналогично при передаче сообщений канал<br />
может использоваться одной или несколькими<br />
командами передачи данных одного<br />
или нескольких процессов. Для снижения<br />
сложности моделирования и анализа параллельных<br />
методов будем предполагать, что<br />
емкость каналов является неограниченной,<br />
и, как результат, операции передачи данных<br />
выполняются практически без задержек<br />
простым копированием сообщений в канал.<br />
С другой стороны, операции приема сообщений<br />
могут приводить к задержкам (блокировкам),<br />
если запрашиваемые из канала данные<br />
еще не были отправлены процессами —<br />
источниками сообщений.<br />
В значительной степени эта методика опирается<br />
на подход, впервые рассмотренный<br />
в Foster (2005 г.), и, как отмечалось ранее,<br />
включает этапы выделения подзадач, определения<br />
информационных зависимостей,<br />
масштабирования и распределения подзадач<br />
по процессорам вычислительной системы<br />
(рис. 1).<br />
Выбор способа разделения вычислений<br />
на независимые части основывается на анализе<br />
вычислительной схемы решения исходной<br />
задачи. Требования, которым должен<br />
удовлетворять выбираемый подход, обычно<br />
состоят в обеспечении равного объема вычислений<br />
в выделяемых подзадачах и минимума<br />
информационных зависимостей между<br />
ними. (При прочих равных условиях нужно<br />
отдавать предпочтение редким операциям<br />
передачи большего размера сообщений<br />
по сравнению с частыми пересылками данных<br />
небольшого объема.) В общем случае<br />
проведение анализа и выделение задач представляет<br />
собой достаточно сложную проблему:<br />
ситуацию помогает разрешить существование<br />
двух часто встречающихся типов<br />
вычислительных схем.<br />
Для большого класса задач вычисления<br />
сводятся к выполнению однотипной обработки<br />
элементов большого набора данных:<br />
к такому виду задач относятся, например,<br />
новые технологии<br />
матричные вычисления, численные методы<br />
решения уравнений в частных производных<br />
и др. В этом случае говорят, что существует<br />
параллелизм по данным, и выделение подзадач<br />
сводится к разделению имеющихся<br />
данных. Для большого количества решаемых<br />
задач разделение вычислений по данным<br />
приводит к порождению одно-, двух- и трехмерных<br />
наборов подзадач, для которых информационные<br />
связи существуют только<br />
между ближайшими соседями (такие схемы<br />
обычно именуются сетками или решетками)<br />
[5].<br />
Для другой части задач вычисления могут<br />
состоять в выполнении разных операций над<br />
одним и тем же набором данных: в этом случае<br />
говорят о существовании функционального<br />
параллелизма. (В качестве примеров<br />
можно привести задачи обработки последовательности<br />
запросов к информационным<br />
базам данных, вычисления с одновременным<br />
применением разных алгоритмов расчета<br />
и т. п.). Очень часто функциональная декомпозиция<br />
может быть использована для организации<br />
конвейерной обработки данных.<br />
(Так, например, при выполнении каких-либо<br />
преобразований данных вычисления могут<br />
быть сведены к функциональной последовательности<br />
ввода, обработки и сохранения<br />
данных.)<br />
Важный вопрос при выделении подзадач<br />
состоит в выборе нужного уровня декомпозиции<br />
вычислений. Формирование максимально<br />
возможного количества подзадач обеспечивает<br />
использование предельно достижимого<br />
уровня параллелизма решаемой задачи,<br />
однако затрудняет анализ параллельных вычислений.<br />
Использование при декомпозиции<br />
вычислений только крупных подзадач приводит<br />
к ясной схеме параллельных вычислений,<br />
однако может затруднить эффективное<br />
использование достаточно большого количества<br />
процессоров. Возможное разумное сочетание<br />
этих двух подходов может состоять<br />
в использовании в качестве конструктивных<br />
элементов декомпозиции только тех подзадач,<br />
для которых известны методы параллельных<br />
вычислений. Так, например, при<br />
анализе задачи матричного умножения в качестве<br />
подзадач можно использовать методы<br />
скалярного произведения векторов или алгоритмы<br />
матрично-векторного произведения.<br />
Подобный промежуточный способ декомпозиции<br />
вычислений позволит обеспечить<br />
и простоту представления вычислительных<br />
схем, и эффективность параллельных расчетов.<br />
Выбираемые подзадачи при таком<br />
подходе будем именовать далее базовыми,<br />
которые могут быть элементарными (неделимыми),<br />
если не допускают дальнейшего<br />
разделения, или составными — в противном<br />
случае [6].<br />
Для рассматриваемой задачи достаточный<br />
уровень декомпозиции может состоять, например,<br />
в разделении матрицы A на множество<br />
отдельных строк и получении на этой<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
129
130<br />
новые технологии<br />
подзадач (располагаемых, как правило, Масштабирование<br />
на соседних процессорах). Для глобальных<br />
операций передачи данных в процес-<br />
набора подзадач<br />
се коммуникации принимают участие все Масштабирование разработанной вычис-<br />
подзадачи.<br />
лительной схемы параллельных вычислений<br />
• Структурные и произвольные способы проводится в случае, если количество имею-<br />
взаимодействия. Для структурных спощихся подзадач отличается от числа планисобов<br />
организация взаимодействий прируемых к использованию процессоров. Для<br />
водит к формированию некоторых стан- сокращения количества подзадач необходидартных<br />
схем коммуникации (например, мо выполнить укрупнение (агрегацию) вы-<br />
в виде кольца, прямоугольной решетки числений. Применяемые здесь правила со-<br />
и т. д.). Для произвольных структур взаивпадают с рекомендациями начального этапа<br />
модействия схема выполняемых операций выделения подзадач: определяемые подза-<br />
передач данных не носит характер однодачи, как и ранее, должны иметь одинаковую<br />
родности.<br />
вычислительную сложность, а объем и ин-<br />
• Статические или динамические схемы тенсивность информационных взаимодей-<br />
передачи данных. Для статических схем ствий между подзадачами должны оставаться<br />
Рис. 4. Структура информационных связей<br />
моменты и участники информационного<br />
взаимодействия фиксируются на этапах<br />
на минимально возможном уровне. Как результат,<br />
первыми претендентами на объеди-<br />
проектирования и разработки параллельнение являются подзадачи с высокой степе-<br />
основе набора подзадач поиска максимальных программ. Для динамического варинью информационной взаимозависимости.<br />
ных значений в отдельных строках; порожанта взаимодействия структура операции При недостаточном количестве имеющегодаемая<br />
при этом структура информацион- передачи данных определяется в ходе выся набора подзадач для загрузки всех доступных<br />
связей соответствует линейному графу полняемых вычислений.<br />
ных к использованию процессоров необхо-<br />
(рис. 4).<br />
• Синхронные и асинхронные способы вза- димо выполнить детализацию (декомпози-<br />
Для оценки корректности этапа разделе- имодействия. Для синхронных способов цию) вычислений. Как правило, проведение<br />
ния вычислений на независимые части мож- операции передачи данных выполняются подобной декомпозиции не вызывает какихно<br />
воспользоваться контрольным списком только при готовности всех участников либо затруднений, если для базовых задач<br />
вопросов, предложенных в Foster (2005 г.): взаимодействия и завершаются только методы параллельных вычислений являются<br />
• Выполненная декомпозиция не увеличи- после полного окончания всех коммуни- известными.<br />
вает объем вычислений и необходимый кационных действий. При асинхронном Выполнение этапа масштабирования вы-<br />
объем памяти?<br />
выполнении операций участники взаичислений должно свестись, в конечном ито-<br />
• Возможна ли при выбранном способе де- модействия могут не дожидаться полного ге, к разработке правил агрегации и деком-<br />
композиции равномерная загрузка всех завершения действий по передаче данных. позиции подзадач, которые должны пара-<br />
имеющихся процессоров?<br />
Для представленных способов взаимодейметрически зависеть от числа процессоров,<br />
• Достаточно ли выделенных частей процес- ствия сложно выделить предпочтительные применяемых для вычислений.<br />
са вычислений для эффективной загрузки формы организации передачи данных: Список контрольных вопросов для оценки<br />
процессоров (с учетом возможности уве- синхронный вариант, как правило, более правильности этапа масштабирования выличения<br />
их количества)?<br />
прост для использования, в то время как глядит следующим образом:<br />
асинхронный способ часто позволяет су- • Не ухудшится ли локальность вычислений<br />
Выделение<br />
щественно снизить временные задержки, после масштабирования имеющегося на-<br />
информационных зависимостей вызванные операциями информационного бора подзадач?<br />
взаимодействия.<br />
• Имеют ли подзадачи после масштабирова-<br />
При наличии вычислительной схемы Как уже отмечалось в предыдущем пункте, ния одинаковую вычислительную и ком-<br />
решения задачи после выделения базовых для учебной задачи поиска максимального муникационную сложность?<br />
подзадач определение информационных значения при использовании в качестве базо- • Соответствует ли количество задач числу<br />
зависимостей между подзадачами обычвых элементов подзадач поиска максималь- имеющихся процессоров?<br />
но не вызывает больших затруднений. ных значений в отдельных строках исходной • Зависят ли параметрически правила масшта-<br />
При этом, однако, следует отметить, что матрицы A структура информационных свя- бирования от количества процессоров?<br />
на самом деле этапы выделения подзадач зей имеет вид, представленный на рис. 4.<br />
и информационных зависимостей слож- Как и ранее, для оценки правильности эта- Распределение подзадач<br />
но разделить. Выделение подзадач должно па выделения информационных зависимо- между процессорами<br />
происходить с учетом возникающих инфорстей можно воспользоваться контрольным<br />
мационных связей; после анализа объема списком вопросов, предложенных в Foster Распределение подзадач между процессора-<br />
и частоты необходимых информационных (2005 г.):<br />
ми является завершающим этапом разработ-<br />
обменов между подзадачами может потре- • Соответствует ли вычислительная слож- ки параллельного метода. Следует отметить,<br />
боваться повторение этапа разделения вы- ность подзадач интенсивности их инфор- что управление распределением нагрузки для<br />
числений [4].<br />
мационных взаимодействий?<br />
процессоров возможно только для вычисли-<br />
При проведении анализа информацион- • Является ли одинаковой интенсивность тельных систем с распределенной памятью,<br />
ных зависимостей между подзадачами сле- информационных взаимодействий для для мультипроцессоров (систем с общей<br />
дует различать следующие формы информа- разных подзадач?<br />
памятью) распределение нагрузки обычно<br />
ционного взаимодействия [4]:<br />
• Является ли схема информационного взаи- выполняется операционной системой авто-<br />
• Локальные и глобальные схемы переда- модействия локальной?<br />
матически. Кроме того, этот этап распределе-<br />
чи данных. Для локальных схем передача • Не препятствует ли выявленная инфор- ния подзадач между процессорами является<br />
данных в каждый момент времени выпол- мационная зависимость параллельному избыточным, если количество подзадач соняется<br />
только между небольшим числом решению подзадач?<br />
впадает с числом имеющихся процессоров,<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
а топология сети передачи данных вычислительной<br />
системы представляет собой полный<br />
граф (то есть все процессоры связаны между<br />
собой прямыми линиями связи).<br />
Основной показатель успешности выполнения<br />
данного этапа — эффективность использования<br />
процессоров, определяемая как<br />
относительная доля времени, в течение которого<br />
процессоры использовались для вычислений,<br />
связанных с решением исходной задачи.<br />
Пути достижения хороших результатов<br />
в этом направлении остаются прежними: как<br />
и ранее, необходимо обеспечить равномерное<br />
распределение вычислительной нагрузки<br />
между процессорами и минимизировать<br />
количество сообщений, передаваемых между<br />
процессорами. Точно так же, как и на предшествующих<br />
этапах проектирования, оптимальное<br />
решение проблемы распределения<br />
подзадач между процессорами основывается<br />
на анализе информационной связности графа<br />
«подзадачи – сообщения». Так, в частности,<br />
подзадачи, между которыми имеются информационные<br />
взаимодействия, целесообразно<br />
размещать на процессорах, между которыми<br />
существуют прямые линии передачи данных.<br />
Следует отметить, что требование минимизации<br />
информационных обменов между<br />
процессорами может противоречить условию<br />
равномерной загрузки процессов. Так, мы<br />
можем разместить все подзадачи на одном<br />
процессоре и полностью устранить межпроцессорную<br />
передачу сообщений, однако понятно,<br />
что загрузка большинства процессоров<br />
в этом случае будет минимальной.<br />
Решение вопросов балансировки вычислительной<br />
нагрузки значительно усложняется,<br />
если схема вычислений может изменяться<br />
в ходе решения задачи. Причиной этого могут<br />
быть, например, неоднородные сетки при<br />
решении уравнений в частных производных,<br />
разреженность матриц и т. п. Кроме того, используемые<br />
на этапах проектирования оценки<br />
вычислительной сложности решения подзадач<br />
могут иметь приблизительный характер,<br />
и, наконец, количество подзадач может изменяться<br />
в ходе вычислений. В таких ситуациях<br />
может потребоваться перераспределение базовых<br />
подзадач между процессорами уже непосредственно<br />
в процессе выполнения параллельной<br />
программы. (Придется выполнить<br />
динамическую балансировку вычислительной<br />
нагрузки.) Эти вопросы — одни из наиболее<br />
сложных (и наиболее интересных) в области<br />
параллельных вычислений.<br />
Таким образом, была рассмотрена методика<br />
разработки параллельных алгоритмов,<br />
предложенная в Foster (2005 г.). Эта методика<br />
включает этапы выделения подзадач, определения<br />
информационных зависимостей,<br />
масштабирования и распределения подзадач<br />
по процессорам вычислительной системы.<br />
При применении методики предполагается,<br />
что вычислительная схема решения рассматриваемой<br />
задачи уже известна. Основные<br />
требования, которые должны быть обеспе-<br />
новые технологии<br />
Рис. 5. На первой итерации цикла по переменной i используется первая строка матрицы A и все столбцы матрицы В<br />
для того, чтобы вычислить элементы первой строки результирующей матрицы С<br />
чены при разработке параллельных алгоритмов,<br />
состоят в обеспечении равномерной<br />
загрузки процессоров при низком информационном<br />
взаимодействии сформированного<br />
множества подзадач.<br />
Для описания получаемых в ходе разработки<br />
вычислительных параллельных схем<br />
рассмотрены две модели. Первая из них —<br />
модель «подзадачи – сообщения» — может<br />
быть использована на стадии проектирования<br />
параллельных алгоритмов, вторая модель<br />
— «процессы – каналы» — может быть<br />
применена на стадии реализации методов<br />
в виде параллельных программ.<br />
Для иллюстрации изложенных положений<br />
рассмотрим типовой параллельный алгоритм<br />
обработки информации в РСА, который<br />
основан на ленточной схеме распределения<br />
данных.<br />
Перемножение матриц A[mn] и B[nl]<br />
приводит к получению матрицы С[ml],<br />
каждый элемент которой определяется в соответствии<br />
с выражением:<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
(1)<br />
Как следует из (1), каждый элемент результирующей<br />
матрицы С есть скалярное произведение<br />
соответствующих строки матрицы A<br />
и столбца матрицы B:<br />
c i,j = (a i ,b j T );<br />
a i = (a i,0 , a i,1 , …, a i,n–1 );<br />
b j T = (b0,j , b 1,j , ѕ, b n–1,j ). (2)<br />
Этот алгоритм предполагает выполнение<br />
mnl операций умножения и столько же<br />
операций сложения элементов исходных матриц.<br />
При умножении квадратных матриц<br />
размера [nn] количество выполненных операций<br />
имеет порядок O(n 3 ). Известны последовательные<br />
алгоритмы умножения матриц,<br />
обладающие меньшей вычислительной<br />
сложностью (например, алгоритм Страссена,<br />
Strassen’s algorithm), но эти алгоритмы требуют<br />
определенных усилий для их освоения.<br />
И, как результат, в этом исследовании при<br />
разработке параллельных методов в качестве<br />
основы будет использоваться последовательный<br />
алгоритм. Он является итеративным<br />
и ориентирован на последовательное вычисление<br />
строк матрицы С. Действительно,<br />
при выполнении одной итерации внешнего<br />
цикла (цикла по переменной i) вычисляется<br />
одна строка результирующей матрицы<br />
(рис. 5) [6].<br />
Поскольку каждый элемент результирующей<br />
матрицы есть скалярное произведение<br />
строки и столбца исходных матриц,<br />
то для вычисления всех элементов матрицы<br />
С[nn] необходимо выполнить n 2 (2n–1) скалярных<br />
операций и затратить время [6]:<br />
Т 1 = n 2 (2n–1)t, (3)<br />
где t — время выполнения одной элементарной<br />
скалярной операции.<br />
Далее исследовалась применимость двух<br />
параллельных алгоритмов умножения матриц,<br />
в которых матрицы A и в разбиваются<br />
на непрерывные последовательности строк<br />
или столбцов (полосы).<br />
определение подзадач<br />
Из определения операции матричного<br />
умножения следует, что вычисление всех<br />
элементов матрицы С может быть выполнено<br />
независимо друг от друга. Как следствие,<br />
возможный подход для организации<br />
параллельных вычислений состоит в использовании<br />
в качестве базовой подзадачи<br />
процедуры определения одного элемента<br />
результирующей матрицы С. Для проведения<br />
всех необходимых вычислений каждая<br />
подзадача должна содержать по одной строке<br />
матрицы А и одному столбцу матрицы в.<br />
Общее количество получаемых при таком<br />
подходе подзадач оказывается равным n 2<br />
(по числу элементов матрицы С).<br />
Рассмотрев предложенный подход, можно<br />
отметить, что достигнутый уровень параллелизма<br />
в некоторой степени избыточен.<br />
Обычно при проведении практических расчетов<br />
количество сформированных подзадач<br />
превышает число имеющихся процессоров,<br />
и поэтому неизбежным является этап укрупнения<br />
базовых задач. В этом плане может<br />
оказаться полезной агрегация вычислений<br />
уже на шаге выделения базовых подзадач.<br />
Возможное решение может состоять в объединении<br />
в рамках одной подзадачи всех вычислений,<br />
связанных не с одним, а с несколькими<br />
элементами результирующей матрицы<br />
С. Для дальнейшего рассмотрения<br />
в рамках этого исследования определим ба-<br />
131
132<br />
новые технологии<br />
Рис. 6. Общая схема передачи данных для первого параллельного алгоритма матричного умножения при ленточной схеме распределения данных<br />
зовую задачу как процедуру вычисления всех<br />
элементов одной из строк матрицы С. Такой<br />
подход приводит к снижению общего количества<br />
подзадач до величины n.<br />
Для выполнения всех необходимых вычислений<br />
базовой подзадаче должны быть доступны<br />
одна из строк матрицы A и все столбцы<br />
матрицы B. Простое решение этой проблемы<br />
— дублирование матрицы B во всех<br />
подзадачах — является, как правило, неприемлемым<br />
в силу больших затрат памяти для<br />
хранения данных. Значит, организация вычислений<br />
должна быть построена таким образом,<br />
чтобы в каждый текущий момент времени<br />
подзадачи содержали лишь часть данных,<br />
необходимых для проведения расчетов,<br />
а доступ к остальной части данных обеспечивался<br />
бы при помощи передачи сообщений.<br />
Далее проведем исследование применимости<br />
для решения задачи синтеза РЛИ в РСА<br />
двух возможных способов выполнения параллельных<br />
вычислений подобного типа.<br />
выделение информационных<br />
зависимостей<br />
Для вычисления одной строки матрицы<br />
С необходимо, чтобы в каждой подзадаче<br />
содержалась строка матрицы А и был обеспечен<br />
доступ ко всем столбцам матрицы B.<br />
Возможные способы организации параллельных<br />
вычислений состоят в следующем.<br />
Алгоритм представляет собой итерационную<br />
процедуру, количество итераций которой<br />
совпадает с числом подзадач. На каждой<br />
итерации алгоритма каждая подзадача содержит<br />
по одной строке матрицы А и одному<br />
столбцу матрицы в. При выполнении итерации<br />
проводится скалярное умножение содержащихся<br />
в подзадачах строк и столбцов,<br />
что приводит к получению соответствующих<br />
элементов результирующей матрицы С.<br />
По завершении вычислений в конце каждой<br />
итерации столбцы матрицы в должны быть<br />
переданы между подзадачами с тем, чтобы<br />
в каждой подзадаче оказались новые столбцы<br />
матрицы в и могли быть вычислены новые<br />
элементы матрицы C. При этом передача<br />
столбцов между подзадачами должна быть<br />
организована таким образом, чтобы после<br />
завершения итераций алгоритма в каждой<br />
подзадаче последовательно оказались все<br />
столбцы матрицы в.<br />
Возможная простая схема организации<br />
необходимой последовательности передач<br />
столбцов матрицы в между подзадачами<br />
состоит в представлении топологии информационных<br />
связей подзадач в виде кольцевой<br />
структуры. В этом случае на каждой итерации<br />
подзадача i, 0 ≤ i < n, будет передавать свой<br />
столбец матрицы в подзадаче с номером i+1.<br />
В соответствии с кольцевой структурой подзадача<br />
n–1 передает свои данные подзадаче с номером<br />
0 (рис. 6). После выполнения всех итераций<br />
алгоритма необходимое условие будет<br />
обеспечено: в каждой подзадаче поочередно<br />
окажутся все столбцы матрицы в.<br />
На рис. 6 представлены итерации алгоритма<br />
матричного умножения для случая, когда<br />
матрицы состоят из четырех строк и четырех<br />
столбцов (n = 4). В начале вычислений<br />
в каждой подзадаче i, 0 ≤ i < n, располагаются<br />
i строка матрицы A и i столбец матрицы B.<br />
В результате их перемножения подзадача получает<br />
элемент c ii результирующей матрицы<br />
С. Далее подзадачи осуществляют обмен<br />
столбцами, в ходе которого каждая подзадача<br />
передает свой столбец матрицы B следующей<br />
подзадаче в соответствии с кольцевой структурой<br />
информационных взаимодействий.<br />
Затем выполнение описанных действий повторяется<br />
до завершения всех итераций параллельного<br />
алгоритма.<br />
масштабирование и распределение<br />
подзадач по процессорам<br />
Выделенные базовые подзадачи характеризуются<br />
одинаковой вычислительной трудоемкостью<br />
и равным объемом передаваемых<br />
данных. В случае когда размер матриц n оказывается<br />
больше, чем число процессоров p,<br />
базовые подзадачи можно укрупнить, объединив<br />
в рамках одной подзадачи несколько<br />
соседних строк и столбцов перемножаемых<br />
матриц. Тогда исходная матрица A разбивается<br />
на ряд горизонтальных полос, а матрица B<br />
представляется в виде набора вертикальных<br />
(для первого алгоритма) или горизонтальных<br />
(для второго алгоритма) полос. Размер полос<br />
при этом следует выбрать равным k = n/p<br />
(в предположении, что n кратно p), что позволит<br />
по-прежнему обеспечить равномерность<br />
распределения вычислительной нагрузки<br />
по процессорам, составляющим многопроцессорную<br />
вычислительную систему.<br />
Для распределения подзадач между процессорами<br />
может быть использован любой<br />
способ, обеспечивающий эффективное представление<br />
кольцевой структуры информационного<br />
взаимодействия подзадач. Для этого<br />
достаточно, например, чтобы подзадачи, являющиеся<br />
соседними в кольцевой топологии,<br />
располагались на процессорах, между<br />
которыми имеются прямые линии передачи<br />
данных.<br />
Анализ эффективности<br />
ленточных алгоритмов<br />
Общая трудоемкость последовательного<br />
алгоритма, как уже отмечалось ранее, является<br />
пропорциональной n 3 . Для параллельного<br />
алгоритма на каждой итерации каждый процессор<br />
выполняет умножение имеющихся<br />
на процессоре полос матрицы А и матрицы в.<br />
(Размер полос равен n/p, в результате общее<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Рис. 7. Зависимость ускорения от количества процессоров<br />
при выполнении первого параллельного алгоритма матричного умножения<br />
при ленточной схеме распределения данных<br />
Таблица 1. Результаты вычислительных экспериментов<br />
по исследованию первого параллельного алгоритма матричного умножения<br />
при ленточной схеме распределения данных<br />
Размер<br />
матриц<br />
Последова-<br />
тельный<br />
алгоритм<br />
количество выполняемых при этом умножении<br />
операций равно n 3 /p 2 .) Поскольку число<br />
итераций алгоритма совпадает с количеством<br />
процессоров, сложность параллельного алгоритма<br />
без учета затрат на передачу данных<br />
может быть определена при помощи выражения:<br />
T p = (n 3 /p 2 )p = n 3 /p. (4)<br />
С учетом этой оценки показатели ускорения<br />
и эффективности параллельного алгоритма<br />
матричного умножения принимают вид:<br />
S p = n 3 /(n 3 /p) = p,<br />
2 процессора 4 процессора 8 процессоров<br />
Время Ускорение Время Ускорение Время Ускорение<br />
500 0,8752 0,3758 2,3287 0,1535 5,6982 0,0968 9,0371<br />
1000 12,8787 5,4427 2,3662 2,2628 5,6912 0,6998 18,4014<br />
1500 43,4731 20,9503 2,0750 11,0804 3,9234 5,1766 8,3978<br />
2000 103,0561 45,7436 2,2529 21,6001 4,771 9,4127 10,9485<br />
2500 201,2915 99,5097 2,0228 56,9203 3,5363 18,3303 10,9813<br />
3000 347,8434 171,9232 2,0232 111,9642 3,1067 45,5482 7,6368<br />
E p = n 3 /((n 3 /p)p) = 1. (5)<br />
Таким образом, общий анализ сложности<br />
дает идеальные показатели эффективности<br />
параллельных вычислений. Для уточнения<br />
полученных соотношений оценим более<br />
точно количество вычислительных операций<br />
алгоритма и учтем затраты на выполнение<br />
операций передачи данных между процессорами.<br />
С учетом числа и длительности выполняемых<br />
операций время выполнения вычислений<br />
параллельного алгоритма может быть<br />
оценено следующим образом:<br />
T p (calc) = (n 2 /p)(2n–1)t. (6)<br />
Для оценки коммуникационной сложности<br />
параллельных вычислений будем предполагать,<br />
что все операции передачи данных<br />
между процессорами в ходе одной итерации<br />
алгоритма могут быть выполнены параллельно.<br />
Объем передаваемых данных между<br />
процессорами определяется размером полос<br />
и составляет n/p строк или столбцов длины n.<br />
Общее количество параллельных операций<br />
передачи сообщений на единицу меньше<br />
числа итераций алгоритма. (На последней<br />
итерации передача данных не является обязательной.)<br />
Тем самым оценка трудоемкости<br />
выполняемых операций передачи данных<br />
может быть определена как [4]:<br />
T p (comm) = (p–1)(α+wn(n/p)/β), (7)<br />
где α — латентность; β — пропускная способность<br />
сети передачи данных; w — размер<br />
элемента матрицы в байтах.<br />
С учетом полученных соотношений общее<br />
время выполнения параллельного алгоритма<br />
матричного умножения определяется следующим<br />
выражением:<br />
T p = (n 2 /p)(2n–1)t =<br />
= (p–1)(α+wn(n/p)/β). (8)<br />
новые технологии<br />
Рис. 8. График зависимости от объема исходных данных теоретического<br />
и экспериментального времени выполнения параллельного алгоритма<br />
на двух процессорах (ленточная схема распределения данных)<br />
Таблица 2. Сравнение экспериментального и теоретического времени выполнения<br />
первого параллельного алгоритма матричного умножения<br />
при ленточной схеме распределения данных<br />
результаты<br />
вычислительных экспериментов<br />
Эксперименты проводились на вычислительном<br />
кластере на базе процессоров Intel<br />
Core 2 Duo, E8400–3000 МГц и сети Gigabit<br />
Ethernet под управлением операционной системы<br />
Microsoft Windows Server 2003 Standart.<br />
Результаты вычислительных экспериментов<br />
приведены в таблице 1 и на рис. 7.<br />
Эксперименты выполнялись с использованием<br />
двух, четырех и восьми процессоров.<br />
Сравнение экспериментального времени T p*<br />
выполнения эксперимента и теоретического<br />
времени T p из формулы (8) представлено<br />
в таблице 2 и на рис. 8.<br />
Для оценки длительности t базовой скалярной<br />
операции проводилось решение задачи<br />
умножения матриц при помощи последовательного<br />
алгоритма, и полученное таким<br />
образом время вычислений делилось на общее<br />
количество выполненных операций.<br />
В результате подобных экспериментов для<br />
величины t было получено значение 6,4 нс.<br />
Эксперименты, выполненные для определения<br />
параметров сети передачи данных, показали<br />
значения латентности α и пропускной<br />
способности β 130 мкс и 53,29 Мбайт/с соответственно.<br />
Все вычисления производились<br />
над числовыми значениями типа double,<br />
то есть величина w была равна 8 байт. n<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
Размер<br />
матриц<br />
2 процессора 4 процессора 8 процессоров<br />
T p T p * T p T p * T p T p *<br />
500 0,8243 0,3758 0,4313 0,1535 0,2353 0,0968<br />
1000 6,51822 5,4427 3,3349 2,2628 1,7436 0,6998<br />
1500 21,9137 20,9503 11,1270 11,0804 5,7340 5,1766<br />
2000 51,8429 45,7436 26,2236 21,6001 13,4144 9,4127<br />
2500 101,1377 99,5097 51,0408 56,9203 25,9928 18,3303<br />
3000 174,6301 171,9232 87,9946 111,9642 44,6772 45,5482<br />
133
134<br />
Литература<br />
новые технологии<br />
1. Кондратенков Г. С. и др. Радиовидение. М.:<br />
Радио и связь, 2005.<br />
2. Саблин В. Н. Разведывательно-ударные комплексы<br />
и радиолокационные системы наблюдения<br />
земной поверхности. М.: Радиотехника,<br />
2002.<br />
новости блоки питания<br />
После успешного запуска в производство серий<br />
SDR-120, SDR-240 и SDR-480 компания Mean<br />
Well продолжает расширять семейство узких<br />
источников питания для установки на DIN-рейку<br />
и представляет новую серию SDR-960 мощностью<br />
960 Вт.<br />
Новые источники имеют встроенный активный<br />
корректор коэффициента мощности и диапазон<br />
входных напряжений 180–264 В. Современная<br />
высокоэффективная схемотехника обеспечивает<br />
высокий (до 94%) КПД и возможность работы<br />
при полной нагрузке с естественным охлаждением<br />
до +50 °C (и до +70 °C при соответствующем снижении<br />
мощности).<br />
Источники питания SDR-960 имеют следующие<br />
стандартные функции: защита от короткого замыкания,<br />
перегрузки, перенапряжения и перегрева,<br />
сигнал наличия выходного напряжения,<br />
возможность параллельной работы (до четырех<br />
устройств) и подстройка выходного напряжения<br />
(100–115%) с передней панели. По требовани-<br />
3. Гергель В. П., Стронгин Р. Г. Основы параллельных<br />
вычислений для многопроцессорных<br />
вычислительных систем. Н. Новгород: ННГУ,<br />
2001.<br />
4. Богачев К. Ю. Основы параллельного программирования.<br />
М.: БИНОМ. Лаборатория знаний, 2003.<br />
5. Воеводин В. В., Воеводин Вл. В. Параллельные<br />
вычисления. СПб.: БХВ-Петербург, 2002.<br />
Узкий источник питания на DIn-рейку от Mean Well<br />
ям безопасности эти устройства соответствуют<br />
UL508, EN60950-1 и IEC60950-1.<br />
Приложения, в которых могут найти свое применение<br />
новые источники, — это системы управления<br />
производственными процессами, оборудование<br />
для изготовления полупроводников, промышленная<br />
автоматика и другие системы, где требуется<br />
низкий шум или отсутствие вентилятора.<br />
6. Эндрюс Г. Р. Основы многопоточного, параллельного<br />
и распределенного программирования.<br />
М.: ИД «Вильямс», 2003.<br />
7. Roosta S. H. Parallel Processing and Parallel<br />
Algorithms: Theory and Computation. NY:<br />
Springer – Verlag. 2000.<br />
8. Шпенст В. А. Комплексная обработка многоспектральной<br />
информации. СПб: МВАА, 2008.<br />
Технические характеристики SDR-960:<br />
• Входное напряжение: 180–264 В.<br />
• Узкий корпус: ширина 110 мм.<br />
• КПД 94%.<br />
• Перегрузка до 130% в течение 3 с.<br />
• Встроенный активный корректор коэффициента<br />
мощности.<br />
• Возможность параллельной работы до 4 шт.<br />
• Защита: короткое замыкание, перегрузка, перенапряжение,<br />
перегрев.<br />
• Нет вентилятора, естественное охлаждение.<br />
• Релейный выход наличия выходного напряжения.<br />
• Подстройка напряжения с передней панели.<br />
• Установка на DIN-рейку TS-35/7,5 или/15.<br />
• Светодиодный индикатор включения на передней<br />
панели.<br />
• Сертификаты: UL, CUL, TUV, CB, CE.<br />
• Размеры: 110×125,2×150<br />
мм.<br />
www.aviton.spb.ru<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013<br />
Реклама
Реклама
136<br />
Введение<br />
технологии измерительная аппаратура<br />
Илья НИКОЛАЕВ<br />
info@prist.ru<br />
Встраиваемые GPS-приемники вошли в нашу повседневную жизнь<br />
с развитием систем GPS-навигации. Их стали внедрять практически<br />
повсеместно: в смартфоны, автомобили, самолеты и во многие другие<br />
устройства, использующие сигналы навигации. По мере роста<br />
числа встраиваемых GPS-приемников увеличивается и потребность<br />
в тестировании этих устройств. Контролируемое виртуальное тестирование<br />
жизненно важно для изучения производительности будущих<br />
систем. Имитация сигналов GPS и ГЛОНАСС — это недорогой<br />
и удобный метод тестирования оборудования, которое использует<br />
системы глобальной спутниковой навигации.<br />
Компания SPECTRACOM выпускает целую линейку относительно<br />
недорогих устройств — имитаторов сигналов системы GPS,<br />
а с недавнего времени и ГЛОНАСС. Отличия между моделями приведены<br />
в таблице.<br />
С помощью радиочастотных имитаторов серии GSG можно имитировать<br />
радиосигналы, генерируемые спутниками GPS и ГЛОНАСС.<br />
Таблица. Отличия между моделями приемников<br />
Модель GSG-52 GSG-53 GSG-54 GSG-55 GSG-56 GSG-62<br />
Количество каналов 4 4 8 16 16 32<br />
GPS Да Да Да Да Да Да<br />
ГЛОНАСС Нет Да Нет Нет Да Да<br />
SBAS Нет Нет Нет<br />
WAAS/<br />
EGNOS<br />
WAAS/EGNOS<br />
GAGAN/MSAS WAAS/EGNOS<br />
GAGAN/MSAS<br />
Создание траекторий Нет Нет Да Да Да Да<br />
Выход 1 PPS Нет Нет Да Да Да Да<br />
Эффект отражения Нет Нет<br />
Только<br />
основное<br />
Да Да Да<br />
Белый шум Нет Нет Нет Да Да Да<br />
Вход внешней<br />
опорной частоты<br />
Да Да Да Да Да Да<br />
Рис. 1. Имитатор сигналов GPS/ГЛОНАСС GSG-62<br />
Имитаторы сигналов<br />
GPS/ГЛОНАСС<br />
В статье рассматриваются последние разработки в области имитации<br />
GnSS-сигналов. Это имитаторы серий GSG-5x и GSG-6x компании<br />
SPECTRACOM, известного производителя точных средств измерений<br />
времени и частоты.<br />
Эти устройства позволяют создавать сценарии, в том числе траектории<br />
приемников, и проигрывать их, изменяя рабочие параметры<br />
приемника. Имитация помогает нам лучше понять реальность,<br />
по крайней мере в области GNSS-инженерии.<br />
Основные возможности и преимущества<br />
Имитаторы GSG специально разработаны для крупномасштабных<br />
производственных испытаний устройств, в которых используются<br />
приемники сигналов GPS и ГЛОНАСС. На рис. 1 представлен<br />
внешний вид имитатора сигналов GPS/ГЛОНАСС GSG-62 — это новый<br />
32-канальный, двухчастотный мульти-GNSS имитатор. Прибор<br />
обладает высокими техническими характеристиками и имеет большое<br />
количество функций и настроек. На его передней панели расположены<br />
широкий ЖК-дисплей и множество кнопок управления,<br />
при всем этом у него совсем скромные габариты — 21090395 мм<br />
и масса 2,7 кг.<br />
Новый имитатор позволяет выполнять разнообразные операции<br />
в диапазоне частот, проводить мульти-GNSS моделирование<br />
и имеет расширенные возможности по работе с несколькими диапазонами<br />
частот и каналов, включая поддержку модернизации GPS<br />
L2C и L5 (Galileo), а также будущих GNSS-систем. GSG-62 имеет<br />
16 каналов на частоте L1 и 16 каналов для частот L2, которые могут<br />
быть отнесены к GPS или ГЛОНАСС, P- и C/A-коду. С помощью<br />
настройки опций оператор может задавать практически все аспекты<br />
окружения сигналов GPS, в том числе и сценарии помех, вносимых<br />
ионосферой и тропосферой. Такая гибкость оказывается полезной<br />
при имитации GPS-помех, когда время, ресурсы и повторяемость<br />
недостаточны.<br />
Новая улучшенная система имитации навигационных сигналов,<br />
реализованная в последних моделях GSG-62, в состоянии<br />
эмулировать сигнал вспомогательных навигационных систем<br />
(Satellite-Based Augmentation Systems, SBAS), таких как европейская<br />
EGNOS, американская WAAS, индийская GAGAN и японская<br />
MSAS. Вспомогательный навигационный сигнал важен для точных<br />
применений GPS-навигации, например в авиационном ориентировании.<br />
GSG умеет генерировать белый шум, позволяя таким образом<br />
тестировать GPS-приемники при различных соотношениях<br />
сигнал/шум. Многие высококачественные навигационные системы<br />
имеют в своем составе 12-канальные GPS-приемники. С помощью<br />
GSG можно полностью протестировать их, задействовав и дополнительный<br />
сигнал. Если потребуется большее количество каналов, достаточно<br />
просто синхронизировать два или более имитаторов через<br />
внешний синхронизационный сигнал с частотой 1 PPS и получить<br />
64-, 96-канальную или систему с большим количеством каналов.<br />
Пользователь GSG может изменять сценарии «на лету», без использования<br />
внешнего компьютера и фазы прекомпиляции. С помощью<br />
фронтальной панели можно быстро изменять такие параметры,<br />
как положение пользователя и время, и определять соотно-<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Рис. 2. Русскоязычное стартовое меню<br />
шение сигнал/шум в выходной мощности<br />
вместо использования абсолютной мощности.<br />
Помимо возможности проведения<br />
навигационных/позиционных тестов, GSG<br />
также позволяет проводить тесты синхронизации<br />
GPS-приемников. Встроенный источник<br />
сигнала с частотой 1 PPS, синхронизированный<br />
с генерируемыми спутниковыми<br />
данными, может провести процедуру<br />
сравнения с сигналом аналогичной частоты,<br />
который производит испытуемый синхронизатор.<br />
Имитаторами GSG можно управлять через<br />
интерфейсы Ethernet, USB и GPIB. Встроенный<br />
веб-интерфейс дает полный контроль устройства<br />
из любой точки планеты, где доступен<br />
Интернет. Опционально доступное приложение<br />
StudioView позволяет независимо от самого<br />
имитатора GSG создавать и редактировать<br />
самые сложные сценарии и управлять ими.<br />
Речь в данном случае идет и о построении траекторий<br />
на базе Google Maps.<br />
Рис. 3. Редактор траекторий<br />
измерительная аппаратура<br />
Программное обеспечение<br />
для имитации сигналов<br />
Рис. 4. Редактор параметров сценария<br />
• Создание, редактирование и визуализация<br />
GSG StudioView — программное обеспе- траекторий с отображением вспомогательчение,<br />
использующее ОС Windows для поных инструментов.<br />
строения сценариев испытаний приемников • Преобразование GPX и CSV в требуемый<br />
GPS и ГЛОНАСС. Оно позволяет:<br />
формат NMEA.<br />
• Создавать и управлять комплексными па- • Возможность создания сценариев, включая<br />
раметрами сценариев независимо от при- события и траектории, без подключения<br />
бора.<br />
имитатора.<br />
• Визуально создавать траектории с использованием<br />
карт Google или импорти- Легкость построения траектории<br />
ровать их из большинства приложений и динамическое управление<br />
и устройств.<br />
• Выгружать/загружать файлы сценарисобытиями<br />
ев (в том числе событий и траекторий) Ключевой особенностью имитаторов GSG<br />
из имитатора и в него.<br />
является возможность создавать и изме-<br />
• Обновлять прошивку имитатора.<br />
нять модель движущегося приемника GSG<br />
ПО StudioView — это простой способ для или ГЛОНАСС. ПО имеет очень удобную<br />
создания, редактирования и резервного ко- функцию создания визуальных траектопирования<br />
сложных сценариев для многокарий с помощью карт Google. Также сущенальных<br />
имитаторов GSG. Несмотря на споствует возможность импорта траекторий<br />
собность GSG управлять сценариями без уча- из большинства других программных пристия<br />
внешнего компьютера, ПО StudioView ложений и устройств, таких как Google Earth.<br />
для Windows имеет такие преимущества, как: Программное обеспечение преобразует спи-<br />
• Простота создания, редактирования и ор- сок точек траектории из файла CSV-формата<br />
ганизации всех параметров сценария, в точки пути, маршруты и треки GPX-форма-<br />
включая динамические события.<br />
та в формат NMEA, который требуется для<br />
технологии<br />
прибора. Другие форматы файлов траекторий<br />
(такие как KML-файлы Google Earth) могут<br />
быть преобразованы с помощью ряда других<br />
сторонних бесплатных приложений. При создании<br />
файлов траектории в формате NMEA<br />
указывается высота, скорость, широта и долгота<br />
точек пути. Для получения более точной траектории<br />
вашего объекта следует задавать точки<br />
траектории как можно ближе друг к другу.<br />
Также в состав ПО входит консоль для отправки<br />
команд SCPI на прибор и получения<br />
обратного отклика. ПО поставляется с многоязычной<br />
поддержкой, которая включает<br />
в себя и русский язык. Для активации полной<br />
функциональности необходимо ввести лицензионный<br />
ключ. Интуитивные экраны для<br />
Windows делают ПО StudioView очень простым<br />
в использовании (рис. 2–4).<br />
Комбинация программного пакета<br />
StudioView с блоком управления сигналами<br />
GSG является оптимальным инструментом<br />
имитации GPS/ГЛОНАСС-сигналов, включая<br />
гражданские и военные. В будущих релизах<br />
моделей GSG появится поддержка сигналов<br />
GALLILEO и других систем глобальной спутниковой<br />
навигации. n<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
137
Реклама
Владимир ДьяКОНОВ,<br />
д. т. н., профессор<br />
vpdyak@yandex.ru<br />
Всего лет пять тому назад российский рынок<br />
генераторов был очень скуден, и выбор<br />
достаточно функционального генератора<br />
вызывал большие трудности. Но за несколько<br />
лет ситуация кардинально изменилась.<br />
Первыми многофункциональные генераторы<br />
выпустили крупные фирмы Tektronix и Agilent.<br />
Но это были дорогие приборы. Рынок приборов<br />
умеренной стоимости быстро завоевали<br />
китайские фирмы Rigol, Hantek, Siglent и др.<br />
Некоторые из них по обилию типов генерируемых<br />
сигналов намного превосходят генераторы<br />
ведущих мировых фирм. Например,<br />
многие генераторы произвольных функций<br />
компании Rigol имеют свыше 150 встроенных<br />
функций. Но они и стоят дорого.<br />
Рынок дешевых генераторов (стоимостью<br />
от $400 до $700) в России заняли как китайские<br />
фирмы, так и отечественные, выпускающие<br />
аналоги китайских приборов. Так, генераторы<br />
АКТАКОМ AWG-4000 [1] (российские<br />
аналоги генераторов серии SDG-10хх китайской<br />
фирмы Siglent [2]) являются комбинацией<br />
функционального генератора (генератора<br />
стандартных функций), программируемого<br />
генератора сигналов произвольной формы<br />
и генератора импульсов с регулируемой длительностью<br />
фронтов. Они сочетают в себе<br />
функции множества устройств, нередко выпускаемых<br />
как отдельные приборы:<br />
• высокостабильного широкодиапазонного<br />
генератора синусоидального сигнала<br />
с несколькими видами модуляции;<br />
измерительная аппаратура<br />
• генератора прямоугольных и пилообразных<br />
импульсов с изменяемым в широких<br />
пределах коэффициентом заполнения<br />
и различными видами модуляции;<br />
• функционального генератора сигналов<br />
с рядом математически заданных зависимостей;<br />
• программируемого генератора сигналов<br />
произвольной (заданной пользователем)<br />
формы;<br />
• генератора шума, который можно добавлять<br />
к другим сигналам;<br />
• генератора качающейся частоты (свипгенератора);<br />
• цифрового измерителя частоты, периода,<br />
скважности и длительности импульсов.<br />
Генераторы АКТАКОМ AWG-4105,<br />
AWG-4110 и AWG-4150 имеют два практически<br />
идентичных канала — оба с 14-битовой<br />
разрядностью. Небольшая цена приборов<br />
достигнута за счет разумного ограничения<br />
числа сигналов и отказа от некоторых редко<br />
востребованных функций, например гальванической<br />
развязки выходов, их полной идентичности<br />
по уровням сигнала, ограничения<br />
числа встроенных типов произвольных сигналов<br />
и др.<br />
Применение технологии прямого цифрового<br />
синтеза позволило достичь максимального<br />
разрешения при установке частоты в обоих<br />
каналах 1 мкГц, коэффициента гармоник<br />
синусоидального сигнала –55 дБн и фазового<br />
шума при отстройке 10 кГц не более<br />
технологии<br />
Многофункциональные<br />
бюджетные генераторы<br />
АКТАКОМ серии AWG-41хх<br />
Дешевые цифровые генераторы АКТАКОМ серии AWG-41xx способны<br />
формировать независимые сигналы по двум каналам. Приборы используют<br />
новейшие методы генерации множества сигналов и методы прямого<br />
цифрового синтеза сигналов произвольной формы, обеспечивая высокую<br />
стабильность частоты выходных сигналов и высокое разрешение<br />
по частоте и амплитуде. Но в отличие от генераторов фирмы Tektronix<br />
серии AFG3000 цена генераторов AWG-41xx почти на порядок ниже.<br />
Таблица 1. Частота сигналов генераторов AWG-41хх Таблица 2. Уровни сигналов<br />
Тип генератора AWG-4105 AWG-4110 AWG-4150<br />
Синусоидальный сигнал, МГц 5 10 50<br />
Прямоугольный сигнал (меандр), МГц 5 10 25<br />
Импульсный сигнал, МГц 5 10 10<br />
Пилообразный и треугольный сигнал, кГц 300<br />
Белый шум — полоса (при –3 дБ), МГц 5 10 50<br />
Сигнал специальной формы, МГц 5<br />
108 дБн/Гц. Разрешение по вертикали (разрядность<br />
ЦАП) составляет 14 бит, количество<br />
точек, участвующих в формировании сигнала,<br />
— до 16 К, а частота дискретизации —<br />
125 Мвыб./с. Такие характеристики и хорошо<br />
выполненная система интерполяции сигналов<br />
позволяют воспроизводить сигналы с высокой<br />
точностью и разрешением.<br />
Приборы АКТАКОМ AWG-4105,<br />
AWG-4110 и AWG-4150 могут генерировать<br />
не только синусоидальные сигналы,<br />
но и с полсотни сигналов различной формы<br />
(прямоугольные и треугольные импульсы,<br />
множество сигналов специальной формы<br />
и, наконец, сигналы произвольной формы<br />
и модулированные сигналы). У них есть режим<br />
свипирования (качания) в направлениях<br />
вверх и вниз, по линейному или логарифмическому<br />
закону с возможностью ручного<br />
запуска, а также режим формирования пачек<br />
импульсов. Генераторы имеют разъемы<br />
входа и выхода для внешней синхронизации<br />
и запуска.<br />
Старшая модель AWG-4150 — универсальный<br />
двухканальный генератор стандартных<br />
форм (пять) и специальной формы (48 типов)<br />
сигналов (в том числе модулированных)<br />
и пачек сигналов. Диапазон частот синусоидального<br />
сигнала — от 1 мкГц до 50 МГц,<br />
частота дискретизации — 125 Мвыб./с. Этот<br />
диапазон охватывает сверхнизкие и низкие<br />
(звуковые) частоты, ультразвуковые частоты<br />
и диапазоны длинных, средних, коротких<br />
Канал (выход) CH1 СH2<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
Амплитуда<br />
Вертикальное разрешение<br />
(100 кГц, синус)<br />
Неравномерность АЧХ<br />
(синус, 5 Вп-п)<br />
2 мВп-п…10 Вп-п (50 Ом, 10 МГц)<br />
4 мВп-п…20 Вп-п (высокий импеданс, 10MГц)<br />
±(1%+1 мВп-п)<br />
0,1 дБ (5 МГц)<br />
2 мВп-п…3 Вп-п (50 Ом)<br />
4 мВп-п…6 Вп-п<br />
(высокий импеданс)<br />
139
140<br />
Таблица 3. Общие характеристики генераторов AWG-41хх<br />
технологии измерительная аппаратура<br />
Тип дисплея Жидкокристаллический, 3,5″ TFT, 320×240<br />
Интерфейсы USB-устройство, USB host (опцион — GPIB (IEEE-488.2), LAN)<br />
Питание от сети переменного тока 100–240 В / 45–440 Гц<br />
Потребляемая мощность Не более 30 Вт<br />
Рабочая температура, °C 0…+50<br />
Габаритные размеры, мм 229×105×281<br />
Вес, кг 2,8<br />
и частично ультракоротких волн. Разрешение по вертикали — 14 бит,<br />
максимальное число точек — 16 К. Есть несколько режимов модуляции:<br />
АМ, ЧМ, ФМ, ЧМ и АМ, ШИМ. Есть режим качания частоты.<br />
В модель встроен частотомер до 200 МГц.<br />
Приборы отличаются частотой генерируемых сигналов (табл. 1).<br />
Характеристики генераторов AWG-41хх приведены в таблицах 1–3.<br />
Все модели генераторов АКТАКОМ серии AWG имеют встроенный<br />
шестиразрядный частотомер с автоматическим и ручным<br />
выбором диапазона с возможностью измерения частоты, периода,<br />
длительности положительного/отрицательного импульса и коэффициента<br />
заполнения. Диапазон измерений частотомера — от 100 мГц<br />
до 200 МГц, чувствительность (немодулированный сигнал) в диапазоне<br />
частот от 1 Гц до 200 MГц — от 200 мВп-п до 5 Вп-п (три уровня<br />
чувствительности: низкий, средний, высокий).<br />
Внешний вид и интерфейс пользователя генераторов<br />
Генераторы имеют простой и наглядный интерфейс (рис. 1), подобный<br />
интерфейсу современных цифровых приборов. Яркий цветной<br />
ЖКИ отображает достаточно крупными и четкими знаками основные<br />
параметры сигналов, режимы работы генераторов и схематично<br />
— характерную форму создаваемых сигналов.<br />
Рис. 1. Внешний вид двухканального генератора серии AWG-41хх спереди<br />
На задней панели (рис. 2) расположены защитный порт (Security<br />
Port), гнездо заземления, разъем сигнала, добавляемого к основному<br />
(ADD INPUT), разъемы внешней модуляции сигналов<br />
(EXT MODULATION СH1/CH2), а также входной (EXT REF INPUT)<br />
и выходной (EXT REF OUTPUT) разъемы опорной (эталонной) ча-<br />
Рис. 2. Задняя панель генератора серии AWG-41хх<br />
стоты. Все эти разъемы — стандартные, коаксиальные, типа BNC.<br />
Кроме того, имеются разъемы для подключения к линии USB, сети<br />
LAN и порта GPIB, используемого для управления измерительными<br />
приборами. Есть также зажим заземления и гнездо для подключения<br />
сетевого кабеля с «земляным» выводом.<br />
Управление генератором в основном кнопочное, но есть и удобная<br />
поворотная ручка универсального манипулятора с кнопками направления<br />
ее действия, которая находится в правом верхнем углу передней<br />
панели. Эти кнопки используются для перемещения по разряду<br />
числа того или иного параметра, например частоты, после чего поворотная<br />
ручка позволяет быстро менять число в выбранном разряде,<br />
увеличивая его или уменьшая.<br />
Вертикальная группа кнопок выбора вида генерируемых сигналов<br />
позволяет выбрать одну из основных форм сигналов:<br />
• Sine — синусоидальный сигнал;<br />
• Square — прямоугольные импульсы типа «меандр»;<br />
• Ramp — пилообразные импульсы;<br />
• Pulse — импульсы с регулируемой длительностью фронтов;<br />
• Noise — сигнал белого шума;<br />
• Arb — сигналы с произвольной, задаваемой пользователем формой.<br />
В центре передней панели расположены цифровые кнопки для задания<br />
параметров в числовой форме. С их помощью любой параметр<br />
можно задать как число, указав затем единицы измерения — из меню,<br />
появляющегося в правой части экрана (рис. 3).<br />
Рис. 3. Экран генераторов серии AWG-41хх<br />
Под цифровыми кнопками расположена группа кнопок выбора<br />
функций генератора:<br />
• Mod — задание типа модуляции (АМ — амплитудная, ЧМ — частотная,<br />
ФМ — фазовая, ШИМ — широтно-импульсная модуляция<br />
и ЧМн — частотная манипуляция);<br />
• Sweep — качание частоты сигналов;<br />
• Burst — генерация пачек сигналов;<br />
• Store/Recal — запись и считывание файлов;<br />
• Utility — вызов окна утилит;<br />
• Help — вызов окна встроенной справки.<br />
В правой части передней панели расположена универсальная поворотная<br />
ручка, кнопки управления направлением выбора и кнопки<br />
включения/выключения каналов CH1 и CH2. Под ними расположены<br />
коаксиальные разъемы выходов генератора (и входа частотомера).<br />
Генерация сигналов синусоидальной формы<br />
Наиболее широким диапазоном частот отличаются синусоидальные<br />
сигналы. Для их генерации нужно нажать кнопку Sine и задать частоту,<br />
амплитуду и фазу сигнала. Это следует делать для выбранного канала<br />
— переключение канала обеспечивает кнопка СН1/СН2. По умолчанию<br />
генерируется синусоидальный сигнал с частотой 1 кГц, амплитудой<br />
4 Вп-п (от пика до пика) на нагрузке 50 Ом и фазой 0°.<br />
На рис. 4а показана реальная осциллограмма синусоидального<br />
сигнала с установленными по умолчанию параметрами. Практически<br />
она идеальна. Спектр сигнала, построенный методом быстрого преобразования<br />
Фурье (БПФ), показан красными линиями под осцил-<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
лограммой. Он характеризуется единственным пиком, что указывает<br />
на высокую спектральную чистоту сигнала.<br />
Здесь и далее осциллограммы и спектр сигнала получены с помощью<br />
цифрового запоминающего осциллографа Tektronix DPO-4101,<br />
одного из лучших осциллографов с закрытой архитектурой этой<br />
фирмы. Исследование показало, что практически идеальную синусоидальную<br />
форму и чистоту спектра генераторы сохраняют вплоть<br />
до максимально возможных частот. Например, на рис. 4б показаны<br />
осциллограмма и спектр синусоидального сигнала с частотой 50 МГц<br />
и амплитудой 4 Вп-п, полученного от генератора AWG-4150. Это максимальная<br />
частота такого сигнала у этого генератора.<br />
Построение фигур Лиссажу<br />
Для построения фигур Лиссажу в принципе необходимы два синусоидальных<br />
сигнала. На рис. 5 показано получение от генератора<br />
AWG-41хх двух синусоидальных сигналов с кратными частотами.<br />
Сигналы снимаются с выходов CH1 и CH2 и их параметры устанавливаются<br />
раздельно (кнопка генератора CH1/2).<br />
Для построения фигур Лиссажу один сигнал подается в канал вертикального<br />
отклонения осциллографа (Y), а другой — в канал горизонтального<br />
отклонения (X). Осциллограф DPO-4101 имеет специальный<br />
режим XY для наблюдения фигур Лиссажу в отдельном окне.<br />
измерительная аппаратура<br />
технологии<br />
а б<br />
Рис. 4. Осциллограмма и спектр синусоидального сигнала: а) с частотой 1 кГц и амплитудой 4 Вп-п; б) с частотой 50 МГц и амплитудой 4 Вп-п<br />
Используя сигналы, изображенные на рис. 5, нетрудно проверить<br />
работу осциллографа в этом режиме (рис. 6).<br />
При изменении фазы одного из сигналов меняется угол просмотра<br />
фигуры, а при отсутствии строгой кратности частот фигура вращается.<br />
Фигуры Лиссажу можно использовать для определения фазы<br />
сигналов и равенства или кратности их частот.<br />
Генерация стандартных импульсных сигналов<br />
К стандартным импульсным сигналам относятся прямоугольные<br />
(при коэффициенте заполнения Dute = 50% их называют меандром),<br />
треугольные и прямоугольные импульсы с заданной длительностью<br />
(PulWidth). Для получения высокой точности временной зависимости<br />
у таких импульсов их частота ограничена значением 300 кГц.<br />
Но в режиме генерации произвольных сигналов такие импульсы<br />
(с чуть менее точной формой) можно получить с частотой повторения<br />
до 5 МГц.<br />
Осциллограмма и спектр прямоугольного сигнала показаны<br />
на рис. 7а. Для получения такого сигнала нужно нажать кнопку функциональной<br />
зависимости Square. По умолчанию генерируется сигнал<br />
с частотой 1 кГц, амплитудой 4 Вп-п, фазой 0° и коэффициентом<br />
заполнения 50%. Эти параметры можно менять. Спектр меандра содержит<br />
только нечетные гармоники.<br />
Рис. 5. Осциллограммы двух синусоидальных сигналов с разной частотой Рис. 6. Построение фигуры Лиссажу для сигналов, показанных на рис. 5<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
141
142<br />
технологии измерительная аппаратура<br />
Рис. 7. Осциллограмма и спектр:<br />
а) симметричного прямоугольного сигнала;<br />
б) симметричного треугольного сигнала; в) прямоугольного импульсного сигнала<br />
Кнопка Ramp запускает генерацию пилообразного сигнала —<br />
по умолчанию это треугольный симметричный сигнал (рис. 7б).<br />
Спектр треугольного сигнала также содержит только нечетные гармоники,<br />
но их уровень быстро падает с ростом номера гармоники. Такой<br />
сигнал часто применяется для оценки нелинейности радиоэлектронных<br />
устройств. Возможна регулировка симметрии в широких пределах<br />
— от 0 до 100% (по умолчанию — 50%). При асимметрии сигнал<br />
становится пилообразным с линейным нарастанием и спадом.<br />
а<br />
б<br />
в<br />
Нажатие кнопки Pulse включает генерацию импульсного сигнала<br />
прямоугольной формы. По умолчанию это импульсы с длительностью<br />
200 мкс, частотой 1 кГц и амплитудой 4 Вп-п (рис. 7в). Помимо<br />
этих параметров, можно менять время задержки импульсов Delay<br />
и сдвиг по уровню Offset. Спектр коротких импульсов содержит<br />
множество гармоник (как нечетных, так и четных) (рис. 7в, внизу).<br />
Регулировка времени нарастания и спада импульсов не предусмотрена.<br />
Этот недостаток описываемых генераторов частично компенсируется<br />
генерацией трапецеидальных импульсов в режиме Arb.<br />
Генерация произвольных встроенных сигналов<br />
Генерация произвольных сигналов — главная особенность генераторов<br />
класса AWG (Arbitrary Waveform Generator). Помимо возможности<br />
создания действительно произвольных сигналов с помощью<br />
персонального компьютера, генераторы AWG-41xx имеют богатую<br />
библиотеку различных форм сигналов, которые можно немедленно<br />
использовать. Для обращения к ней надо нажать кнопку Arb. Экран<br />
генератора при этом имеет вид, показанный на рис. 8.<br />
Рис. 8. Экран генератора для установки произвольных сигналов<br />
По умолчанию загружается сигнал в виде нарастающего ступенчатого<br />
импульса (StairUp). Меню в правой части экрана позволяет установить<br />
частоту (Freq), амплитуду (Ampl), сдвиг по вертикали (Offset)<br />
и фазу (Phase) сигнала.<br />
Позиция 1/2 ↓ открывает нижнюю часть меню. В ней есть две позиции:<br />
1/2 ↑ — возврат в верхнюю часть меню и Load Waveform — загрузка<br />
из памяти генератора встроенных форм сигналов. Активизация<br />
этой позиции открывает меню с тремя позициями:<br />
• Build In — открытие меню встроенных<br />
наборов форм сигналов (рис. 9);<br />
• Stored Waveform — запись в память созданных<br />
сигналов;<br />
• Cancel — возврат в предыдущее меню.<br />
В меню встроенных наборов форм сигналов<br />
есть следующие позиции:<br />
• Common — формы сигналов общего<br />
характера.<br />
• Math — формы сигналов, описываемые<br />
математическими функциями.<br />
• Project — формы специальных сигналов.<br />
• Winfun/Triangle — формы сигналов,<br />
представляющих окна и некоторые тригонометрические<br />
функции.<br />
Рис. 9. Меню встроенных<br />
• Choice (или Select) — выбор нужной дополнительных сигналов<br />
формы сигнала.<br />
Первые четыре позиции этого меню открывают соответствующие<br />
меню основных классов встроенных шаблонов сигналов. Они показаны<br />
на рис. 10.<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Рис. 10. Меню основных классов встроенных шаблонов сигналов<br />
Рис. 11. Осциллограмма и спектр ступенчатого сигнала из набора Arb-сигналов<br />
измерительная аппаратура<br />
технологии<br />
Рис. 12. Осциллограммы трапецеидального и пилообразного сигналов:<br />
а) при частоте 1 кГц; б) при максимальной частоте 5 МГц<br />
При нажатии кнопки Arb первой автоматически загружается форма<br />
ступенчатого сигнала. Его осциллограмма и спектр показаны<br />
на рис. 11. Генерируется ступенчатый сигнал с частотой 1 кГц и числом<br />
ступенек, равным 8. Амплитуда сигнала — 4 Вп-п, фаза и смещение<br />
нулевые.<br />
Рис. 13. Пилообразный и ступенчатый сигналы для характериографа Рис. 14. Осциллограмма и спектр сигнала sinc = sin(x)/x<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
а<br />
б<br />
143
144<br />
а<br />
б<br />
в<br />
Рис. 15. Осциллограммы сигналов:<br />
а) Dlorentz и Gmonpuls; б) Cardiac и Chirp; в) Qake и SNR<br />
технологии измерительная аппаратура<br />
Осциллограммы еще двух сигналов — трапецеидального и пилообразного<br />
— при частоте повторения 1 кГц представлены на рис. 12а.<br />
Это сигналы сравнительно простой формы, и они воспроизводятся<br />
с высокой точностью.<br />
Разумеется, точность воспроизведения формы, особенно у сигналов,<br />
имеющих быстрые перепады, снижается с ростом частоты их<br />
повторения. Но даже на частоте 5 МГц, максимальной для режима<br />
генерации произвольных сигналов, форма трапецеидального и пилообразного<br />
сигналов остается вполне удовлетворительной (рис. 12б).<br />
Для снятия вольт-амперных характеристик, например, полевых<br />
и биполярных транзисторов используются специальные приборы<br />
— характериографы. Для их построения нужны согласованные<br />
во времени пилообразные и ступенчатые сигналы. При этом частота<br />
пилообразного сигнала должна в N раз превышать частоту ступенчатого<br />
сигнала. (Здесь N — число ступенек.) На рис. 13 показан пример<br />
формирования таких сигналов.<br />
В современной цифровой технике часто применяется сигнал<br />
sinc = sin(x)/x. Он используется для создания равномерного спектра<br />
гармоник и при интерполяции отсчетов в цифровых осциллографах.<br />
Осциллограмма этого сигнала и его спектр представлены<br />
на рис. 14.<br />
Следующие два сигнала — Dlorentz и Gmonpuls (рис. 15а) — находят<br />
широкое применение в технике испытания антенных устройств<br />
сверхширокополосных линий связи. Следует не забывать о том, что<br />
там такие сигналы используются с длительностью в наносекунды<br />
и даже доли наносекунд. Генератор создает такие сигналы при гораздо<br />
большей длительности.<br />
В меню Project представлен ряд сигналов, среди которых есть<br />
сигнал, имитирующий реальные сигналы работы сердца (Cardiac)<br />
и характерный звук меняющегося тона (Chirp). Их осциллограммы<br />
представлены на рис. 15б. Осциллограмма сигнала Chirp хорошо иллюстрирует<br />
временную диаграмму сигнала с частотной модуляцией<br />
при большой девиации частоты.<br />
К сигналам, отражающим влияние шумов, относятся сигналы<br />
Qake и SNR (рис. 15в). Первый представляет собой короткую вырезку<br />
из шума, а второй — зашумленную синусоиду.<br />
В цифровых осциллографах и анализаторах спектра широко применяются<br />
окна — windows. Генераторы серии AWG-41xx имеют широкий<br />
набор оконных функций, что позволяет детально изучать их форму<br />
и спектр. Эти функции доступны из меню Window сигналов произвольной<br />
формы. На рис. 16 показаны осциллограмма сигналов окон Кайзера<br />
(Kaiser) и Блэкмана (Blackman) и спектр окна Кайзера. Они имеют очень<br />
маленький уровень боковых лепестков за пределами окна.<br />
Рис. 16. Осциллограмма сигналов окон Кайзера, Блэкмана и спектр окна Кайзера<br />
Данные о еще двух окнах — треугольном (Triangle) и Хариса<br />
(Haries) — представлены на рис. 17. Помимо осциллограмм, там приведен<br />
и спектр треугольного окна.<br />
В меню Window имеется также ряд шаблонов сигналов тригонометрических<br />
и обратных тригонометрических функций. Они хорошо<br />
известны, так что ограничимся приведением осциллограмм функции<br />
тангенса и арктангенса, которые представлены на рис. 18. Для функции<br />
тангенс, характерной разрывами с устремлением к бесконечности<br />
с обеих сторон, приведен спектр. Он содержит большое число гармоник<br />
с медленно спадающей по мере роста их номера амплитудой.<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Рис. 17. Осциллограмма треугольного окна и его спектр и осциллограмма окна Хариса<br />
Рис. 18. Осциллограммы функций tan и arctan и спектр функции tan<br />
Генерация модулированных сигналов<br />
Многие сигналы, например синусоидальные, характеризуются<br />
амплитудой, частотой и фазой. Если эти параметры не меняются<br />
во времени, то сигналы являются стационарными. Модуляция — это<br />
процесс изменения одного из этих параметров во времени. Таким<br />
образом, модулированные сигналы становятся нестационарными.<br />
Рис. 19. Окно задания типов и параметров модулированных сигналов<br />
измерительная аппаратура<br />
технологии<br />
Рис. 20. Осциллограммы сигнала со 100%-ной амплитудной модуляцией<br />
с частотой несущей 10 МГц, модулирующего синусоидального сигнала<br />
с частотой 10 кГц и спектр модулированного сигнала<br />
Нажатие кнопки Mod выводит окно задания генерации модулированных<br />
сигналов (рис. 19).<br />
При амплитудной модуляции (AM) сигнал несущей частоты меняется<br />
во времени по закону модулирующего сигнала. На рис. 20 представлен<br />
пример модуляции синусоидального сигнала c высокой<br />
(10 МГц) частотой синусоидальным сигналом с намного более низкой<br />
(10 кГц) частотой. Спектр AM-сигнала имеет три пика — один несущей<br />
частоты и два боковых пика, отстоящих от несущей на частоту<br />
модулирующего сигнала.<br />
Получение спектра AM-сигналов связано с большими трудностями<br />
из-за невысокой разрешающей способности метода БПФ у большинства<br />
цифровых осциллографов. При указанных параметрах осциллограф<br />
DPO-4101 неплохо справился с получением спектра: все три<br />
частотные составляющие спектра отчетливо видны. Но этот случай<br />
близок к предельному: если снизить частоту модуляции до 1 кГц<br />
и менее (что часто встречается на практике), то боковые пики сольются<br />
с пиком несущей, и их станет невозможно наблюдать.<br />
Еще один вид амплитудной модуляции — DSB-AM. Он характерен<br />
удалением несущего сигнала. В спектре такого сигнала присутствуют<br />
только две боковые полосы (рис. 21).<br />
Рис. 21. Осциллограммы амплитудно-модулированного сигнала<br />
(тип модуляции DSB-AM) только с боковыми полосами и модулирующего сигнала<br />
и спектр модулированного сигнала<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
145
146<br />
технологии измерительная аппаратура<br />
Рис. 22. Осциллограммы частотно-модулированного (тип модуляции FM)<br />
и модулирующего сигналов и спектр модулированного сигнала<br />
Рис. 23. Осциллограммы фазомодулированного (тип модуляции PHM)<br />
и модулирующего сигналов и спектр модулированного сигнала<br />
Рис. 24. Осциллограмма амплитудной модуляции прямоугольного сигнала<br />
треугольным сигналом и спектр сигнала<br />
Возможна также амплитудная двоичная модуляция (ASK). При ней<br />
сигнал несущей модулируется (эта модуляция всегда 100%-ная) прямоугольным<br />
модулирующим сигналом. Этот вид модуляции называют<br />
также амплитудной манипуляцией.<br />
Изменение несущей по частоте обеспечивается частотной модуляцией<br />
— FM. Она характеризуется частотой несущей и частотой модуляции,<br />
а также отклонением частоты — ее девиацией. Амплитуда несущей<br />
при частотной модуляции не меняется. Осциллограммы и спектр<br />
сигнала с FM показаны на рис. 22. Частотная модуляция широко используется<br />
при радиовещании и связи на УКВ с частотой несущей<br />
в десятки МГц.<br />
Возможна также фазовая модуляция — PM. Помимо частот несущей<br />
и модуляции, она характеризуется девиацией фазы (Phase Dev).<br />
Амплитуда несущей в процессе модуляции не меняется (рис. 23).<br />
В радиотехнике используются в основном модулированные синусоидальные<br />
сигналы высокой частоты. Но в импульсной и измерительной<br />
технике нередко применяются модулированные сигналы и другой<br />
формы. Генераторы AWG-41xx могут в качестве несущего и модулирующего<br />
сигнала использовать любые сигналы, кроме постоянного тока<br />
и шума. Например, на рис. 24 показан пример генерации AM прямоугольного<br />
импульса при треугольном модулирующем сигнале.<br />
AWG-41xx в роли генератора качающейся частоты<br />
Генераторы серии AWG-41xx могут работать в режиме качания частоты<br />
— свипирования (режим включается нажатием кнопки Sweep).<br />
Диапазон качания частоты задается начальной и конечной частотами<br />
или средней частотой и полосой частот качания. Указывается также<br />
время качания (SwpTime). Качание возможно в полной полосе частот<br />
или в более узком диапазоне.<br />
На рис. 25а показан пример снятия амплитудно-частотной характеристики<br />
осциллографа DPO-4101 с помощью генератора AWG-<br />
4150. Качание задано от 1 Гц до 50 МГц (это максимальная частота<br />
синусоидального сигнала у этого генератора).<br />
Осциллограф имеет полосу частот до 1 ГГц, поэтому спад сигнала<br />
на выходе канала осциллографа на частоте 50 МГц слабо заметен.<br />
Однако при введении ограничения полосы на уровне 20 МГц спад сигнала<br />
на выходе осциллографа становится хорошо виден (рис. 25б).<br />
По умолчанию качание осуществляется по линейному пилообразному<br />
закону. Но можно задавать и качание по логарифмическому<br />
закону. Это показано на рис. 25в.<br />
Генерация пачек сигналов<br />
Кнопка Burst открывает меню генерации пачек импульсов. Можно<br />
задавать генерацию любого числа периодов сигнала (синусоидального<br />
или импульсного) — от 1 до 50 000 (или до бесконечного). У стробирующего<br />
сигнала можно выбирать положительную или отрицательную<br />
полярность. На рис. 26 показан пример генерации двух<br />
пачек синусоидального и ступенчатого сигналов с разным числом<br />
циклов каждого сигнала.<br />
Импульсы синхронизации<br />
и запуска внешних устройств<br />
Многие внешние устройства, например осциллографы и генераторы,<br />
нуждаются в сигналах запуска и синхронизации. Для таких<br />
сигналов на задней панели генератора есть выход синхронизации.<br />
Осциллограммы импульсов синхронизации и связанных с ними<br />
двух сигналов (треугольного и ступенчатого) показаны на рис. 27.<br />
Импульс синхронизации имеет прямоугольную форму и небольшую<br />
длительность. По умолчанию его выход отсутствует, но в меню утилит<br />
есть позиция его включения.<br />
В свою очередь, генератор может запускаться и синхронизироваться<br />
от внешних устройств. Для этого на задней панели есть входной<br />
разъем.<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Рис. 25. Пример снятия АЧХ канала осциллографа:<br />
а) при полной полосе; б) при узкой полосе;<br />
в) при узкой полосе при логарифмическом законе изменения частоты<br />
Создание произвольных сигналов<br />
(программа Easy Wave)<br />
В генераторах АКТАКОМ серии AWG-41xx и осциллографах<br />
АОС-5103/АОС-5103М/5106/5110/5115 (рис. 28) есть уникальная<br />
функция передачи захваченного осциллографом сигнала в генератор.<br />
Используя программное обеспечение генератора (программа<br />
Easy Wave), можно с помощью команды Import from Scope передать<br />
а<br />
б<br />
в<br />
измерительная аппаратура<br />
технологии<br />
Рис. 26. Пример генерации двух пачек разных сигналов с разным числом периодов<br />
Рис. 27. Осциллограммы импульсов синхронизации,<br />
треугольного и ступенчатого сигналов<br />
осциллограмму с осциллографа в компьютер (рис. 29). Для этого как<br />
осциллограф, так и генератор должны быть подключены к компьютеру<br />
через порты последовательной шины USB.<br />
Программа Easy Wave обеспечивает автоматическое масштабирование<br />
и центровку. В компьютер передается форма моментально<br />
захваченного сигнала.<br />
Рис. 28. Осциллограф «AKTAКОМ» серии AOC-51хх<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
147
148<br />
Используя команду Send wave в меню программы<br />
Commutication, можно передать сигнал<br />
из программы в генератор и получить<br />
его на экране генератора (рис. 30).<br />
Аналогичным образом в программу Easy<br />
Wave можно вводить данные из шаблонов<br />
сигналов, имеющихся в генераторе, редактировать<br />
их с помощью графического пера<br />
или создавать новые формы сигналов. После<br />
передачи в генератор их можно использовать<br />
как сигналы произвольной формы и работать<br />
с ними так же, как со встроенными сигналами<br />
из меню Arb.<br />
Системные средства<br />
Три клавиши внизу на передней панели<br />
вызывают меню системных операций:<br />
технологии измерительная аппаратура<br />
Рис. 29. Окно программы Easy Wave с загруженной осциллограммой<br />
новости измерительная аппаратура<br />
Линейка осциллографических аксессуаров компании<br />
«ПриСТ» пополнилась доступным, универсальным<br />
двухканальным модулем для изоляции входов<br />
(ISFE). Устройство, в качестве бюджетного решения,<br />
служит для превращения обычного цифрового<br />
осциллографа в прибор, имеющий электрически развязанные<br />
входы (гальванически изолированные).<br />
Модуль изоляции входов имеет универсальные<br />
разъемы BNC-типа, что обеспечивает его совместимость<br />
c входами передней панели осциллографа,<br />
а также практически со всеми типами современного<br />
измерительного оборудования: вольтметром,<br />
частотомером и др. Максимальное «плавающее»<br />
напряжение между каналами (без привязки к общему<br />
потенциалу «земли») — до 2000 Вскз. Рабочая<br />
полоса частот (полоса пропускания) — до 1 МГц.<br />
• Store/Recal — запись в файлы (внутренней<br />
памяти и флэш-карты) шаблонов сигналов<br />
и установок генератора.<br />
• Utility — включение/выключение постоянной<br />
составляющей сигналов, управление вводом/выводом<br />
(в том числе выходом импульсов<br />
синхронизации), установка параметров<br />
выхода и включение встроенного цифрового<br />
частотомера, вывод системных данных, включение<br />
автоматического самотестирования<br />
и загрузка файлов обновления firmware.<br />
• Help — доступ к краткой справке по работе<br />
с генератором.<br />
Заключение<br />
Благодаря тщательно оптимизированным<br />
характеристикам (отказ от гальванической<br />
Модули изоляции осциллографических входов ISFE<br />
В состав комплекта модуля для изоляции входов<br />
(ISFE) входят: два соединительных кабеля (BNC-<br />
BNC), два адаптера (BNC-BNC) и USB-кабель.<br />
Основные технические характеристики:<br />
• Максимальное входное напряжение:<br />
±600 В пик.<br />
• Входное сопротивление: 10 МОм.<br />
• Коэффициент ослабления: 200:1.<br />
• Выходное напряжение: ± 3 Впик.<br />
• Плавающее напряжение между каналом<br />
и «землей»: 1000 Вскз.<br />
• Плавающее напряжение между каналами:<br />
2000 Вскз.<br />
• Питание по шине USB<br />
(5 В ±5%, Iпотребл. < 200 мА).<br />
www.prist.ru<br />
Рис. 30. Сигнал осциллографа используется<br />
как шаблон генерируемого сигнала<br />
развязки выходов, уменьшение максимальной<br />
амплитуды сигналов на выходе второго<br />
канала, отсутствие регулировки длительности<br />
фронтов у прямоугольных импульсов<br />
и др.) генераторы АКТАКОМ AWG-41xx<br />
имеют стоимость на порядок (!) ниже стоимости<br />
двухканальных генераторов AFG3000<br />
компании Tektronix при сопоставимых параметрах<br />
сигналов. К достоинствам генераторов<br />
AWG-41xx относится богатый набор<br />
уже встроенных форм сигналов, наличие<br />
встроенного цифрового частотомера, малые<br />
габариты и вес. Все это открывает широкие<br />
возможности применения этих приборов<br />
в лабораторной практике и в технике измерений<br />
в радиоэлектронике и в системе образования.<br />
n<br />
Литература<br />
1. www.aktakom.ru<br />
2. www.siglent.ru<br />
3. Дьяконов В. П. Многофункциональные генераторы<br />
Tektronix AFG3000 // Контрольноизмерительные<br />
приборы и системы. 2006. № 6.<br />
2007. № 1.<br />
4. Дьяконов В. П. Генерация и генераторы сигналов.<br />
М.: СОЛОН-Пресс, 2009.<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Серия осциллографов начального уровня TBS1000 от Tektronix<br />
Компания Tektronix выпустила серию осциллографов начального уровня<br />
TBS1000, которая предоставляет инженерам-электрикам, преподавателям<br />
и всем, кто увлекается электроникой, доступный по цене и надежный полнофункциональный<br />
инструмент для тестирования электронной техники общего<br />
назначения.<br />
Выпуск серии TBS1000 (Tektronix Basic Scope) позволяет вузам оборудовать<br />
осциллографами все учебные места, а компаниям — вооружить своих<br />
инженеров и специалистов технической поддержки необходимым мощным<br />
средством диагностики по доступной цене. Новые осциллографы, разработанные<br />
Tektronix и обеспеченные 5-летней гарантией, отличаются высокой<br />
производительностью и точностью измерений с частотой дискретизации<br />
1 млрд или 500 млн выборок/с и погрешностью усиления на постоянном<br />
токе 3% вплоть до 2 мВ.<br />
По сравнению с предыдущей серией осциллографов TDS1000-DU серия<br />
TBS1000 имеет более широкий диапазон полосы пропускания, включая<br />
25 и 150 МГц. Новая функция регистрации сигналов позволяет пользователю<br />
Реклама новости измерительная аппаратура<br />
сохранить на USB-накопителе осциллограммы, записываемые в течение восьми<br />
часов. Другое усовершенствование — это функция контроля предельных<br />
значений, с помощью которой пользователи могут вести мониторинг изменения<br />
сигналов и разбраковку результатов по принципу «годен/не годен».<br />
Осциллографы TBS1000 основаны на той же запатентованной технологии,<br />
что и популярная серия TDS2000, и поддерживают тот же набор различных<br />
типов запуска, автоматических измерений и БПФ, а также возможности подключения<br />
к ПК по USB.<br />
Пользовательский интерфейс обеспечивает интуитивно понятные операции<br />
в сочетании с полным набором часто используемых типов измерений и анализа.<br />
Легкий и компактный корпус делает осциллограф еще более удобным.<br />
С каждым осциллографом TBS1000 поставляется компакт-диск Education<br />
Resource CD, на котором записаны различные лабораторные упражнения,<br />
пособия для инструктора и вводные курсы по использованию осциллографов<br />
и пробников.<br />
www.tektronix.com<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
149
150<br />
Запуск по немонотонным<br />
перепадам<br />
технологии измерительная аппаратура<br />
Джонни ХЭНКОК<br />
(Johnnie HAnCOCK)<br />
Цифровой сигнал с немонотонным перепадом<br />
— это сигнал, перепад которого случайным<br />
образом меняет направление на противоположное<br />
либо кратковременно замирает<br />
или теряет скорость. На рис. 1 показан пример<br />
сигнала с немонотонным перепадом, который<br />
проявляется очень редко и случайным образом.<br />
Благодаря скорости обновления сигналов<br />
на экране 1 млн осциллограмм в секунду мы<br />
можем отчетливо видеть дефекты сигнала при<br />
запуске по любому нарастающему перепаду<br />
Использование функции<br />
запуска InfiniiScan Zone Trigger<br />
для выделения<br />
проблемных сигналов<br />
При отладке цифровых устройств зачастую бывает очень сложно, а порой<br />
даже вообще невозможно настроить осциллограф на запуск по отдельным<br />
специфическим аномалиям сигнала. Аппаратно реализованную функцию<br />
запуска InfiniiScan Zone Trigger можно использовать вместе с обычными<br />
возможностями осциллографа по запуску, что помогает сосредоточить все<br />
внимание исключительно на проблемных сигналах. Если вы видите аномалию<br />
на экране при использовании стандартных средств запуска, с помощью<br />
функции Zone Trigger вы можете синхронизировать осциллограф<br />
именно по таким дефектам. Для того чтобы лучше понять, как работает<br />
функция Zone Trigger, рассмотрим несколько практических примеров.<br />
этой цифровой импульсной последовательности.<br />
Теперь необходимо настроить запуск<br />
осциллографа так, чтобы на дисплее отображались<br />
только сигналы с редкими аномалиями<br />
и не показывались сигналы с равномерными<br />
перепадами. Если мы сможем настроить<br />
осциллограф на отображение только проблемных<br />
сигналов, то тогда мы, возможно,<br />
сможем исследовать другие сигналы в системе<br />
с целью поиска взаимосвязей и причин нарушения<br />
целостности сигнала.<br />
В некоторых осциллографах есть функция<br />
запуска по длительности нарастающего или<br />
спадающего перепада с режимом «больше,<br />
чем» или «меньше, чем». Использование<br />
этих режимов может помочь решить нашу<br />
проблему, однако настройка запуска по этим<br />
условиям представляет собой сложную<br />
и трудоемкую задачу. Благодаря функции<br />
запуска Zone Trigger мы можем просто нарисовать<br />
на дисплее прямоугольник (зону)<br />
в районе аномалии сигнала, а затем определить,<br />
что сигнал «должен пересекать» эту<br />
зону. Теперь осциллограф будет отображать<br />
только те сигналы, которые соответствуют<br />
этому условию (рис. 2).<br />
Заметим, что мы можем также определить,<br />
что сигнал «не должен пересекать» зону, тог-<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Рис. 1. Захват сигнала с редким немонотонным перепадом<br />
с использованием стандартных возможностей запуска по перепаду<br />
Рис. 2. Захват и отображение только сигналов с аномалией (немонотонным перепадом)<br />
с помощью функции InfiniiScan Zone Trigger<br />
Рис. 3. Захват и отображение только сигналов с «нормальным» перепадом<br />
с помощью функции InfiniiScan Zone Trigger<br />
измерительная аппаратура<br />
технологии<br />
да осциллограф будет отображать только сигналы с нормальным<br />
нарастающим перепадом (рис. 3). Кроме того, с помощью сенсорного<br />
дисплея осциллографа мы можем просто передвинуть зону «Должен<br />
пересекать» в область обычного состояния сигналов.<br />
Как на самом деле работает функция InfiniiScan Zone Trigger? При<br />
включении этой функции осциллограф сначала захватывает все сигналы,<br />
которые соответствуют заданному условию запуска. И хотя чаще<br />
всего используется простой запуск по нарастающему или спадающему<br />
перепаду, в качестве предварительного условия запуска можно использовать<br />
любые другие режимы, включая запуск по сигналам последовательных<br />
шин. Затем осциллограф сравнивает каждый захваченный<br />
сигнал с заданными зонами (может быть задано до двух зон). Захват<br />
и сравнение осуществляются с аппаратно-реализованной скоростью<br />
до 200 000 осциллограмм в секунду. В результате на дисплее прибора<br />
отображаются только те сигналы, которые соответствуют заданному<br />
критерию зоны.<br />
Функция InfiniiScan Zone Trigger обеспечивает очень простой<br />
и надежный способ захвата случайных и редких аномалий сигнала.<br />
По сути, если вы можете видеть аномалию на экране осциллографа<br />
при скорости обновления сигналов 1 млн осциллограмм в секунду<br />
и использовании обычных условий запуска, например запуска<br />
по перепаду, то затем осциллограф может однозначно захватить<br />
Рис. 4. Высокая скорость обновления сигналов на экране<br />
позволяет выявлять редкие метастабильные состояния сигнала<br />
Рис. 5. Использование функции Zone Trigger<br />
для выявления редкого метастабильного состояния сигнала<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
151
152<br />
технологии измерительная аппаратура<br />
Рис. 6. Запуск по нарастающему перепаду сигнала последовательной шины со скоростью<br />
передачи данных 10 Мбит/с при установленном коэффициенте развертки 100 нс/дел.<br />
и отобразить эту аномалию с помощью<br />
функции InfiniiScan Zone Trigger, которая<br />
обеспечивает аппаратную скорость обработки<br />
200 000 сигналов в секунду.<br />
Запуск по метастабильному<br />
состоянию<br />
Метастабильное состояние — это чрезвычайно<br />
редкая помеха, которая появляется<br />
вследствие неправильной синхронизации<br />
тактовых сигналов и сигналов данных.<br />
На рис. 4 показан пример сигнала данных,<br />
который случайным образом начинает переходить<br />
из высокого логического уровня<br />
в нижний, а потом снова возвращается в исходное<br />
состояние. Благодаря исключительно<br />
высокой скорости обновления сигналов<br />
на экране осциллографа — до 1 млн осциллограмм<br />
в секунду — мы можем отчетливо<br />
видеть эту аномалию при использовании запуска<br />
по спадающему перепаду (центр экрана)<br />
сигнала данных. Для выделения только тех<br />
сигналов, которые содержат редкую помеху,<br />
мы можем использовать усовершенствованные<br />
режимы запуска, например запуск по длительности<br />
импульса. Однако если отрицательная<br />
амплитуда глитча будет слишком малой,<br />
это не всегда срабатывает. Более простой и надежный<br />
способ синхронизации по различным<br />
значениям амплитуды помехи заключается<br />
в использовании функции Zone Trigger.<br />
Если на экране нарисовать прямоугольник<br />
зоны с условием «Должен пересекать» в области<br />
аномалии, то осциллограф будет отображать<br />
только сигналы, содержащие глитч<br />
(метастабильное состояние) (рис. 5). Как<br />
только мы сможем настроить синхронизацию<br />
по проблемным сигналам, дальнейшая<br />
работа по выявлению причин возникновения<br />
неполадок будет сведена к исследованию<br />
других сигналов в тестируемом устройстве<br />
с целью поиска взаимосвязей.<br />
Запуск по шаблонам сигналов<br />
последовательных шин<br />
Хотя функция Zone Trigger чаще всего<br />
применяется для запуска по проблемным<br />
сигналам, как это было показано в двух предыдущих<br />
примерах, ее можно использовать<br />
также для запуска по уникальным шаблонам<br />
сигналов последовательных шин. Одним<br />
из простых примеров является настройка<br />
осциллографа на запуск по одиночному импульсу.<br />
Для определения качества сигналов<br />
последовательных шин часто требуется выполнять<br />
измерения параметров изолированных<br />
«лог. 1» и/или изолированных «лог. 0».<br />
Для сигналов с кодировкой типа NRZ (без<br />
возврата к нулю) изолированная «лог. 1»<br />
определяется как отдельный бит с высоким<br />
уровнем сигнала, которому предшествует<br />
и за которым следует заданное число нулевых<br />
битов. Изолированный «лог. 0» — это<br />
отдельный бит с низким уровнем сигнала,<br />
которому предшествует и за которым следует<br />
заданное число единичных битов.<br />
На рис. 6 показан пример запуска осциллографа<br />
по нарастающему перепаду сигнала последовательной<br />
шины FlexRay со скоростью<br />
передачи данных 10 Мбит/с. В данном случае<br />
ничего, кроме нарастающего перепада сигнала<br />
в центре экрана (точке запуска по умолчанию),<br />
выделить не удается. Так как скорость последовательной<br />
передачи данных в этом сигнале<br />
составляет 10 Мбит/с, длительность отдельного<br />
бита равна 100 нс. Если установить коэффициент<br />
развертки осциллографа на 100 нс/дел.,<br />
можно будет легко определить приблизительную<br />
ширину прямоугольников зон запуска.<br />
На рис. 7 показано, где нужно нарисовать два<br />
прямоугольника зон «Не должен пересекать»,<br />
чтобы на экране осциллографа был отображен<br />
единичный бит с высоким уровнем сигнала,<br />
которому предшествуют три или более нулевых<br />
битов и за которым следует два или более<br />
Рис. 7. Отображение изолированной «лог. 1» с использованием режима запуска<br />
по нарастающему перепаду и двух зон «Не должен пересекать»<br />
нулевых битов. Эти прямоугольники можно<br />
рассматривать как некие «запретные» для сигнала<br />
зоны. Теперь, когда мы смогли настроить<br />
осциллограф на запуск по изолированной<br />
«лог. 1», мы можем измерить характеристики<br />
импульса, например время нарастания, время<br />
спада, длительность импульса и др.<br />
Заключение<br />
В этой статье мы рассмотрели несколько<br />
примеров того, как можно использовать аппаратную<br />
функцию Zone Trigger для синхронизации<br />
осциллографа с целью отображения<br />
сигналов с редкими аномалиями или сигналов<br />
неправильной формы. Кроме того, было<br />
показано, как использовать функцию Zone<br />
Trigger для синхронизации по нормальным<br />
сигналам, которые могут быть настолько<br />
сложными, что запуск по ним с помощью<br />
обычных режимов может быть затруднительным<br />
или даже вообще невозможным.<br />
Многие современные цифровые запоминающие<br />
осциллографы имеют функции, которые<br />
обеспечивают расширенные возможности запуска<br />
и анализа сложных и высокоскоростных<br />
сигналов. Вместе с тем использование расширенных<br />
возможностей осциллографа зачастую<br />
может быть настолько сложным и трудоемким,<br />
что правильную настройку прибора способен<br />
выполнить только грамотный специалист.<br />
Аппаратная функция запуска Zone Trigger<br />
является не только мощным инструментом,<br />
позволяющим локализовать определенные<br />
участки сигнала, но и удобным средством<br />
выполнения измерений параметров сигналов.<br />
Если вы видите событие на экране, вы<br />
легко (буквально на «раз-два-три») можете<br />
осуществить по нему запуск:<br />
1. Отметить событие.<br />
2. Нарисовать прямоугольник (зону)<br />
вокруг него.<br />
3. Осуществить запуск по событию. n<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Джонатан ХАРРИС (Jonathan HARRIS)<br />
Введение<br />
Для оценки показателей физического уровня<br />
передатчика JESD204B существует целый<br />
ряд метрик. К ним относятся синфазное напряжение,<br />
полный размах дифференциального<br />
напряжения, дифференциальный импеданс,<br />
потери на отражение дифференциального<br />
выходного сигнала, потери на отражение<br />
синфазного сигнала, ток короткого замыкания<br />
передатчика, маска глазковой диаграммы<br />
и дрожание фазы. В этой статье мы подробно<br />
рассмотрим три ключевые метрики, которые<br />
обычно используются для оценки качества<br />
передаваемого сигнала: это глазковая диаграмма,<br />
U-образный график и гистограмма.<br />
Измерения, используемые при построении<br />
этих метрик, производятся на приемной стороне,<br />
поскольку именно для приемника стоит<br />
задача правильного декодирования сигнала.<br />
Глазковая диаграмма представляет собой<br />
наложенные друг на друга множества осциллограмм<br />
битовых переходов выходного<br />
сигнала. Их комбинация образует график,<br />
который может дать много информации<br />
о качестве канала. Этот график может быть<br />
использован для наблюдения многих характеристик<br />
физического интерфейса JESD204B,<br />
измерительная аппаратура<br />
технологии<br />
Три ключевые метрики качества<br />
физического уровня<br />
для передатчика стандарта<br />
JESD204B<br />
Ввиду все большего проникновения интерфейса стандарта JESD204 в преобразователи<br />
данных стало необходимым уделять повышенное внимание показателям<br />
качества цифрового интерфейса и их оптимизации. При этом важны<br />
не только показатели интерфейса в преобразователе данных. В первых двух<br />
ревизиях стандарта, JESD204 (2006 год) и JESD204A (2008 год), определена<br />
скорость передачи 3,125 Гбит/с. В наиболее свежей ревизии — JESD204B,<br />
которая выпущена в 2011 году, определены три градации скорости, и максимальная<br />
скорость передачи данных составляет 12,5 Гбит/с. Этим трем градациям<br />
скорости соответствуют три разных набора спецификаций электрического<br />
интерфейса, разработанных организацией Optical Internetworking<br />
Forum (OIF). Для скоростей передачи данных до 3,125 Гбит/с спецификации<br />
электрического интерфейса определяются документом OIF-Sx5-01.0,<br />
в то время как CEI-6G-SR и CEI-11G-SR содержат подробные спецификации<br />
для скоростей передачи данных до 6,375 и 12,5 Гбит/с соответственно. Из-за<br />
высоких скоростей передачи необходимо уделять повышенное внимание<br />
показателям быстродействующих драйверов и приемников сигналов CML,<br />
а также соединениям между ними, комбинация которых образует физический<br />
уровень (PHy) интерфейса JESD204B.<br />
таких как скачкообразное изменение волнового<br />
сопротивления или некорректное согласование.<br />
Он представляет собой лишь один<br />
из возможных способов оценки качества физического<br />
уровня.<br />
Еще две важные метрики, которые<br />
применяются для оценки качества канала<br />
JESD204B, — это U-образный график<br />
(bathtub plot) и гистограмма. U-образный<br />
график дает визуальное представление частоты<br />
битовых ошибок (BER) при заданной<br />
ширине «раскрыва» глазковой диаграммы,<br />
измеренной в долях единичного интервала<br />
(unit interval, UI). Единичный интервал —<br />
это определенный в спецификациях физического<br />
уровня JESD204B интервал времени,<br />
который равен промежутку между битовыми<br />
переходами данных.<br />
Третий инструмент — это гистограмма,<br />
которая показывает распределение измеренных<br />
значений единичного интервала.<br />
С ее помощью также можно количественно<br />
оценить дрожание фазы в принимаемом<br />
сигнале. Гистограмма, наряду с глазковой<br />
диаграммой и U-образным графиком, может<br />
быть использована для принятия решения<br />
об общем качестве физического уровня интерфейса<br />
JESD204B.<br />
В статье рассматривается передатчик<br />
JESD204B со скоростью выходных данных<br />
5 Гбит/с. Требуемые характеристики передатчика<br />
при этой скорости передачи подробно<br />
определены в спецификации OIF CEI-6G-SR.<br />
Глазковая диаграмма<br />
На рис. 1 изображена глазковая диаграмма<br />
для передатчика JESD204B со скоростью<br />
передачи 5 Гбит/с. Идеальная форма сигнала<br />
на ней наложена на реальный сигнал. В идеальном<br />
случае переходы были бы практически<br />
мгновенными, всплески и провалы сигнала,<br />
а также звон отсутствовали бы. Кроме<br />
того, точки пересечения, которые определяют<br />
единичный интервал, не имели бы<br />
дрожания фазы. Судя по рис. 1, достичь<br />
идеальной формы сигнала в реальной системе<br />
невозможно из-за неидеальной среды<br />
передачи, в которой имеются потери и рассогласования.<br />
Изображенная глазковая диаграмма<br />
построена по измерениям, произведенным<br />
в приемнике системы JESD204B.<br />
Перед тем как достигнуть приемника, сигнал<br />
прошел через разъем и дифференциальные<br />
линии передачи длиной приблизительно<br />
20 см.<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
153
154<br />
Рис. 1. Глазковая диаграмма (скорость передачи — 5 Гбит/с)<br />
Форма этой глазковой диаграммы свидетельствует<br />
о разумном согласовании импеданса<br />
передатчика и приемника, а также<br />
о хорошем качестве среды передачи, в которой<br />
отсутствуют большие скачкообразные<br />
изменения волнового сопротивления.<br />
В моменты переходов сигнала имеет место<br />
определенное количество дрожания фазы,<br />
но оно не выходит за рамки спецификаций<br />
интерфейса JESD204. На приведенной глазковой<br />
диаграмме нет всплесков сигнала,<br />
однако присутствуют небольшие провалы<br />
на передних фронтах из-за замедления сигнала<br />
по мере прохождения им через среду<br />
передачи. Данный эффект является ожидаемым<br />
при прохождении сигнала через разъем<br />
и дифференциальные линии передачи длиной<br />
приблизительно 20 см. Среднее значение<br />
единичного интервала соответствует ожидаемому,<br />
которое составляет примерно 200 пс<br />
для сигнала с небольшим дрожанием фазы.<br />
В целом эта глазковая диаграмма свидетель-<br />
технологии измерительная аппаратура<br />
Рис. 2. Глазковая диаграмма (скорость передачи — 5 Гбит/с):<br />
а) некорректный импеданс оконечной нагрузки; б) скачкообразное изменение волнового сопротивления<br />
а<br />
б<br />
Рис. 3. Глазковая диаграмма (построение U-образного графика)<br />
ствует о хорошем качестве сигнала с точки<br />
зрения приемника, в котором не должно возникнуть<br />
проблем при восстановлении интегрированного<br />
сигнала синхронизации и декодировании<br />
данных.<br />
Глазковая диаграмма, представленная<br />
на рис. 2а, измерена при той же среде передачи,<br />
что и на рис. 1. Единственное отличие заключается<br />
в том, что в данном случае используется<br />
некорректный импеданс оконечной<br />
нагрузки, в результате чего уровень дрожания<br />
фазы в точках пересечения и других областях<br />
сигнала возрастает. Во многих отдельных измерениях<br />
имеет место компрессия амплитуды,<br />
из-за которой глазковая диаграмма начинает<br />
закрываться. Ухудшение глазковой диаграммы<br />
вызовет рост BER в приемнике и может<br />
даже привести к потере связи через интерфейс<br />
JESD204B в приемнике, если «раскрыв» глазковой<br />
диаграммы станет меньше уровня, который<br />
способен выдерживать приемник.<br />
Глазковая диаграмма, представленная<br />
на рис. 2б, являет собой еще один пример не-<br />
идеальной передачи данных. В некоторой точке<br />
между передатчиком и приемником (в данном<br />
случае — осциллографом) имеет место<br />
скачкообразное изменение волнового сопротивления.<br />
Как можно видеть на рисунке, это<br />
приводит к закрытию «глаза», то есть область<br />
между точками перехода становится меньше.<br />
Передние и задние фронты данных сильно<br />
искажаются из-за отражений в месте перепада<br />
волнового сопротивления линии передачи.<br />
Скачкообразное изменение волнового сопротивления<br />
также вносит вклад в увеличение<br />
дрожания фазы в точках битовых переходов<br />
данных. Как только закрытие «глаза» начинает<br />
превышать значение, при котором приемник<br />
способен декодировать поток данных, происходит<br />
потеря связи. При глазковой диаграмме,<br />
изображенной на рис. 2б, многие приемники,<br />
скорее всего, будут не способны декодировать<br />
поток данных.<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
Рис. 4. U-образный график (составляющие дрожания фазы)<br />
Рис. 5. U-образный график (скорость передачи — 5 Гбит/с)<br />
U-образный график<br />
U-образный график также является полезным<br />
инструментом, позволяющим оценить<br />
качество последовательной передачи данных<br />
по каналу JESD204B. Этот график изображает<br />
значения частоты битовых ошибок (BER)<br />
в виде функции от положения точки выборки<br />
сигнала на глазковой диаграмме. Он<br />
строится путем перемещения точки выборки<br />
вдоль глазковой диаграммы и измерения<br />
значения BER, соответствующего ее текущему<br />
положению. Как показано на рис. 3, чем<br />
ближе точка выборки к центру «глаза», тем<br />
ниже BER. При перемещении точки выборки<br />
в стороны точек перехода глазковой диаграммы<br />
BER возрастает. Расстояние между<br />
двумя наклонными кривыми на U-образном<br />
графике при отдельно взятом BER позволяет<br />
определить величину «раскрыва» глазковой<br />
диаграммы, необходимую для поддержания<br />
этого конкретного уровня BER (10 –12 в данном<br />
случае).<br />
U-образный график также дает информацию<br />
о составляющих дрожания фазы (T j)<br />
в сигнале. На рис. 4 показано, что, когда точка<br />
измерения совпадает с точками перехода или<br />
находится вблизи от них, дрожание фазы является<br />
сравнительно равномерным, и основной<br />
вклад дает детерминированное дрожание<br />
фазы. Как и в случае с измерением глазковой<br />
диаграммы, U-образные графики, приведенные<br />
в этой статье, получены при измерении<br />
сигнала передатчика JESD204B, имеющего<br />
скорость передачи 5 Гбит/с, в приемнике по-<br />
измерительная аппаратура<br />
сле его прохождения через разъем и линию<br />
передачи длиной приблизительно 20 см.<br />
По мере перемещения точки измерения ближе<br />
к центру «раскрыва» глазковой диаграммы<br />
основным механизмом дрожания фазы<br />
становится случайное дрожание фазы. Оно<br />
является следствием большого числа про-<br />
технологии<br />
цессов, которые обычно имеют небольшую<br />
амплитуду. Типичными источниками случайного<br />
дрожания фазы являются тепловой шум,<br />
изменение ширины проводника, дробовой<br />
шум и т. д. Функция плотности распределения<br />
вероятности (probability density function, PDF)<br />
случайного дрожания фазы обычно имеет<br />
гауссовскую форму. В свою очередь, детерминированное<br />
дрожание фазы является следствием<br />
небольшого числа процессов, которые<br />
могут иметь большие амплитуды и быть коррелированными.<br />
Плотность распределения<br />
вероятностей для детерминированного дрожания<br />
фазы имеет четкую границу, то есть<br />
полный размах детерминированного дрожания<br />
фазы известен. Она может иметь различные<br />
формы, которые, как правило, отличаются<br />
от гауссовской.<br />
На рис. 5 изображен U-образный график,<br />
который был показан на рис. 3, в увеличенном<br />
масштабе. Как следует из этого графика, для<br />
приемника последовательного потока данных<br />
со скоростью 5 Гбит/с значение BER, равное<br />
10 –12 , достигается при ширине «раскрыва»<br />
глазковой диаграммы, равной 0,6 единичного<br />
интервала. Важно отметить, что U-образный<br />
график, подобный приведенному на рис. 5,<br />
получается путем экстраполяции измерений.<br />
Осциллограф, используемый для сбора данных,<br />
производит набор измерений и экстраполирует<br />
их для построения графика. При<br />
использовании тестера вероятности битовых<br />
ошибок (bit error rate tester, BERT) для проведения<br />
количества измерений, достаточного<br />
для построения U-образного графика, понадобились<br />
бы часы или дни, даже несмотря<br />
на высокое быстродействие современного измерительного<br />
оборудования.<br />
Рис. 6. U-образный график (скорость передачи — 5 Гбит/с):<br />
а) некорректный импеданс оконечной нагрузки; б) скачкообразное изменение волнового сопротивления<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
а<br />
б<br />
155
156<br />
Как и в случае с глазковой диаграммой,<br />
U-образный график дает возможность наблюдать<br />
некорректный импеданс оконечной<br />
нагрузки или скачкообразные изменения<br />
волнового сопротивления в системе. В отличие<br />
от U-образного графика, изображенного<br />
на рис. 5, в U-образных графиках, приведенных<br />
на рис. 6, наклонные кривые значительно<br />
сдвинуты по направлению друг к другу.<br />
В обоих случаях «раскрыв» глазковой диаграммы,<br />
необходимый для поддержания BER<br />
на уровне 10 –12 , равен всего 0,5 единичного интервала<br />
(более чем на 10% меньше по сравнению<br />
с хорошими условиями). Некорректный<br />
импеданс нагрузки и скачкообразные изменения<br />
волнового сопротивления вносят<br />
значительный уровень случайного дрожания<br />
фазы в систему. Об этом свидетельствует<br />
меньшая скорость изменения кривых<br />
с каждой стороны графика, а также меньшее<br />
значение «раскрыва» глазковой диаграммы<br />
по уровню BER, равному 10 –12 . Эти эффекты<br />
приводят и к небольшому увеличению детерминированного<br />
дрожания фазы. Об этом<br />
также свидетельствует уменьшение скорости<br />
изменения кривых по краям U-образного<br />
графика.<br />
Гистограмма<br />
И наконец, третий полезный инструмент<br />
— это гистограмма. Этот график иллюстрирует<br />
распределение измеренных периодов<br />
между точками битовых переходов<br />
в передаваемых данных. Как и в случаях<br />
с глазковой диаграммой и U-образным графиком,<br />
гистограммы получаются путем измерения<br />
сигнала передатчика JESD204B, работающего<br />
со скоростью передачи 5 Гбит/с,<br />
в приемнике после его прохождения через<br />
разъем и линию передачи длиной приблизительно<br />
20 см. На рис. 7 изображена гистограмма<br />
для системы со скоростью передачи<br />
5 Гбит/с, обладающей хорошим качеством.<br />
Гистограмма имеет распределение, близкое<br />
к гауссовскому, и измеренные значения периода<br />
попадают в интервал от 185 до 210 пс.<br />
Ожидаемое значение периода для сигнала<br />
со скоростью передачи 5 Гбит/с равно 200 пс,<br />
то есть отклонение распределения от ожидаемого<br />
значения составляет примерно<br />
от –7,5 до +5%.<br />
При некорректном импедансе оконечной<br />
нагрузки, как показано на рис. 8а, распределение<br />
становится шире, а измеряемые значения<br />
попадают в интервал от 170 до 220 пс. В процентном<br />
соотношении от ожидаемой величины<br />
отклонение составляет от –15 до +10%,<br />
то есть в два раза больше по сравнению с графиком<br />
на рис. 7. Эти два графика показывают,<br />
что в сигнале преобладает случайное<br />
дрожание фазы, поскольку их форма близка<br />
к гауссовской. Однако то, что форма не идеально<br />
повторяет гауссовскую, свидетельствует<br />
о наличии и некоторого количества детерминированного<br />
дрожания фазы.<br />
технологии измерительная аппаратура<br />
Рис. 7. Гистограмма (скорость передачи — 5 Гбит/с)<br />
Рис. 8. Гистограмма (скорость передачи — 5 Гбит/с):<br />
а) некорректный импеданс оконечной нагрузки; б) скачкообразное изменение волнового сопротивления<br />
Гистограмма, представленная на рис. 8б,<br />
иллюстрирует влияние скачкообразного<br />
изменения волнового сопротивления линии<br />
передачи. В данном случае распределение<br />
полностью отличается от гауссовского<br />
и имеет небольшой побочный горб. Среднее<br />
значение измеренного периода также имеет<br />
смещение. В отличие от графиков, представленных<br />
на рис. 7 и 8а, среднее значение равно<br />
не 200 пс, а примерно 204 пс. Ярко выраженный<br />
бимодальный характер распределения<br />
свидетельствует о большем уровне детерминированного<br />
дрожания фазы в системе,<br />
которое вызвано скачкообразным изменением<br />
волнового сопротивления и оказывает<br />
предсказуемое влияние на систему. Диапазон<br />
измеренных значений периода также возрастает,<br />
однако не настолько, как при некорректном<br />
импедансе оконечной нагрузки. В данном<br />
случае диапазон измеренных значений<br />
составляет от 175 до 215 пс, то есть примерно<br />
от –12,5 до +7,5% от ожидаемого периода.<br />
Этот диапазон не столь велик, но распределение<br />
теперь имеет более выраженный бимодальный<br />
характер.<br />
Заключение<br />
Для оценки показателей качества физического<br />
уровня передатчика JESD204B может<br />
быть использовано несколько метрик.<br />
К ним относятся синфазное напряжение,<br />
полный размах дифференциального напряжения,<br />
дифференциальный импеданс, потери<br />
дифференциального выходного сигнала<br />
при отражении, потери синфазного сигнала<br />
при отражении, ток короткого замыкания<br />
передатчика, маска глазковой диаграммы<br />
и дрожание фазы. В этой статье были рассмотрены<br />
три ключевые метрики, используемые<br />
для оценки качества передаваемого<br />
сигнала в канале JESD204B: глазковая диаграмма,<br />
U-образный график и гистограмма.<br />
Различные проблемы системного уровня,<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013<br />
а<br />
б
такие как некорректный импеданс оконечной<br />
нагрузки или скачкообразное изменение<br />
волнового сопротивления, оказывают значительное<br />
влияние на показатели физического<br />
уровня, которое можно наблюдать при<br />
помощи глазковых диаграмм, U-образных<br />
графиков и гистограмм. При проектировании<br />
системы очень важно использовать корректную<br />
нагрузку и избегать скачкообраз-<br />
новости измерительная аппаратура<br />
Компания Agilent Technologies объявила о выпуске<br />
двух новых опций расширения частотного<br />
диапазона для анализаторов сигналов серии CXA<br />
N9000A. Анализаторы N9000A с новыми опциями<br />
позволяют проводить измерения основных характеристик<br />
СВЧ-сигналов в диапазоне до 13,6 или<br />
до 26,5 ГГц.<br />
Возможности анализатора сигналов N9000A<br />
CXA включают:<br />
• Средний уровень собственных шумов (DANL):<br />
–163 дБм на частоте 1 ГГц и –147 дБм на частоте<br />
26,5 ГГц с включенным предусилителем.<br />
• Фазовый шум –102 дБн/Гц при отстройке<br />
10 кГц, частота несущей — 1 ГГц.<br />
• Точка пересечения по интермодуляционным<br />
составляющим третьего порядка (TOI):<br />
+15 дБм на частоте 1 ГГц и +14 дБм на частоте<br />
26,5 ГГц.<br />
• Быстрое измерение паразитных и гармонических<br />
составляющих благодаря высокой ско-<br />
измерительная аппаратура<br />
ных изменений волнового сопротивления<br />
среды передачи, поскольку эти факторы<br />
могут оказывать существенное негативное<br />
влияние на передачу данных и привести<br />
к сбоям в работе канала между передатчиком<br />
и приемником JESD204B. Грамотное проектирование<br />
позволит исключить эти проблемы<br />
и гарантировать корректное функционирование<br />
системы. n<br />
Опции расширения частотного диапазона<br />
для бюджетного анализатора сигналов<br />
Компания Agilent Technologies представила выносной осциллографический<br />
модуль захвата с полосой пропускания 60 ГГц, предназначенный для инженеров,<br />
занятых разработкой, проверкой и изготовлением высокоскоростных<br />
электрических коммуникационных систем и компонентов.<br />
2/4-портовый выносной электрический модуль захвата Agilent N1045A<br />
является наиболее экономичным решением для точного измерения характеристик<br />
многоканальных устройств, используемых в действующих и вновь<br />
появляющихся стандартах, таких как IEEE 802.3 ba/bj/bm (40Gb/100Gb<br />
Ethernet) и Optical Internetworking Forum CEI 3.0.<br />
Пользователи могут подключать до четырех модулей N1045A к одному<br />
широкополосному осциллографу Agilent 86100D DCA-X, получая систему<br />
с 16 каналами.<br />
рости и малому уровню собственных шумов<br />
анализатора CXA.<br />
• Однокнопочные измерения параметров, предлагаемые<br />
в PowerSuite, такие как измерение<br />
мощности в канале, мощности в соседнем канале<br />
и занимаемая полоса частот.<br />
• Простые в настройке приложения для измерения<br />
таких параметров, как фазовый шум и коэффициент<br />
шума.<br />
• Возможность модернизации модуля центрального<br />
процессора.<br />
Компания Agilent продемонстрировала анализатор<br />
CXA и свои контрольно-измерительные<br />
решения для тестирования устройств СВЧ и миллиметрового<br />
диапазона, беспроводных устройств,<br />
РЛС и антенн для транспорта, связи и медицинских<br />
учреждений во время международной конференции<br />
«Европейская микроволновая неделя»<br />
(EuMW-2012).<br />
www.agilent.com<br />
технологии<br />
Литература<br />
1. JEDEC Standard JESD204B (July 2011). JEDEC Solid<br />
State Technology Association. www.jedec.org<br />
2. Application Note 5989-5718EN: Using Clock Jitter<br />
Analysis to Reduce BER in Serial Data Applications.<br />
Agilent Technoloiges. Dec. 2006.<br />
3. Application Note 5988-9109EN: Measuring in<br />
Digital Systems. Agilent Technologies. Jan. 2008.<br />
Выносной осциллографический модуль захвата<br />
с числом каналов от 2 до 16<br />
Популярность смартфонов, планшетов и видеопросмотра в режиме онлайн порождает<br />
потребность в более скоростных сетях передачи данных. Рост пропускной<br />
способности сети обеспечивается многопортовыми последовательными интерфейсами,<br />
работающими на скорости 28 Гбит/с и выше. До недавнего времени тестирование<br />
высокоскоростных компонентов и систем с большим числом портов<br />
требовало применения дорогостоящей системы из нескольких осциллографов<br />
и сложных коммутаторов, что отрицательно влияло на целостность сигнала.<br />
Базовый блок 86100D DCA-X с установленными модулями N1045A, число<br />
которых может достигать четырех, позволяет создать измерительную систему<br />
с числом каналов от 2 до 16, которая обеспечивает высокую точность при<br />
сравнительно невысокой цене. Дополнив N1045A модулем прецизионной опорной<br />
частоты 86107A, пользователи могут создать 8-канальную 60-Гц систему<br />
со сверхнизким джиттером тактовой частоты — 200 фс (ср. кв. зн.). Это решение<br />
оптимально подходит для эффективного тестирования устройств с дифференциальными<br />
портами, работающих на скорости от 25 до 28 Гбит/с.<br />
Каждый малошумящий модуль захвата соединяется с базовым блоком гибким<br />
кабелем длиной 1,6 м, что минимизирует потери в кабеле и обеспечивает<br />
высокую достоверность сигнала. Благодаря возможности выбора полосы пропускания<br />
(60, 45, 35 или 20 ГГц) и независимой регулировке фазового сдвига,<br />
N1045A позволяет оптимизировать приемник для конкретного тестируемого<br />
устройства.<br />
Это самые легкие и компактные в отрасли выносные модули захвата, поэтому<br />
их можно использовать в ограниченном пространстве. Чтобы свести<br />
к минимуму применение адаптеров, модули можно оборудовать вилками или<br />
розетками 1,85 мм.<br />
www.agilent.com<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
157
158<br />
О вариативности<br />
технологии измерительная аппаратура<br />
Виктор НОВОСёЛОВ<br />
1@eurostar.ru<br />
Компания ERSA GmbH наращивает производство паяльноремонтных<br />
станций класса hi-tech. В названии новой серии Vario подчеркивается<br />
принцип функциональной модульности. Станции Vario<br />
комплектуются термофеном и комбинацией инструментов для<br />
контактной пайки и/или демонтажа. Управляющие модули станций<br />
Vario совместимы также с большинством инструментов<br />
от станций i-CON1 и i-CON2, которые не утратили своей техникоэкономической<br />
привлекательности. Таким образом, потребителю<br />
доступен весь арсенал инструментов ERSA с широким спектром паяльных<br />
жал и демонтажных насадок.<br />
Флагманская 4-канальная паяльно-ремонтная станция Vario-4<br />
(рис. 1) поставляется с миниатюрным универсальным паяльником<br />
i-Tool (пиковая мощность 150 Вт), миниатюрным термофеном<br />
Air-S (200 Вт), миниатюрным мощным термопинцетом<br />
ChipTool-Vario (240 Вт) и мощным, но не миниатюрным вакуумным<br />
термоотсосом X-Tool (120 Вт). Компрессор в корпусе станции<br />
создает кратковременный и мощный вакуумный импульс (0,7 бар),<br />
так что брызги припоя не успевают охладиться и застрять в демонтажном<br />
наконечнике на пути к картриджу-сборнику, размещенному<br />
в рукоятке X-Tool. Вакуумный компрессор является частью унифицированного<br />
блока управления Vario-4 независимо от комплектности<br />
поставки инструментов. Он также встроен в одну из двухканальных<br />
моделей Vario-2. Все модели Vario оснащены малошумящими (44 дБ)<br />
помпами, нагнетающими воздух в термофен с производительностью<br />
от 2 до 20 л/мин.: цифровое значение в реальном времени отображается<br />
на экране станции. Инструменты имеют антистатическое исполнение.<br />
Температурный диапазон паяльника, термопинцета и термоотсоса<br />
— +150…450 °C, термофена — +50…550 °C.<br />
Об инструментах<br />
Большой ресурс мощности нагревательного элемента при малых габаритах<br />
паяльника i-Tool определяет широчайший диапазон его применений:<br />
от едва различимых чипов до массивных штыревых соединителей<br />
на многослойных печатных платах. Паяльник оснащен датчиком<br />
перемещения для выхода из режима энергосбережения. Через экранное<br />
меню паяльной станции можно при необходимости выполнять<br />
ее тонкую настройку с выбором режима стабилизации температуры<br />
паяльного жала. Асимптотический профиль (когда температура приближается<br />
к целевому значению только снизу) предпочтителен для бережной<br />
пайки компонентов, чувствительных к термошоку. Напротив,<br />
для скоростной пайки электротехнических изделий выгоднее задать<br />
профиль быстрого нагрева с допустимыми «перехлестами» целевой<br />
температуры. Изначально в фабричных настройках задан типовой<br />
ERSA Vario:<br />
новый флагман поднимает паруса<br />
Недавно в Германии состоялась премьера новой серии паяльных станций<br />
i-COn Vario. В течение нескольких месяцев презентация пройдет<br />
по всему миру и завершится на ноябрьской выставке Productronica<br />
в Мюнхене — таким образом, весь предстоящий год для инструментального<br />
отделения фирмы ERSA пройдет под флагом Vario. Передовые модели<br />
паяльно-ремонтных станций Vario-4 и Vario-2 выйдут на российский<br />
рынок к весне 2013 года<br />
профиль, пригодный для большинства работ. О выходе фактической<br />
температуры жала за установленные пределы станция может оповещать<br />
пользователя звуковым сигналом: эта функция активируется<br />
через экранное меню или загрузочную карту microSD, предварительно<br />
запрограммированную на компьютере при помощи утилиты ERSA.<br />
Миниатюрный термофен Air-S — базовый инструмент во всех<br />
штатных комплектациях Vario. Интенсивность воздушного потока<br />
задается положением потенциометра на рукоятке термофена.<br />
В рукоятку встроен также оптический датчик, выполняющий роль<br />
выключателя. Для термофена выпускаются сопла разного диаметра,<br />
позволяющие оптимизировать площадь бесконтактного нагрева.<br />
В руководство по эксплуатации включена таблица с рекомендациями<br />
экспертов по выбору диаметра сопла, температуры и интенсивности<br />
воздушного потока для работ с различными типами компонентов<br />
и плат. Компактные размеры термофена Air-S позволяют держать сопло<br />
на расстоянии от 1 до 5 мм над зоной пайки и при этом выполнять<br />
аккуратную пайку чипов и микросхем с краевым расположением выводов.<br />
Термофен не применяется для равномерного нагрева больших<br />
зон (например, корпусов BGA): для таких работ ERSA рекомендует инфракрасные<br />
и комбинированные ремонтные станции серии IR и HR.<br />
Достоинства миниатюрного мощного термопинцета ChipTool-Vario<br />
проявляются в условиях плотного монтажа компонентов на массивных<br />
печатных платах, а также при демонтаже без предварительного<br />
подогрева платы. Именно в таких случаях мощность (220 Вт) и теплопередача<br />
через демонтажные насадки «старого» термопинцета<br />
ChipTool могут оказаться недостаточны. Для минимизации размеров<br />
термопинцета разработчики приняли решение интегрировать тонкий<br />
нагревательный элемент в демонтажную насадку. Такой вариант более<br />
дорог по сравнению c полыми сменными насадками и фиксированными<br />
нагревателями в термопинцете ChipTool, однако не нашлось иного<br />
способа достижения предельной компактности инструмента при столь<br />
высокой мощности. Еще одно преимущество ChipTool-Vario — неза-<br />
Рис. 1. Вариант комплектации Vario-4<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013
висимое управление температурой каждого из нагревателей. Это свойство<br />
термопинцета становится важным, когда с выводами демонтируемого<br />
компонента на печатной плате контактируют массы, обладающие<br />
различной теплоемкостью. Переключатель на рукоятке ChipTool-Vario<br />
позволяет менять направление подпружинивания раздвижных сегментов<br />
с нормально-разомкнутого на нормально-замкнутое.<br />
Конструктивные и электрические отличия двух термопинцетов<br />
ERSA исключают возможность взаимозаменяемости их демонтажных<br />
насадок, поэтому новый термопинцет неверно рассматривать как<br />
полную замену прежнему. Перечень насадок к ChipTool-Vario лаконичен:<br />
шесть клиновидных и конусообразных форм для двухполюсных<br />
чип-компонентов. До микросхем дело пока не дошло. Имеет значение<br />
и кратная разница в стоимости между демонтажными насадками с интегрированными<br />
нагревателями (к новому термопинцету) и без нагревателей<br />
(к прежнему). Поэтому термопинцет ChipTool не утратил<br />
своей актуальности и доступен как опция к станциям Vario.<br />
Для демонтажа штыревых элементов служит вакуумный термоотсос<br />
X-Tool, подключаемый к управляющему блоку сразу двумя<br />
разъемами: к блоку питания для электрического нагрева и к встроенному<br />
компрессору для создания вакуумного разрежения. X-Tool —<br />
«долгожитель» в семействе ERSA по одной, но веской причине:<br />
с его помощью удается выполнять самые сложные работы, которые<br />
не под силу менее мощным инструментам аналогичного назначения.<br />
Поскольку недостатки зачастую являются продолжением достоинств,<br />
общеизвестна и претензия к X-Tool: массивный «тепловой резервуар»<br />
в рабочей части инструмента нещадно пышет жаром, побочно разогревая<br />
рукоятку. Можно предположить, что инженерная мысль ERSA<br />
бьется над тем, чтобы в обозримом будущем предложить изящную<br />
замену X-Tool для использования с серией Vario.<br />
К разъему VAC вместо термоотсоса X-Tool можно подключить антистатический<br />
вакуумный манипулятор VасPеn (изначально не входит<br />
в комплект поставки) для захвата, переноса и позиционирования SMDкомпонентов<br />
на печатной плате. Чтобы перевести компрессор из импульсного<br />
режима в режим непрерывной тяги, достаточно нескольких<br />
поворотов и нажатий кнопки прокрутки экранного меню.<br />
Паяльник i-Tool, термопинцет ChipTool-Vario и термофен Air-S<br />
оснащены датчиками, позволяющими автоматически снижать энергопотребление<br />
в паузах между выполнением работ. К двум разъемам<br />
(из четырех) вместо штатных инструментов можно подключать<br />
популярные инструменты ERSA прежних серий — термопинцет<br />
ChipTool, паяльники MicroTool и TechTool с жалами разнообразной<br />
формы, а также флэш-загрузчик i-Set для группового копирования<br />
параметров настройки станций и снятия блокировки пароля.<br />
Альтернативным методом снятия блокировки является доставка паяльной<br />
станции на ERSA в Германию: это весомый аргумент в пользу<br />
прочтения инструкции по эксплуатации. Она представляет собой<br />
50-страничную брошюру формата A4 с иллюстрациями, написанную<br />
доходчиво и переведенную на русский язык.<br />
О комплектациях<br />
Максимальная штатная комплектация Vario-4 была рассмотрена выше.<br />
Комплектация для поверхностного монтажа и демонтажа представляет<br />
собой тот же 4-канальный управляющий блок с паяльником, термофеном<br />
и термопинцетом. К четвертому каналу (свободному от термоотсоса) удобно<br />
подключить термопинцет ChipTool, а через разъем VAC — вакуумный<br />
манипулятор VacPen. Усеченная комплектация включает только паяльник<br />
и термофен, что позволяет минимизировать первоначальные расходы<br />
на покупку станции без утраты перспектив дальнейшей ее специализации<br />
путем подключения дополнительных инструментов. Модели Vario-4 ориентированы<br />
на мастеров, которые предпочитают иметь на рабочем месте<br />
моноблок для работ с любыми компонентами, кроме BGA (для них ERSA<br />
предлагает специальный инструментарий).<br />
Более экономичным решением в классе hi-tech являются двухканальные<br />
модели ERSA Vario-2 (рис. 2). Они занимают на столе меньшую<br />
площадь (180280115 мм) и наделены идентичными с Vario-4<br />
измерительная аппаратура<br />
Рис. 2. Вариант комплектации Vario-2<br />
технологии<br />
техническими характеристиками. Если станция i-CON2 с опциями<br />
для демонтажа уже есть на рабочем месте, то модель Vario-2 с термофеном<br />
и термопинцетом ChipTool-Vario станет логичным развитием<br />
функциональных возможностей ремонтного комплекса. Если<br />
рабочее место оснащается с нуля, то удачным выбором может стать<br />
модель Vario-2 с паяльником i-Tool и термофеном Air-S. Впрочем,<br />
возможны и иные сочетания. Матрица совместимости паяльных инструментов,<br />
жал и насадок представлена на сайте www.ersa.ru.<br />
О других преимуществах<br />
Общие проблемы высокотехнологичных изделий, будь то смартфон<br />
или паяльная станция, — это исправление ошибок ПО и соблазн<br />
непрерывного усовершенствования. Для станций Vario<br />
они имеют решение: обновление программ контроллеров производится<br />
автоматически при установке карты микроSD в слот. Файл<br />
обновления необходимо предварительно записать на карту, имея доступ<br />
с компьютера в сервисный раздел сайта www.ersa.com.<br />
В станциях Vario есть выделенные каналы для автоматического<br />
включения/выключения системы воздухоочистки ERSA EA110plus-i<br />
и/или управления мощностью инфракрасной плитки-подогревателя<br />
печатных плат IRHP100A. Предусмотрен и порт USB, но сейчас не задействован.<br />
Пользовательский экранный интерфейс станции в числе<br />
основных языков поддерживает русский.<br />
Отдельного упоминания достойна резко возросшая интернетактивность<br />
фирмы ERSA — как для продвижения серии Vario на мировой<br />
рынок, так и в плане упрощения работ с широчайшим ассортиментом<br />
паяльных приборов и запасных частей. Ярким подтверждением<br />
этого стал промо-сайт www.i-con-vario.com с интерактивной мультимедийной<br />
презентацией Vario. А в электронном «магазине» на сайте<br />
www.ersa.com размещены почти все товарные позиции ERSA с артикулами,<br />
описаниями и фотографиями, что предупреждает ошибки<br />
в заказах. Однако слово «магазин» заключено в кавычки не случайно:<br />
купить товар напрямую в ERSA GmbH невозможно. Любые коммерческие<br />
поставки осуществляются как прежде, через официальных дистрибьюторов.<br />
В России их имена хорошо известны.<br />
О перспективах<br />
Поверхностный взгляд на станции Vario может вызвать недоумение:<br />
что же в них особенного? Вопрос об уникальности провоцируется тем,<br />
что прежние разработки ERSA имели глобальный инновационный приоритет<br />
при полном отсутствии аналогов на рынке. С серией Vario ситуация<br />
иная: ERSA не выдвигает революционных технологий, но тщательно<br />
воплощает собственный опыт в русле общемирового тренда совершенствования<br />
паяльной техники. Как следствие, перспективы успеха<br />
серии Vario определятся качеством ее технической реализации при разумных<br />
ценах. Кажется, именно это было заявлено в слогане ERSA на выставке<br />
Productronica: «Дороговато? Зато добротно. Так выгоднее!» n<br />
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2013 www.kite.ru<br />
159
Реклама
Реклама
Реклама