Electrónica y semiconductores - Acta
Electrónica y semiconductores - Acta
Electrónica y semiconductores - Acta
Create successful ePaper yourself
Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.
<strong>Electrónica</strong> y <strong>semiconductores</strong><br />
Español English Français<br />
a prueba de fallos fail safe protégé en cas de défaut<br />
abrasivo abrasive abrasif<br />
abrazadera de cable cable clamp collier de câble<br />
absorción absorption absorption<br />
acabado finish apprêt<br />
acceso aleatorio random access accès aléatoire<br />
acceso secuencial serial access accès séquentiel<br />
accionador actuator actionneur<br />
aceleración ramp-up accélération<br />
acelerador accelerator accélérateur<br />
acelerador gráfico graphics accelerator accélérateur graphique<br />
acelerómetro accelerometer accéléromètre<br />
aceptor acceptor accepteur<br />
acetona acetone acétone<br />
ácido acid acide<br />
ácido acético acetic acid acide acétique<br />
ácido bromhídrico hydrobromic acid acide bromhydrique<br />
ácido clorhídrico hydrochloric acid acide chlorhydrique<br />
ácido fluorhídrico hydrofluoric acid acide fluorhydrique<br />
ácido fluorhídrico tamponado buffered hydrofluoric acid acide fluorhydrique tamponné<br />
ácido fosfórico phosphoric acid acide phosphorique<br />
ácido nítrico nitric acid acide nitrique<br />
ácido peroxidisulfúrico peroxydisulfuric acid (PDSA) acide peroxydisulfurique<br />
ácido sulfúrico sulfuric acid acide sulfurique<br />
acidosis acidosis acidose<br />
acimut azimuth azimut<br />
acoplador coupler coupleur<br />
acoplador acústico acoustic coupler coupleur acoustique<br />
acoplador direccional directional coupler coupleur directionnel<br />
acoplamiento coupling couplage<br />
acoplamiento de modos mode coupling couplage de modes<br />
activación del dopante dopant activation activation des atomes dopants<br />
activación parásita latch-up déclenchement parasite<br />
acumulación accumulation accumulation<br />
acumulador accumulator accumulateur<br />
adaptador adapter adaptateur<br />
adaptador adaptor adaptateur<br />
adaptador de redes network adapter adaptateur de réseau<br />
adherencia adhesion adhésion
Español English Français<br />
adhesivo entre placas prepreg feuille préimpregnée<br />
administrador handler gestionnaire<br />
adsorción adsorption adsorption<br />
aerosol criogénico cryogenic aerosol aérosol cryogénique<br />
aerosol inflamable flammable aerosol aérosol inflammable<br />
afinidad electrónica electron affinity affinité électronique<br />
agente de dopado doping agent agent dopant<br />
agente de excepción exception agent agent d'exception<br />
agente de grabado etchant agent de gravure<br />
agente de transferencias transfer agent agent des transferts<br />
agitación ultrasónica ultrasonic agitation agitation para ultrasons<br />
agregado atómico atomic cluster agrégat atomique<br />
agregado de moléculas molecular cluster agrégat moléculaire<br />
agrupación de antenas antenna array antenne réseau<br />
agua desionizada deionized water eau déionisée<br />
agua desionizada DI water eau déionisée<br />
agua ozonizada ozonated water eau ozonée<br />
agua pura pure water eau douce<br />
aislamiento isolation isolement<br />
aislamiento dieléctrico dielectric insulation isolement diélectrique<br />
aislamiento dieléctrico dielectric isolation isolement diélectrique<br />
aislamiento por unión junction insulation isolement par jonction<br />
aislamiento por unión PN P-N junction isolation isolement par jonction PN<br />
aislante insulator isolant<br />
ajustador de blancos white balance balance des blancs<br />
ajuste de resistencia resistor trimming ajustage de résistance<br />
alabeo warp gauchissement<br />
alabeo warpage gauchissement<br />
álcali alkali alkali<br />
alcance range portée<br />
alcohol de madera wood alcohol alcool méthylique<br />
alcohol isopropílico isopropyl alcohol alcool isopropylique<br />
alcohol metílico methyl alcohol alcool méthylique<br />
aleación alloy alliage<br />
aleación eutéctica eutectic alloy alliage eutectique<br />
algoritmo algorithm algorithme<br />
alineación alignment alignement<br />
alineación de máscaras mask alignment alignement des masques<br />
alineación directa direct alignment alignement direct<br />
alineación indirecta indirect alignment alignement indirect<br />
alineador aligner aligneur
Español English Français<br />
alineador de máscaras mask aligner aligneur de masque<br />
almacenamiento criogénico cryogenic storage accumulation cryogénique<br />
alta frecuencia high frequency haute fréquence<br />
alta tensión high voltage haute tension<br />
altavoz loudspeaker haut-parleur<br />
altavoz speaker haut-parleur<br />
altura de carga load height hauteur de charge<br />
altura de la barrera barrier height hauteur de la barrière<br />
altura de oblea wafer height hauteur de tranche<br />
alúmina alumina alumine<br />
aluminio aluminum aluminium<br />
aluvial alluvial alluvial<br />
amolado grinding meulage<br />
amoniaco ammonia ammoniac<br />
amplificación amplification amplification<br />
amplificación química chemical amplification amplification chimique<br />
amplificador amplifier amplificateur<br />
amplificador analógico analog amplifier amplificateur analogique<br />
amplificador controlado por<br />
tensión<br />
voltage-controlled amplifier amplificateur commandé par<br />
tension<br />
amplificador de bajo ruido low-noise amplifier amplificateur à faible bruit<br />
amplificador de distribución distribution amplifier amplificateur de distribution<br />
amplificador de potencia power amplifier amplificateur de puissance<br />
amplificador de procesamiento processing amplifier amplificateur de traitement<br />
amplificador de transistores transistor amplifier amplificateur à transistors<br />
amplificador diferencial differential amplifier amplificateur différentiel<br />
amplificador digital digital amplifier amplificateur numérique<br />
amplificador lineal linear amplifier amplificateur linéaire<br />
amplificador multigama multirange amplifier amplificateur multigamme<br />
amplificador operacional op amp amplificateur opérationnel<br />
amplificador operacional operational amplifier amplificateur opérationnel<br />
amplificador óptico optical amplifier amplificateur optique<br />
amplificador paramétrico parametric amplifier amplificateur paramétrique<br />
amplificar amplify (to) amplifier<br />
análisis de modo de fallos y<br />
efectos<br />
failure mode and effects<br />
analysis (FMEA)<br />
analyse des modes de<br />
défaillance et de leurs effets<br />
análisis de Pareto Pareto analysis analyse de Pareto<br />
análisis de superficie surface analysis analyse de surface<br />
análisis de tareas task analysis analyse des tâches<br />
análisis orientado a objetos object-oriented analysis analyse orientée objet<br />
analizador lógico logic analyzer analyseur logique
Español English Français<br />
analizador sintáctico parser analyseur syntaxique<br />
analógico analog analogique<br />
analógico analogue analogique<br />
anchura aluminizada aluminized width largeur aluminisée<br />
anchura de banda bandwidth largeur de bande<br />
anchura de banda prohibida forbidden energy gap largeur de bande interdite<br />
anchura de cavidad cavity width largeur de cavité<br />
anchura de la base base width empattement<br />
anchura de línea line width largeur de ligne<br />
anchura de línea linewidth largeur de ligne<br />
anchura de trazo feature size largeur de ligne<br />
anchura del conductor conductor width largeur du conducteur<br />
anfitrión host hôte<br />
angstrom angstrom angström<br />
ángulo de bisel bevel angle angle du chanfrein<br />
ángulo de contacto contact angle angle de contact<br />
ángulo de humectación wetting angle angle de mouillage<br />
ángulo de impulso take-off angle (TOA) angle d'impulsion<br />
anillo de estanqueidad seal ring bague d'étanchéité<br />
anillo de guarda guard ring anneau de garde<br />
anión anion anion<br />
anisotropía de grabado etching anisotropy anisotropie de gravure<br />
anisótropo anisotropic anisotrope<br />
anodización anodization anodisation<br />
anodización de plasma plasma anodization anodisation de plasma<br />
ánodo anode anode<br />
ánodo grabado etched foil anode gravée<br />
anomalía anomaly anomalie<br />
antememoria cache memory mémoire cache<br />
antememoria cache storage mémoire cache<br />
antememoria a ráfagas flash cache antémémoire par rafales<br />
antena aerial antenne<br />
antena antenna antenne<br />
antena emisora transmitting antenna antenne d'émission<br />
antena receptora receiving antenna antenne de réception<br />
antiestático antistatic antistatique<br />
antimonio antimony antimoine<br />
antimoniuro de gadolinio gallium antimonide antimoniure de gadolinium<br />
antimoniuro de indio indium antimonide antimoniure d'indium<br />
apertura opening ouverture<br />
apertura numérica numerical aperture ouverture numérique
Español English Français<br />
aplicación de fundente fluxing fluxage<br />
aplicación de fundente por<br />
espuma<br />
foam fluxing fluxage par mousse<br />
aplicación de fundente por ola wave fluxing fluxage à la vague<br />
aplicación de fundente por<br />
pulverización<br />
spray fluxing fluxage par pulvérisation<br />
aprobación de tecnología technology approval conformité de la technologie<br />
aproximación ascendente bottom-up approach approche ascendante<br />
aproximación descendente top-down approach approche descendante<br />
área activa active area zone active<br />
área aluminizada aluminized area zone aluminisée<br />
área central central area zone centrale<br />
área de barrido scanning area zone de balayage<br />
área de calidad quality area aire de qualité<br />
área de contacto bump contact plot de contact<br />
área de intercambio de calor heat-exchange area surface d'échange calorifique<br />
área de portadores carrier area zone de porteurs<br />
área de pruebas probe pad plot de test<br />
área de pruebas test point plot de test<br />
área funcional functional area zone fonctionnelle<br />
argón argon argon<br />
armadura armature armature<br />
armadura armor armature<br />
armario de gas gas cabinet armoire à gaz<br />
armazón frame châssis<br />
armónico harmonic harmonique<br />
arpegiador arpeggiator arpégiateur<br />
arquitectura conceptual conceptual architecture architecture conceptuelle<br />
arquitectura de sistemas system architecture architecture de système<br />
arsénico arsenic arsenic<br />
arsénico blanco white arsenic arsenic blanc<br />
arseniuro de gadolinio gallium arsenide arséniure de gadolinium<br />
arsina arsine arsine<br />
artefacto artifact artefact<br />
aserrado sawing sciage<br />
aserrado slicing sciage<br />
asiento seat siège<br />
asiento de válvula valve seat siège de soupape<br />
asíncrono asynchronous asynchrone<br />
atenuación attenuation atténuation<br />
aterrajado tapping taraudage
Español English Français<br />
atmósfera atmosphere atmosphère<br />
atributo attribute attribut<br />
autocicatrización self-healing autocicatrisation<br />
autodescarga self-discharge autodécharge<br />
autodopado autodoping autodopage<br />
autodopado self-doping autodopage<br />
autoensamblado self-assembly autoassemblage<br />
autoinducción self-induction autoinduction<br />
autoinductancia self-inductance self<br />
autoorganización self-organization auto-organisation<br />
autoreproducción self-replication autoréplication<br />
autosincronizado self-clocking autosynchronisant<br />
autotest self-test autotest<br />
avalancha avalanche avalanche<br />
avería breakdown claquage<br />
avería failure défaillance<br />
avería del equipo equipment failure panne de matériel<br />
azeótropo azeotrope azéotrope<br />
baja tensión low voltage basse tension<br />
balance térmico thermal budget bilan thermique<br />
banda de base base band bande de base<br />
banda de conducción conduction band bande de conduction<br />
banda de energía energy band bande d'énergie<br />
banda de frecuencia frequency band bande de fréquences<br />
banda de frecuencia frequency range bande de fréquences<br />
banda de valencia valence band bande de valence<br />
banda magnética magnetic stripe bande magnétique<br />
banda permitida permitted band bande permise<br />
banda prohibida band gap bande interdite<br />
banda prohibida band-gap bande interdite<br />
banda prohibida energy gap bande interdite<br />
banda prohibida forbidden band bande interdite<br />
banda prohibida forbidden gap bande interdite<br />
bandera flag drapeau<br />
baño melt bain<br />
baño de agua water bath bain-marie<br />
baño de vapor steam bath bain de vapeur<br />
barra bar barre<br />
barra slab lingot<br />
barra conductora busbar barre bus<br />
barrera barrier barrière
Español English Français<br />
barrera de potencial potential barrier barrière de potentiel<br />
barrera de Schottky Schottky barrier barrière de Schottky<br />
barrido scanning balayage<br />
barrido sweeping sweeping<br />
barrido de tramas raster scan balayage récurrent<br />
báscula biestable bistable circuit bascule bistable<br />
báscula biestable bistable trigger circuit bascule bistable<br />
báscula monoestable monostable trigger circuit bascule monostable<br />
base base base<br />
base de transistor transistor base base de transistor<br />
bastidor rack baie<br />
bata gown robe<br />
biblioteca de celdas cell library bibliothèque de cellules<br />
biblioteca de clases class library bibliothèque de classes<br />
bidireccional bidirectional bidirectionnel<br />
biestable bistable bistable<br />
biestable flip-flop bascule électronique<br />
biochip biochip biopuce<br />
biodegradable biodegradable biodégradable<br />
bioinformática biocomputing informatique biologique<br />
bioinformática bioinformatics bioinformatique<br />
bioordenador biocomputer bio-ordinateur<br />
biopelícula biofilm biofilm<br />
bipolar bipolar bipolaire<br />
birrefringencia birefringence biréfringence<br />
bit bit bit<br />
blindaje shielding blindage<br />
bloque block bloc<br />
bloque de resistencias chip resistor pavé résistif<br />
bobina coil bobine<br />
bobina winding bobine<br />
bobinado winding operation bobinage<br />
bolsa de guantes glove bag sac à gants<br />
bomba criogénica cryogenic pump pompe cryogénique<br />
bomba de difusión diffusion pump pompe à diffusion<br />
bomba de vacío vacuum pump pompe à vide<br />
bomba primaria roughing pump pompe primaire<br />
bomba Roots Roots pump pompe Roots<br />
bomba seca dry pump pompe désamorcée<br />
bomba turbomolecular turbomolecular pump pompe turbomoléculaire<br />
bombeo oil canning bombement
Español English Français<br />
boro boron bore<br />
botella de gas gas cylinder bouteille de gaz<br />
brecha nanotecnológica nanodivide fossé nanotechnologique<br />
brida de montaje mounting flange collerette de montage<br />
burbuja bubble bulle<br />
burbuja abierta open bubble bulle ouverte<br />
burbuja magnética magnetic bubble bulle magnétique<br />
bus bus bus<br />
bus de datos data bus bus de données<br />
bus de tarjeta card bus carte-bus<br />
bus multiproceso multiprocessing bus bus multitraitement<br />
cabeza head tête<br />
cabeza de lectura escritura read-write head tête de lecture écriture<br />
cable audio audio cable câble audio<br />
cable coaxial coaxial cable câble coaxial<br />
cable coaxial semiflexible semiflexible coaxial cable câble coaxial semi flexible<br />
cable coaxial semirrígido semirigid coaxial cable câble coaxial semi rigide<br />
cable de fibra óptica fiber cable câble à fibres optiques<br />
cable de fibra óptica fiber optic cable câble à fibres optiques<br />
cable de fibra óptica fiber optical system câble optique<br />
cable de fibra óptica optical fiber cable câble à fibres optiques<br />
cable mixto differential cable câble mixte<br />
cable óptico optical cable câble optique<br />
cable plano flat cable câble méplat<br />
cable trenzado cable screen tresse<br />
cableado wiring câblage<br />
cableado físico hardwired câblage physique<br />
cableado impreso printed wiring câblage imprimé<br />
caja box boîte<br />
caja enclosure boîtier<br />
caja de conexiones terminal block bloc de jonction<br />
caja de embutición crimp barrel fût à sertir<br />
caja de filtro filter housing boîtier de crépine<br />
caja negra blackbox boîte noire<br />
cajón de aislamiento tub caisson d'isolement<br />
cajón de aislamiento well caisson d'isolement<br />
calcinación calcination chamottage<br />
calcinador calcinator calcinateur<br />
calcio calcium calcium<br />
calculadora calculator calculateur<br />
calefacción radiante radiant heating chauffage radiant
Español English Français<br />
calendario de lanzamiento roadmap calendrier de lancement<br />
calentador de infrarrojo infrared heater radiateur à infra-rouges<br />
calentamiento inductivo inductive heating chauffage inductif<br />
calibrado calibration étalonnage<br />
calidad quality qualité<br />
caloría calorie calorie<br />
calorimetría calorimetry calorimétrie<br />
calorimetría de barrido<br />
diferencial<br />
differential scanning<br />
calorimetry (DSC)<br />
calorimétrie à balayage<br />
différentiel<br />
calorímetro calorimeter calorimètre<br />
cámara digital digital camera caméra numérique<br />
camino crítico critical path chemin critique<br />
campana bell jar cloche<br />
campo field champ<br />
campo coercitivo coercitive field strength champ coercitif<br />
campo de cebado breakdown field champ d'amorçage<br />
campo de imagen image field champ d'image<br />
campo de irradiación exposure field champ d'irradiation<br />
campo eléctrico electric field champ électrique<br />
campo magnético magnetic field champ magnétique<br />
canal channel canal<br />
canal N N-channel canal N<br />
canal P P-channel canal P<br />
canalización channeling canalisation<br />
cañón de electrones electron gun canon à électrons<br />
capa layer couche<br />
capa antirreflectante inferior bottom antireflective coating couche antireflet inférieure<br />
capa barrera barrier layer couche-barrière<br />
capa de cableado conduction level couche de câblage<br />
capa de carga espacial space-charge layer zone d'appauvrissement<br />
capa de despoblación blocking layer zone d'appauvrissement<br />
capa de despoblación depletion layer zone d'appauvrissement<br />
capa de interconexiones interconnection level couche de câblage<br />
capa de inversión inversion layer couche d'inversion<br />
capa de pasivación glassivation layer couche de passivation<br />
capa de silicio activo active silicon layer couche de silicium active<br />
capa de silicio policristalino polycrystalline silicon layer couche de silicium polycristallin<br />
capa delgada thin layer couche mince<br />
capa difusa diffused layer couche diffusée<br />
capa enterrada buried layer couche enterrée<br />
capa epitaxial epilayer couche épitaxiale
Español English Français<br />
capa epitaxial epitaxial layer couche épitaxiale<br />
capa gruesa thick layer couche épaisse<br />
capa intermedia buffer layer couche tampon<br />
capa intermedia interleaf couche intermédiaire<br />
capa límite boundary layer couche d'arrêt<br />
capa sobreimpresa butter coat couche de beurre<br />
capacidad capability capacité<br />
capacidad de portadores carrier capacity capacité de porteurs<br />
capacidad de pruebas testability testabilité<br />
capacidad operativa de un<br />
proceso<br />
process capability capacité opérationnelle d'un<br />
processus<br />
capacidad térmica heat capacity capacité thermique<br />
capacitancia capacitance capacité<br />
capacitancia de banda plana flatband capacitance capacitance de bande plate<br />
capacitancia de Miller Miller capacitance capacité de Miller<br />
capacitancia de un<br />
condensador electrolítico<br />
capacitance of an electrolytic<br />
capacitor<br />
capacité d'un condensateur<br />
électrolytique<br />
capacitancia nominal rated capacitance capacité nominale<br />
capacitor, condensador capacitor condensateur<br />
captación de señales parásitas stray pickup capture de signaux parasites<br />
captador de imágenes por<br />
transferencia de carga<br />
charge transfer image sensor capteur d'image à transfert de<br />
charge<br />
captura del esquema schematic capture saisie de schéma<br />
carácter character caractère<br />
carácter de código de barras bar code character caractère de code à barres<br />
carácter de control check character caractère de contrôle<br />
característica feature caractéristique<br />
caracterización characterization caractérisation<br />
caracterización de un proceso process characterization caractérisation d'un processus<br />
caracterización mecánica mechanical characterization caractérisation mécanique<br />
carburo de silicio silicon carbide carbure de silicium<br />
carburo de tantalio tantalum carbide carbure de tantale<br />
carga capacitiva capacitive load charge capacitive<br />
carga elemental elementary charge charge élémentaire<br />
carga espacial space charge charge d'espace<br />
carga estática static charge charge statique<br />
carga fija fixed charge charge fixe<br />
carga lateral side load charge latérale<br />
carga superficial surface charge charge superficielle<br />
cartucho cartridge cartouche<br />
cartucho de filtro filter cartridge cartouche filtrante<br />
cascodo cascode cascode
Español English Français<br />
catarata cataract cascade<br />
categoría de inflamabilidad<br />
pasiva<br />
category of passive<br />
flammability<br />
catión cation cation<br />
cátodo cathode cathode<br />
caudal throughput débit<br />
catégorie d'inflammabilité<br />
passive<br />
causa atribuible assignable cause cause assignable<br />
cáustico caustic caustique<br />
cavidad cavity cavité<br />
cavidad de Fabry-Perot Fabry-Perot cavity cavité de Fabry-Perot<br />
celda cell cellule<br />
celda básica basic cell cellule de base<br />
celda compilable compilable cell cellule compilable<br />
celda de memoria memory cell cellule de mémoire<br />
celda de memoria storage cell cellule de mémoire<br />
centrifugadora resist spinner tournette<br />
centrifugadora spin coater tournette<br />
centrifugadora spinner tournette<br />
centro de difusión front-end line centre de diffusion<br />
centro de diseño design center centre de conception<br />
centro de fabricación de chips wafer fabrication plant usine de fabrication de puces<br />
centro de recombinación recombination center centre de recombinaison<br />
cerámica multicapa multilayer ceramic céramique multicouche<br />
cerámico ceramic céramique<br />
cermet cermet cermet<br />
cerrojo shield latch verrou<br />
certificación certification certification<br />
chamota calcined powder chamotte<br />
chapa magnética steel tôle magnétique<br />
chasis cage cage<br />
chasis chassis châssis<br />
chip chip puce<br />
chip de ADN DNA chip puce à ADN<br />
chip de proteínas protein chip puce à protéines<br />
chip invertido flip chip puce retournée<br />
chip lógico de enlace glue logic chip puce logique de liaison<br />
chip no encapsulado uncased chip puce nue<br />
chip no encapsulado unpackaged chip puce nue<br />
chip periférico peripheral chip puce périphérique<br />
chipset chipset jeu de puces<br />
choque térmico thermal shock choc thermique
Español English Français<br />
cianógeno cyanogen cyanogène<br />
cibernética cybernetics cybernétique<br />
ciclo cycle cycle<br />
ciclo de enganche de fase phase locked loop boucle à verrouillage de phase<br />
ciclo de histéresis hysteresis loop cycle d'hystérésis<br />
ciclo de procesamiento processing cycle cycle de traitement<br />
ciclo de reloj clock cycle cycle d'horloge<br />
ciclo de temperatura temperature cycling cycle de température<br />
ciclo de trabajo duty cycle cycle de fonctionnement<br />
ciclo de vida life cycle cycle de vie<br />
ciclo de vida del producto product life cycle cycle de vie du produit<br />
cilindro cylinder cylindre<br />
cinescopio kinescope cinéscope<br />
cinética de oxidación oxidation kinetics cinétique d'oxydation<br />
cinta magnética magnetic tape bande magnétique<br />
cinta magnética tape bande magnétique<br />
circona zirconia zircone<br />
circuitería circuitry circuiterie<br />
circuito circuit circuit<br />
circuito cliente user-specific integrated circuit circuit client<br />
circuito combinacional combinational circuit circuit combinatoire<br />
circuito criogénico cryogenic circuit circuit cryoélectrique<br />
circuito criogénico cryogenic element circuit cryogénique<br />
circuito de cerrojo latch circuit circuit de verrouillage<br />
circuito de control test circuit circuit de contrôle<br />
circuito de disparo trigger circuit déclencheur<br />
circuito de interfaz interface circuit circuit d'interface<br />
circuito de lógica de emisores<br />
acoplados<br />
emitter-coupler logic circuit circuit à logique à couplage par<br />
émetteurs<br />
circuito de memoria memory circuit circuit de mémoire<br />
circuito de película delgada thin-film circuit circuit à couches minces<br />
circuito de prueba asimétrico asymmetrical test circuit circuit d'essai asymétrique<br />
circuito de prueba simétrico symmetrical test circuit circuit d'essai symétrique<br />
circuito de retardo delay element circuit à retard<br />
circuito de transistores transistor circuit circuit transistorisé<br />
circuito específico mixto mixed signal ASIC circuit spécifique mixte<br />
circuito híbrido de película<br />
delgada<br />
circuito híbrido de película<br />
gruesa<br />
thin-film hybrid circuit circuit hybride à couches minces<br />
thick-film hybrid circuit circuit hybride à couches<br />
épaisses<br />
circuito impreso printed circuit circuit imprimé<br />
circuito impreso bicapa double-sided printed-circuit circuit imprimé double face
Español English Français<br />
board<br />
circuito impreso bicapa flexible flexible double sided printedcircuit<br />
board<br />
circuito impreso bicapa<br />
flexorrígido<br />
flex-rigid double-sided printedcircuit<br />
board<br />
circuito impreso bicapa rígido rigid double-sided printedcircuit<br />
board<br />
circuit imprimé souple double<br />
face<br />
circuit imprimé flexorigide<br />
double face<br />
circuit imprimé double face<br />
rigide<br />
circuito impreso desnudo bare printed circuit board circuit imprimé nu<br />
circuito impreso flexible flex printed circuit circuit imprimé souple<br />
circuito impreso flexible flexible printed circuit circuit imprimé souple<br />
circuito impreso flexorrígido flex-rigid printed circuit board circuit imprimé flexorigide<br />
circuito impreso moldeado molded printed-circuit board circuit imprimé moulé<br />
circuito impreso monocapa single-sided printed-circuit<br />
board<br />
circuito impreso monocapa<br />
flexible<br />
circuito impreso monocapa<br />
rígido<br />
flexible single-sided printedcircuit<br />
board<br />
rigid single-sided printedcircuit<br />
board<br />
circuito impreso multicapa multilayer printed-circuit<br />
board<br />
circuito impreso multicapa<br />
flexible<br />
circuito impreso multicapa<br />
flexorrígido<br />
circuito impreso multicapa<br />
rígido<br />
circuito impreso para<br />
componentes montados en<br />
superficie<br />
circuito impreso para<br />
componentes montados en<br />
superficie<br />
flexible multilayer printedcircuit<br />
board<br />
flex-rigid multilayer printedcircuit<br />
board<br />
rigid multilayer printed-circuit<br />
board<br />
circuit imprimé simple face<br />
circuit imprimé souple simple<br />
face<br />
circuit imprimé simple face<br />
rigide<br />
circuit imprimé multicouche<br />
circuit imprimé souple<br />
multicouche<br />
circuit imprimé flexorigide<br />
multicouche<br />
circuit imprimé multicouche<br />
rigide<br />
SMB printed-circuit board circuit imprimé pour CMS<br />
SMD board circuit imprimé pour CMS<br />
circuito impreso rígido rigid printed-circuit board circuit imprimé rigide<br />
circuito integrado (CI) integrated circuit (IC) circuit intégré (CI)<br />
circuito integrado a escala de<br />
oblea<br />
wafer-scale integrated circuit circuit intégré à l'échelle d'une<br />
tranche<br />
circuito integrado analógico analog integrated circuit circuit intégré analogique<br />
circuito integrado bipolar bipolar integrated circuit circuit intégré bipolaire<br />
circuito integrado con<br />
predifusión<br />
circuito integrado de<br />
aplicación específica<br />
circuito integrado de muy alta<br />
velocidad<br />
cell array prédiffusé<br />
application specific integrated<br />
circuit<br />
very-high speed integrated<br />
circuit (VHSIC)<br />
circuit intégré à application<br />
spécifique<br />
circuit intégré à très grande<br />
vitesse
Español English Français<br />
circuito integrado digital digital integrated circuit circuit intégré numérique<br />
circuito integrado híbrido hybrid integrated circuit (HIC) circuit intégré hybride<br />
circuito integrado lineal linear integrated circuit circuit intégré linéaire<br />
circuito integrado monolítico monolithic integrated circuit circuit intégré monolithique<br />
circuito integrado monolítico<br />
hiperfrecuencia<br />
circuito integrado<br />
personalizado<br />
circuito integrado<br />
precaracterizado<br />
circuito integrado<br />
tridimensional<br />
monolithic microwave<br />
integrated circuit<br />
circuit intégré monolithique<br />
hyperfréquence<br />
full custom circuit circuit intégré à la demande<br />
cell-based circuit circuit intégré précaractérisé<br />
three-dimensional integrated<br />
circuit<br />
circuit intégré en trois<br />
dimensions<br />
circuito lógico logic circuit circuit logique<br />
circuito lógico de enlace glue circuit logique de liaison<br />
circuito lógico de enlace glue logic circuit logique de liaison<br />
circuito lógico programable programmable-logic device<br />
(PLD)<br />
circuito lógico programable y<br />
borrable<br />
erasable programmable logic<br />
circuit<br />
circuit logique programmable<br />
circuit logique programmable<br />
effaçable<br />
circuito magnético magnetic circuit circuit magnétique<br />
circuito monoestable monostable circuit circuit monostable<br />
circuito multicapa cableado multiwire circuit tissé<br />
circuito multicapa cableado textured printed-circuit board circuit tissé<br />
circuito multicapa de alta<br />
densidad<br />
circuito para componentes<br />
montados en superficie<br />
high-density multilayer printed<br />
circuit board<br />
circuit for surface mounted<br />
devices<br />
circuit multicouche dense<br />
circuit pour CMS<br />
circuito polilítico multichip circuit circuit polylithique<br />
circuito precaracterizado standard cell circuit précaractérisé<br />
circuito programable por<br />
fusibles<br />
circuito programable por<br />
fusibles<br />
fuse link circuit circuit programmable par<br />
fusibles<br />
fuse programmable circuit circuit programmable par<br />
fusibles<br />
circuito reconfigurable reconfigurable circuit circuit reconfigurable<br />
circuito secuencial sequential circuit circuit séquentiel<br />
circuito semipersonalizado semicustom circuit circuit semi personnalisé<br />
circuito sumador adder circuit additionneur<br />
circuito tampón buffer circuit circuit tampon<br />
circulador circulator circulateur<br />
circularidad concentricity circularité<br />
clase class classe<br />
clase abstracta abstract class classe abstraite<br />
clavija plug fiche
Español English Français<br />
cliché de producción production master cliché de production<br />
cliente client client<br />
clip de conexión clip clip de connexion<br />
cloro chlorine chlore<br />
cloruro de aluminio aluminum chloride chlorure d'aluminium<br />
cloruro de cianógeno cyanogen chloride chlorure de cyanogène<br />
cloruro de hidrógeno hydrogen chloride chlorure d'hydrogène<br />
cloruro de metileno methylene chloride chlorure de méthylène<br />
coaxial coaxial coaxial<br />
cobre copper cuivre<br />
codec codec codec<br />
codificación keying codage<br />
codificador encoder codeur<br />
código code code<br />
código continuo continuous code code continu<br />
código de barras bar code code à barres<br />
código de barras<br />
autocontrolado<br />
self-checking bar code code à barres autocontrôlé<br />
código de carácter character code code de caractère<br />
código fuente source code code source<br />
código objeto object code code exécutable<br />
coeficiente de absorción absorption coefficient coefficient d'absorption<br />
coeficiente de difusión diffusion coefficient coefficient de diffusion<br />
coeficiente de dilatación<br />
térmica<br />
coefficient of thermal<br />
expansion (CTE)<br />
coefficient de dilatation<br />
thermique<br />
coeficiente de flujo flow coefficient coefficient de débit<br />
coeficiente de partición partition coefficient coefficient de partage<br />
coeficiente de segregación segregation coefficient coefficient de ségrégation<br />
coeficiente de soldadura joint efficiency coefficient de soudure<br />
coeficiente de temperatura temperature coefficient coefficient de température<br />
coherencia coherence cohérence<br />
cola queue file d'attente<br />
colector collector collecteur<br />
colimador collimator collimateur<br />
colisión elástica elastic collision collision élastique<br />
colisión inelástica inelastic collision collision non-élastique<br />
colocación placement placement<br />
columna column colonne<br />
columna de guiado tie bar colonne de guidage<br />
columna EBL EBL column colonne EBL<br />
coma fija fixed point virgule fixe
Español English Français<br />
coma flotante floating point virgule flottante<br />
cometa comet comète<br />
comparador comparator comparateur<br />
compatibilidad compatibility compatibilité<br />
compatibilidad<br />
electromagnética<br />
electromagnetic compatibility<br />
(EMC)<br />
compatibilité<br />
électromagnétique<br />
compensación compensation compensation<br />
compilador de silicio silicon compiler compilateur de silicium<br />
complejidad del proceso process complexity complexité du processus<br />
componente component component<br />
componente activo active component composant actif<br />
componente con terminales<br />
viga<br />
beam-lead circuit composants à connexions par<br />
poutres<br />
componente discreto discrete component composant discret<br />
componente en cinta taped component composant sur bande<br />
componente montado en<br />
superficie<br />
surface-mount device CMS<br />
componente objeto component object composant objet<br />
componente pasivo passive component composant passif<br />
comprometido committed déterminé<br />
concentración concentration concentration<br />
concentración de portadores carrier concentration concentration de porteurs<br />
condensador aislado insulated capacitor condensateur isolé<br />
condensador ajustable adjustable capacitor condensateur ajustable<br />
condensador apilado stacked capacitor condensateur empilé<br />
condensador bipelícula bi-film capacitor condensateur bi-film<br />
condensador bipolar bipolar capacitor condensateur bipolaire<br />
condensador bobinado wirewound capacitor condensateur bobiné<br />
condensador botón button capacitor condensateur bouton<br />
condensador c.c. DC capacitor condensateur pour courant<br />
continu<br />
condensador cerámico ceramic capacitor condensateur céramique<br />
condensador cerámico<br />
multicapa<br />
condensador cerámico<br />
multicapa<br />
MLC capacitor condensateur céramique<br />
multicouche<br />
multilayer ceramic capacitor condensateur céramique<br />
multicouche<br />
condensador de ajuste trimmer condensateur d'ajustage<br />
condensador de ajuste trimming capacitor condensateur d'ajustage<br />
condensador de<br />
almacenamiento<br />
storage capacitor condensateur-magasin<br />
condensador de ánodo poroso porous anode capacitor condensateur à anode poreuse<br />
condensador de clase X class X capacitor condensateur de classe X
Español English Français<br />
condensador de clase Y class Y capacitor condensateur de classe Y<br />
condensador de componentes<br />
montados en superficie<br />
condensador de conducción<br />
coaxial<br />
chip capacitor condensateur pavé<br />
lead-through capacitor condensateur de traversée<br />
coaxial<br />
condensador de derivación bypass capacitor condensateur de dérivation<br />
condensador de<br />
desacoplamiento<br />
condensador de dieléctrico<br />
mixto<br />
condensador de electrolito<br />
gelificado<br />
condensador de electrolito<br />
líquido<br />
condensador de electrolito<br />
líquido<br />
condensador de electrolito no<br />
sólido<br />
condensador de electrolito<br />
sólido<br />
condensador de electrolito<br />
sólido<br />
decoupling capacitor condensateur de découplage<br />
mixed dielectric capacitor condensateur à diélectrique<br />
mixte<br />
gelified electrolytic capacitor condensateur à électrolyte<br />
gélifié<br />
wet capacitor condensateur à électrolyte<br />
liquide<br />
wet electrolytic capacitor condensateur à électrolyte<br />
liquide<br />
non-solid electrolytic capacitor condensateur à électrolyte non<br />
solide<br />
dry capacitor condensateur à électrolyte<br />
solide<br />
solid electrolytic capacitor condensateur à électrolyte<br />
solide<br />
condensador de larga duración long-life grade capacitor condensateur de la catégorie<br />
longue durée de vie<br />
condensador de papel paper capacitor condensateur au papier<br />
condensador de papel<br />
metalizado<br />
metallized paper capacitor condensateur au papier<br />
métallisé<br />
condensador de película film capacitor condensateur à film<br />
condensador de placas<br />
desbordantes<br />
condensador de placas<br />
metálicas<br />
extended foil capacitor condensateur à armatures<br />
débordantes<br />
metal-foil capacitor condensateur à feuilles<br />
métalliques<br />
condensador de potencia power capacitor condensateur de puissance<br />
condensador de sintonización tuning capacitor condensateur d'accord<br />
condensador de supresión de<br />
parásitos<br />
suppression capacitor condensateur d'antiparasitage<br />
condensador de tantalio tantalum capacitor condensateur tantale<br />
condensador de uso genérico general-purpose grade<br />
capacitor<br />
condensateur de la catégorie<br />
d'usage général<br />
condensador electrolítico electrolytic capacitor condensateur électrolytique<br />
condensador electrolítico de<br />
aluminio<br />
condensador electrolítico de<br />
tantalio<br />
aluminum electrolytic<br />
capacitor<br />
condensateur électrolytique<br />
d'aluminium<br />
tantalum electrolytic capacitor condensateur électrolytique au<br />
tantale
Español English Français<br />
condensador fijo fixed capacitor condensateur fixe<br />
condensador fijo de dieléctrico<br />
de cerámica<br />
condensador para montaje en<br />
superficie<br />
fixed capacitor of ceramic<br />
dielectric<br />
condensateur fixe à diélectrique<br />
en céramique<br />
surface-mount capacitor condensateur pour montage en<br />
surface<br />
condensador polarizado biased capacitor condensateur polarisé<br />
condensador polarizado polar capacitor condensateur polarisé<br />
condensador por impulsos pulse capacitor condensateur à impulsions<br />
condensador variable variable capacitor condensateur variable<br />
condición de acumulación accumulation condition condition d'accumulation<br />
condición de banda plana flatband condition condition de bande plate<br />
condición de excepción exception condition condition d'exception<br />
conducción de Poole-Frenkel Poole-Frenkel conduction conduction de Poole-Frenkel<br />
conducción iónica ionic conduction conduction ionique<br />
conducción por electrones electron conduction conduction par électrons<br />
conducción por huecos hole conduction conduction par trous<br />
conductividad conductivity conductivité<br />
conductividad de tipo N N-type conductivity conductivité de type N<br />
conductividad de tipo P P-type conductivity conductivité de type P<br />
conductividad eléctrica electrical conductivity conductivité électrique<br />
conductividad térmica thermal conductivity conductibilité thermique<br />
conductor conductor conducteur<br />
conductor externo outer capacitor conducteur extérieur<br />
conductor interno inner capacitor conducteur intérieur<br />
conectividad connectivity connectabilité<br />
conector connector connecteur<br />
conector ajustable card-edged connector connecteur encartable<br />
conector blindado shielded connector connecteur blindé<br />
conector BNC BNC connector connecteur BNC<br />
conector BNO BNO connector connecteur BNO<br />
conector cilíndrico cylindrical connector connecteur cylindrique<br />
conector circular circular connector connecteur circulaire<br />
conector coaxial coaxial connector connecteur coaxial<br />
conector de bastidor y panel rack-and-panel connector connecteur de baie et tiroir<br />
conector de filtro filtered connector connecteur filtre<br />
conector de fuerza de<br />
inserción nula<br />
conector de interfaz en<br />
soporte<br />
zero insertion force connector connecteur à force d'insertion<br />
nulle<br />
medium interface connector connecteur d'interface au<br />
support<br />
conector en contrafase push-pull connector connecteur pousser-tirer<br />
conector F SMA F SMA connector connecteur F SMA<br />
conector hermafrodita hermaphroditic connector connecteur hermaphrodite
Español English Français<br />
conector hermético hermetic connector connecteur hermétique<br />
conector LSA LSA connector connecteur LSA<br />
conector mixto hybrid connector connecteur mixte<br />
conector modular modular jack connecteur modulaire<br />
conector para circuito impreso printed-circuit board<br />
connector<br />
connecteur pour circuit imprimé<br />
conector SMA SMA connector connecteur SMA<br />
conector SMB SMB connector connecteur SMB<br />
conector sub D sub D connector connecteur sous- D<br />
conector TNC TNC connector connecteur TNC<br />
conector UHF UHF connector connecteur UHF<br />
conexión connection raccord<br />
conexión a presión press-in connection connexion insérée à force<br />
conexión arrollada wrapping connexion enroulée<br />
conexión de la microplaqueta die bonding (D/B) connexion de la puce<br />
conexión externa external lead connexion<br />
conexión invertida flip-chip bonding connexion par billes<br />
conexión invertida flip-chip connection connexion par billes<br />
conexión por desplazamiento<br />
en aislamiento<br />
insulation displacement<br />
connection<br />
connexion autodénundante<br />
conexión por terminales viga beam-lead bonding connexion par conducteurs<br />
poutres<br />
conexión transversal through connection connexion transversale<br />
configuración configuration configuration<br />
configuración de base común common-base configuration configuration de base commune<br />
configuración de emisor<br />
común<br />
common-emitter configuration configuration d'émetteur<br />
commun<br />
confinamiento electrónico electronic confining confinement électronique<br />
confocal confocal homofocal<br />
conformidad conformity conformité<br />
conjunto de herramientas tooling outillage<br />
conmutación change-over switching commutation<br />
conmutador change-over switch commutateur<br />
conmutador analógico analog switch commutateur analogique<br />
conmutador digital digital switch commutateur numérique<br />
conmutador encapsulado DIP switch commutateur à deux voies<br />
conmutador rotatorio rotary switch commutateur rotatif<br />
conmutador TR TR switch commutateur TR<br />
conmutador TR transmit receive switch commutateur TR<br />
constante de Boltzmann Boltzmann constant constante de Boltzmann<br />
constante de deformación deformation constant constante de déformation<br />
constante de oxidación oxidation constant constante d'oxydation
Español English Français<br />
constante de Planck Planck constant constante de Planck<br />
constante de red lattice constant constante du réseau cristallin<br />
constante dieléctrica dielectric constant constante diélectrique<br />
contacto contact contact<br />
contacto biestable bistable contact contact bistable<br />
contacto de soldadura solder contact contact à souder<br />
contacto elástico resilient contact contact élastique<br />
contacto enterrado buried contact contact enterré<br />
contacto hembra bushing contact femelle<br />
contacto hermafrodita hermaphroditic contact contact hermaphrodite<br />
contacto lira tuning fork contact contact lyre<br />
contacto metal-semiconductor metal-semiconductor contact contact métal-semi-conducteur<br />
contacto móvil moving contact contact mobile<br />
contacto óhmico ohmic contact contact ohmique<br />
contacto para conexión<br />
arrollada<br />
wrap contact contact pour connexion<br />
enroulée<br />
contacto por embutición crimp contact contact à sertir<br />
contador counter compteur<br />
contador de núcleos de<br />
concentración<br />
condensation nucleus counter<br />
(CNC)<br />
compteur de noyaux de<br />
condensation<br />
contador de partículas particle counter compteur de particules<br />
contaminación contamination contamination<br />
contaminación por partículas particulate contamination contamination particulaire<br />
contaminación superficial surface contamination contamination superficielle<br />
contaminante contaminant contaminant<br />
contaminante metálico metallic contaminant contaminant métallique<br />
contaminante orgánico organic contaminant contaminant organique<br />
continuidad continuity continuité<br />
contracción térmica thermal shrinkage contraction thermique<br />
contrafase push pull pousser tirer<br />
control automático de<br />
ganancia<br />
automatic gain control (AGC) contrôle automatique du gain<br />
control de calidad quality assurance assurance de la qualité<br />
control de calidad total total quality control contrôle de qualité totale<br />
control de la contaminación contamination control contrôle de la contamination<br />
control de línea line control gestion de lignes<br />
control de un proceso process control régulation d'un processus<br />
control estadístico del proceso statistical process control (SPC) contrôle statistique du<br />
processus<br />
control numérico numerical control contrôle numérique<br />
control por puerta gate control commande par gâchette<br />
controlabilidad controllability contrôlabilité
Español English Français<br />
controlado por menú menu-driven piloté par menu<br />
controlador de acceso host adapter contrôleur d'accès<br />
controlador de celdas cell controller contrôleur des cellules<br />
controlador del módulo de<br />
transporte<br />
transport module controller contrôleur du module de<br />
transport<br />
convergencia de entrada fan-in entrance<br />
convergencia de salida fan-out sortance<br />
conversación conversation conversation<br />
conversión de datos data conversion conversion de données<br />
convertidor converter convertisseur<br />
convertidor analógico-digital analog-to-digital converter convertisseur analogiquenumérique<br />
convertidor de impedancia<br />
generalizada<br />
general impedance converter convertisseur d'impédance<br />
généralisée<br />
convertidor digital-analógico digital-to-analog converter convertisseur numériqueanalogique<br />
convertidor paralelo-serie dynamicizer convertisseur parallèle-série<br />
convertidor paralelo-serie parallel-to-serial converter convertisseur parallèle-série<br />
convertidor paralelo-serie serializer convertisseur parallèle-série<br />
convertidor serie-paralelo serial-to-parallel converter convertisseur série-parallèle<br />
convertidor serie-paralelo staticizer convertisseur série-parallèle<br />
coplanaridad coplanarity coplanarité<br />
coprocesador coprocessor coprocesseur<br />
correlación correlation corrélation<br />
corriente current courant<br />
corriente de clase class current courant de classe<br />
corriente de cortocircuito short-circuit current courant de court-circuit<br />
corriente de deriva drift current courant de dérive<br />
corriente de difusión diffusion current courant de diffusion<br />
corriente de fallo fault current courant de défaut<br />
corriente de fuga leakage current courant de fuite<br />
corriente de inicio inrush current courant d'appel<br />
corriente de ondulación<br />
nominal<br />
rated-voltage pulse slope courant ondulé nominal<br />
corriente de recombinación recombination current courant de recombinaison<br />
corriente de saturación saturation current courant de saturation<br />
corriente de túnel tunneling current courant tunnel<br />
corriente nominal rated current courant nominal<br />
corriente residual residual current courant résiduel<br />
corrosivo corrosive corrosif<br />
corte slice tranche<br />
corte de esquina corner cut biseautage de coin
Español English Français<br />
corte de oblea wafer run découpe de plaquette<br />
corte de sierra saw-kerf coupe de scie<br />
cosinterización cofiring cofrittage<br />
cosinterizado cofired cofritté<br />
cráter crater cratère<br />
crecimiento epitaxial epitaxial growth croissance épitaxiale<br />
criogenia cryogeny cryogénie<br />
criotrón cryotron cryotron<br />
crisol crucible creuset<br />
crisol melting pot creuset<br />
cristal crystal cristal<br />
cristal de cuarzo crystal unit cristal à quartz<br />
cristal de cuarzo quartz crystal quartz<br />
cristal ferroeléctrico ferroelectric crystal cristal ferroélectrique<br />
cristal fotónico photonic crystal cristal photonique<br />
cristalización en fase sólida solid-phase crystallization cristallisation en phase solide<br />
criterios de aceptación acceptance criteria critères d'acceptation<br />
cromatografía chromatography chromatographie<br />
cromatografía de gases gas chromatography chromatographie en phase<br />
gazeuse<br />
cronograma timing chart chronogramme<br />
cualificación de aptitud capability approval agrément de savoir faire<br />
cuantificador quantizer quantificateur<br />
cuarzo quartz quartz<br />
cubierta cap capot<br />
cubierta lid capot<br />
cupón test coupon coupon<br />
curva de coeficiente de<br />
temperatura<br />
temperature coefficient curve courbe du coefficient de<br />
température<br />
curva de flujo flow curve courbe de débit<br />
curva de respuesta response curve courbe de réponse<br />
curvatura bow courbure<br />
dado die dé<br />
daño damage dommage<br />
datáfono dataphone dataphone<br />
datos data données<br />
debilitamiento roll-off affaiblissement<br />
decapado stripping décapage<br />
decapado de resina photoresist strip décapage de la résine<br />
decapado de resina resist removal décapage de la résine<br />
decapado de resina resist strip décapage de la résine
Español English Français<br />
decapado de resina resist stripping décapage de la résine<br />
decapante stripper décapant<br />
decibelio ajustado adjusted decibel décibel A<br />
decodificador decoder décodeur<br />
decoloración discoloration décoloration<br />
defecto defect défaut<br />
defecto de apareamiento mismatch défaut d'appariement<br />
defecto de Frenkel Frenkel defect défaut de Frenkel<br />
defecto de película film defect défaut du film<br />
defecto de Schottky Schottky defect défaut de Schottky<br />
defecto de superficie surface defect défaut de surface<br />
defecto de volumen volume defect défaut de volume<br />
defecto puntual point defect défaut ponctuel<br />
deformación deformation déformation<br />
deformación dimensional runout déformation dimensionnelle<br />
delicuescente deliquescent déliquescent<br />
demodulador demodulator démodulateur<br />
demultiplexor demultiplexer démultiplexeur<br />
densidad density densité<br />
densidad de componentes chip density densité de composants<br />
densidad de componentes component density densité de composants<br />
densidad de dopante dopant density densité des atomes dopants<br />
densidad de estados density of states densité d'états<br />
densidad de interconexión interconnect density densité d'interconnexion<br />
densidad de portadores carrier density densité de porteurs<br />
densidad de vapor vapor density densité de vapeur<br />
deposición deposition dépôt<br />
deposición en fase de vapor<br />
activada por plasma<br />
plasma deposition dépôt en phase vapeur activé<br />
par plasma<br />
deposición epitaxial epitaxial deposition dépôt épitaxial<br />
deposición física en fase de<br />
vapor<br />
deposición física en fase<br />
líquida<br />
physical-vapor deposition<br />
(PVD)<br />
physical-liquid deposition<br />
(PLD)<br />
dépôt physique en phase vapeur<br />
dépôt physique en phase de<br />
liquide<br />
deposición por centrifugado spin coating dépôt par centrifugation<br />
deposición por centrifugado spin on dépôt par centrifugation<br />
deposición por láser pulsado pulsed-laser deposition (PLD) dépôt par laser à impulsions<br />
deposición por pulverización spray deposition dépôt par pulvérisation<br />
deposición por pulverización sputter deposition dépôt par pulvérisation<br />
deposición por rotación spin-on deposition dépôt par rotation<br />
deposición química en fase de<br />
vapor<br />
chemical vapor deposition<br />
(CVD)<br />
dépôt chimique en phase<br />
vapeur
Español English Français<br />
deposición química en fase de<br />
vapor potenciada con plasma<br />
plasma-enhanced chemical<br />
vapo- deposition (PECVD)<br />
dépôt chimique en phase<br />
vapeur assisté par plasma<br />
deposición térmica thermal deposition dépôt thermique<br />
depósito conductor metal land dépôt conducteur<br />
depuración debugging débogage<br />
depuración scrubbing épuration<br />
deriva drift dérive<br />
derivación shunt dérivation<br />
desaceleración ramp-down décélération<br />
desactivación del dopante dopant deactivation désactivation des atomes<br />
dopants<br />
desalineación misalignment désalignement<br />
desalineación de puntos dot misalignment désalignement de points<br />
desarrollador developer développeur<br />
desarrollo development développement<br />
desbarbado deflashing ébarbage<br />
desbordamiento de resina resin smear coulée de résine<br />
descarga electrostática electrostatic discharge (ESD) décharge électrostatique<br />
descarga luminiscente glow discharge décharge luminescente<br />
desecado dewetting démouillage<br />
desecador desiccator dessiccateur<br />
desencolado descum décollage<br />
desfasado offset décalé<br />
desfasador phase shifter déphaseur<br />
desgasificación offgassing dégazement<br />
desgasificación outgassing dégazement<br />
desgaste attrition attrition<br />
desionización deionization déionisation<br />
deslaminación blister cloquage<br />
deslaminación delamination délaminage<br />
deslizamiento slip glissement<br />
deslizamiento cristalográfico crystallographic slip glissement cristallographique<br />
desoldadura desoldering débrasage<br />
desorción desorption désorption<br />
despoblación depletion appauvrissement<br />
despoblación profunda deep depletion appauvrissement profond<br />
destello flash éclair<br />
desviación del plano focal focal plane deviation déviation du plan focal<br />
desviación típica standard deviation écart-type<br />
desvitrificación devitrification dévitrification<br />
detección detection détection
Español English Français<br />
detección de punto límite endpoint detection détection de point limite<br />
detector detector détecteur<br />
detector de fugas leak detector détecteur de fuites<br />
detector de infrarrojo infrared detector détecteur à infra-rouges<br />
diac diac diac<br />
diafonía crosstalk diaphonie<br />
diafragma diaphragm diaphragme<br />
diagnóstico de un proceso process diagnostics diagnostique d'un processus<br />
diagrama de causa-efecto cause-and-effect diagram diagramme causes-effet<br />
diagrama de tiempos timing diagram chronogramme<br />
diamante diamond diamant<br />
diámetro diameter diamètre<br />
diámetro aerodinámico aerodynamic diameter diamètre aérodynamique<br />
diámetro de oblea wafer diameter diamètre de tranche<br />
diámetro plano flat diameter diamètre plat<br />
diana target cible<br />
diborano diborane diborane<br />
dibujo compuesto composite drawing dessin d'ensemble<br />
dibujo industrial engineering drawing dessin industriel<br />
diccionario de datos data dictionary dictionnaire de données<br />
diccionario de fallos fault dictionary dictionnaire de défauts<br />
diclorometano dichloromethane dichlorométhane<br />
diclorosilano dichlorosilane dichlorosilane<br />
dieléctrico dielectric diélectrique<br />
dieléctrico alternativo alternative dielectric diélectrique alternatif<br />
dieléctrico poroso porous dielectric diélectrique poreux<br />
diferencia de trabajo de<br />
extracción<br />
work function difference différence de travail<br />
d'extraction<br />
difracción de rayos X X-ray diffraction diffraction des rayons X<br />
difusión diffusion diffusion<br />
difusión scattering diffusion<br />
difusión intersticial interstitial diffusion diffusion interstitielle<br />
difusión lateral lateral diffusion diffusion latérale<br />
difusión por sustitución substitutional diffusion diffusion par substitution<br />
difusión profunda drive-in diffusion profonde<br />
difusión vertical vertical diffusion diffusion verticale<br />
difusómetro scatterometer diffusomètre<br />
digital digital numérique<br />
digitalización digitization numérisation<br />
digitalización digitizing numérisation<br />
digitalizador digitizer numériseur
Español English Français<br />
digitalizar digitize (to) numériser<br />
dígito binario binary digit élément binaire<br />
dimensión crítica critical dimension dimension critique<br />
diodo diode diode<br />
diodo BARITT BARITT diode diode BARITT<br />
diodo BARITT barrier injected transit time<br />
diode<br />
diode BARITT<br />
diodo de avalancha avalanche diode diode à avalanche<br />
diodo de avalancha de baja<br />
tensión<br />
low-voltage avalanche diode diode à effet d'avalanche à<br />
basse tension<br />
diodo de barrera de Schottky Schottky barrier diode diode à effet Schottky<br />
diodo de capacidad variable variable capacitance diode diode à capacité variable<br />
diodo de conmutación switching diode diode de commutation<br />
diodo de cuatro capas four-layer diode diode à quatre couches<br />
diodo de portadores calientes hot-carrier diode diode à porteurs chauds<br />
diodo de rectificación rectifier diode diode de redressement<br />
diodo de señal signal diode diode de signal<br />
diodo de unión junction diode diode à jonctions<br />
diodo electroluminiscente light-emitting diode (LED) diode électroluminescente<br />
diodo electroluminiscente de<br />
emisión lateral<br />
diodo electroluminiscente de<br />
emisión superficial<br />
diodo electroluminiscente<br />
orgánico<br />
edge emitting light-emitting<br />
diode (EELED)<br />
surface-emitting light-emitting<br />
diode (SELED)<br />
organic light-emitting diode<br />
(OLED)<br />
diodo Esaki Esaki tunnel diode diode Esaki<br />
diodo Gunn Gunn diode diode Gunn<br />
diodo Gunn Gunn oscillator diode Gunn<br />
diode électroluminescente à<br />
émission latérale<br />
diode électroluminescente à<br />
émission par la surface<br />
diode électroluminescente<br />
organique<br />
diodo IMPATT IMPATT diode diode IMPATT<br />
diodo láser laser diode diode laser<br />
diodo PIN PIN diode diode PIN<br />
diodo Schottky Schottky diode diode Schottky<br />
diodo semiconductor semiconductor diode diode semi-conducteur<br />
diodo Shockley Shockley diode diode Shockley<br />
diodo Trapatt Trapatt diode diode Trapatt<br />
diodo Trapatt trapped plasma avalanche<br />
transit time diode<br />
diode Trapatt<br />
diodo túnel tunnel diode diode tunnel<br />
diodo unitúnel unitunnel diode diode unitunnel<br />
diodo Zener Zener diode diode Zener<br />
dióxido de carbono carbon dioxide dioxyde de carbone<br />
dióxido de silicio silicon dioxide dioxyde de silicium
Español English Français<br />
dirección address adresse<br />
dirección de barrido scanning direction direction de balayage<br />
dirección de rotación direction of rotation direction de rotation<br />
discordancia de red lattice mismatch désaccord de réseau<br />
discreto discrete discret<br />
discriminador discriminator discriminateur<br />
diseñador designer concepteur<br />
diseñador de circuitos circuit designer concepteur de circuits<br />
diseño design conception<br />
diseño asistido por ordenador computer-aided design (CAD) conception assistée par<br />
ordinateur<br />
diseño BICMOS BICMOS design conception BICMOS<br />
diseño con capacidad de<br />
pruebas<br />
design for testability conception en vue du test<br />
diseño de circuitos circuit design conception des circuits<br />
diseño de experimentos design of experiments (DOE) plans d'expériences<br />
diseño electrónico asistido por<br />
ordenador<br />
electronic computer-aided<br />
design<br />
CAO électronique<br />
diseño interactivo interactive design modèle interactif<br />
diseño jerárquico hierarchical design conception hiérarchique<br />
diseño lógico logic design conception logique<br />
diseño orientado a objetos object-oriented design conception orientée objet<br />
diseño simbólico symbolic layout dessin symbolique<br />
diseño simultáneo concurrent design conception simultanée<br />
diseño virtual virtual design conception virtuelle<br />
disilano disilane disilane<br />
disipación de energía power dissipation dissipation d'énergie<br />
disipación nominal rated dissipation dissipation nominale<br />
disipador térmico heat sink dissipateur thermique<br />
dislocación dislocation dislocation<br />
dislocación de borde edge dislocation dislocation de coin<br />
disolución leaching dissolution<br />
disolvente solvent solvant<br />
disolvente orgánico organic solvent solvant organique<br />
dispersión cromática chromatic dispersion dispersion chromatique<br />
dispersión modal modal dispersion dispersion modale<br />
dispersión temporal temporal dispersion dispersion temporelle<br />
disponibilidad availability disponibilité<br />
disponibilidad de equipo equipment availability disponibilité d'équipement<br />
dispositivo device dispositif<br />
dispositivo activo active device dispositif actif<br />
dispositivo analógico analog device dispositif analogique
Español English Français<br />
dispositivo bipolar bipolar device dispositif bipolaire<br />
dispositivo cuántico quantum device dispositif quantique<br />
dispositivo de acoplamiento de<br />
carga<br />
dispositivo de espejo<br />
deformable<br />
charge-coupled device (CCD) dispositif à couplage de charge<br />
deformable mirror device puce à miroirs<br />
dispositivo de grabado etcher appareil de gravure<br />
dispositivo de grabado wafer etcher appareil de gravure<br />
dispositivo de muestreo y<br />
retención<br />
sample and hold device échantillonneur bloqueur<br />
dispositivo de potencia power device dispositif de puissance<br />
dispositivo de retorno pullback dispositif de retour<br />
dispositivo de transferencia de<br />
carga<br />
charge-transfer device (CTD) dispositif à transfert de charge<br />
dispositivo digital digital device dispositif numérique<br />
dispositivo discreto discrete device dispositif discret<br />
dispositivo electrónico electronic device dispositif électronique<br />
dispositivo fotónico photonic device dispositif photonique<br />
dispositivo integrado integrated device dispositif intégré<br />
dispositivo pasivo passive device équipement passif<br />
dispositivo semiconductor semiconductor device dispositif semi-conducteur<br />
dispositivo unipolar unipolar device dispositif unipolaire<br />
dispositivo Venturi Venturi device dispositif Venturi<br />
dispositivo virtual virtual device dispositif virtuel<br />
distancia clearance distance<br />
distancia de muestreo sampling distance distance d'échantillonnage<br />
distancia de trabajo working distance distance de travail<br />
distribución distribution distribution<br />
distribución beta beta distribution distribution bêta<br />
distribución de Maxwell-<br />
Boltzmann<br />
Maxwell-Boltzmann<br />
distribution<br />
distribution de Maxwell-<br />
Boltzmann<br />
distribución de Pearson Pearson distribution distribution de Pearson<br />
distribución de Poisson Poisson distribution distribution de Poisson<br />
divisor de tensión voltage divider diviseur de tension<br />
doble puerta double gate double gâchette<br />
doble puerta dual gate double gâchette<br />
dodecilsulfato de sodio sodium dodecyl sulfate dodécylsulfate de sodium<br />
donador donor donneur<br />
dopado doping dopage<br />
dopado con modulación modulation doping dopage modulé<br />
dopado de compensación compensation doping dopage de compensation<br />
dopado de tipo N N-type doping dopage de type N
Español English Français<br />
dopado de tipo P P-type doping dopage de type P<br />
dopado delta delta doping dopage delta<br />
dopado por transmutación<br />
neutrónica<br />
neutron transmutation doping dopage par transmutation<br />
neutronique<br />
dopante dopant dopant<br />
dopante anfótero amphoteric dopant dopant amphotère<br />
dopante de silicio silicon dopant dopant de silicium<br />
dopar dope (to) doper<br />
dosímetro dosimeter dosimètre<br />
dosis dose dose<br />
dosis de implantación implantation dose dose d'implantation<br />
drenador térmico thermal drain drain thermique<br />
drenaje drain drain<br />
dualidad onda-partícula wave-particle duality dualité onde-particule<br />
duplexor duplexer duplexeur<br />
duplicación replication duplication<br />
durabilidad durability durabilité<br />
dureza Vickers Vickers hardness dureté Vickers<br />
ecofagia global global ecophagy écophagie globale<br />
ecuación de continuidad continuity equation équation de continuité<br />
ecuación de Poisson Poisson equation équation de Poisson<br />
ecuación de Rayleigh Rayleigh equation équation de Rayleigh<br />
ecuación de Schrödinger Schrödinger equation équation de Schrödinger<br />
ecuación de transporte de<br />
Boltzmann<br />
Boltzmann transport equation équation du transport de<br />
Boltzmann<br />
ecualizador equalizer égaliseur<br />
efecto cero zero effect effet zéro<br />
efecto cuántico quantum effect effet quantique<br />
efecto de avalancha avalanche effect effet d'avalanche<br />
efecto de borde edge effect effet de bord<br />
efecto de campo field effect effet de champ<br />
efecto de proximidad proximity effect effet de proximité<br />
efecto electroóptico electro-optic effect effet électro-optique<br />
efecto fotoconductor photoconductive effect effet photoconductif<br />
efecto fotoeléctrico photoelectric effect effet photoélectrique<br />
efecto fotoemisor photoemissive effect effet photoémetteur<br />
efecto fotovoltaico photovoltaic effect effet photovoltaïque<br />
efecto Gunn Gunn effect effet Gunn<br />
efecto Hall Hall effect effet Hall<br />
efecto invertido backlash effet inverse<br />
efecto Kirk Kirk effect effet Kirk
Español English Français<br />
efecto piezoeléctrico piezoelectric effect effet piézoélectrique<br />
efecto Schottky Schottky effect effet de Schottky<br />
efecto sistémico systemic effect effet systémique<br />
efecto Staebler-Wronski Staebler-Wronski effect effet Staebler-Wronski<br />
efecto túnel tunnel effect effet tunnel<br />
efecto Zener Zener effect effet Zener<br />
efector terminal end effector organe terminal effecteur<br />
eficacia de conversión conversion efficiency rendement de conversion<br />
eficacia de filtrado filtration efficiency efficacité de filtration<br />
eficiencia cuántica quantum efficiency rendement quantique<br />
eje óptico optic axis axe optique<br />
eje rápido fast axis axe rapide<br />
electret electret électret<br />
electrodeposición plating électrodéposition<br />
electrodeposición plating up électrodéposition<br />
electrodo electrode électrode<br />
electrodo de puerta gate electrode électrode grille<br />
electrodo metálico en caras<br />
laterales<br />
metal-electrode leadless face<br />
bonding<br />
MELF<br />
electrogenerador de máscaras electron-beam machine électrocomposeur<br />
electrogenerador de máscaras electron-beam mask generator électrocomposeur<br />
electrogenerador de máscaras electron-beam maskmaker électrocomposeur<br />
electrogenerador de máscaras electron-beam pattern<br />
generator<br />
électrocomposeur<br />
electrolito electrolyte électrolyte<br />
electrolitografía e-beam lithography électromasquage<br />
electrolitografía electronic lithography électromasquage<br />
electroluminiscencia electroluminescence électroluminescence<br />
electroluminiscente electroluminescent électroluminescent<br />
electromigración electromigration électromigration<br />
electrón electron électron<br />
electrón Auger Auger electron électron Auger<br />
electrónica molecular molecular electronics électronique moléculaire<br />
electroóptica electro-optics électrooptique<br />
elemento element élément<br />
elemento activo active element élément actif<br />
elemento cerámico<br />
piezoeléctrico<br />
piezoelectric ceramic element céramique piézoélectrique<br />
elemento cristalino crystal element élément cristallin<br />
elemento lógico logic element élément logique<br />
elemento pasivo passive element élément passif<br />
elipsicidad ellipticity ellipticité
Español English Français<br />
elipsometría ellipsometry ellipsométrie<br />
elipsometría espectroscópica spectroscopic ellipsometry ellipsométrie spectroscopique<br />
elipsómetro ellipsometer ellipsomètre<br />
eluyente eluent éluant<br />
embutición crimping sertissage<br />
embutición riveting sertissage<br />
emisión transmission émission<br />
emisión de Poole-Frenkel Poole-Frenkel emission émission de Poole-Frenkel<br />
emisión de Schottky Schottky emission émission de Schottky<br />
emisión espontánea spontaneous emission émission spontanée<br />
emisión estimulada stimulated emission émission stimulée<br />
emisión termoiónica thermionic emission émission thermo-ionique<br />
emisor emitter émetteur<br />
emisor sender envoyeur<br />
emisor iónico ion emitter émetteur ionique<br />
emisor óptico optical emitter émetteur optique<br />
empalme de cables splice épissure<br />
emulador emulator émulateur<br />
emulador de terminal terminal emulator émulateur de terminal<br />
encabezamiento header entête<br />
encabezamiento de mensaje message header entête de message<br />
encaminador autorouter routeur<br />
encaminador router routeur<br />
encaminamiento routing routage<br />
encapsulado encapsulation encapsulation<br />
encapsulado package boîtier<br />
encapsulado packaging mise en boîtier<br />
encapsulado cerámico ceramic package boîtier céramique<br />
encapsulado cerámico con<br />
línea doble de conexiones<br />
ceramic dual in-line package boîtier céramique à double<br />
rangée de connexions<br />
encapsulado cerdip cerdip boîtier céramique à deux<br />
rangées de connexions<br />
encapsulado cerpack cerpack boîtier plat céramique avec<br />
broches sur les quatre côtés<br />
encapsulado comprimido con<br />
línea doble de conexiones<br />
encapsulado con línea<br />
cuádruple de conexiones<br />
encapsulado de conexiones<br />
cortas<br />
encapsulado de doble fila de<br />
conexiones<br />
shrink dual-in-line package boîtier serré à double rangée de<br />
connexions<br />
quad in-line package boîtier à quadruple rangée de<br />
connexions<br />
small outline package boîtier à connexions courtes<br />
dual in-line package (DIP) boîtier à double rangée de<br />
connexions
Español English Français<br />
encapsulado de matriz de<br />
patillas<br />
encapsulado de película<br />
delgada<br />
encapsulado de una sola fila<br />
de conexiones<br />
encapsulado delgado de<br />
conexiones cortas<br />
pin grid array (PGA) boîtier à matrice de broches<br />
thin-film packaging mise en boîtier à couches<br />
minces<br />
single-inline package (SIP) boîtier à simple rangée de<br />
connexions<br />
thin small outline package boîtier mince à connexions<br />
courtes<br />
encapsulado en obleas wafer-level packaging encapsulation sur tranches<br />
encapsulado en zigzag zigzag inline package boîtier zigzag<br />
encapsulado LGA land-grid array boîtier LGA<br />
encapsulado multichip multichip package boîtier multipuce<br />
encapsulado plano flat package boîtier plat<br />
endurecimiento hardening bake durcissement<br />
energía de activación activation energy énergie d'activation<br />
energía de enlace binding energy énergie de liaison<br />
energía de Fermi Fermi energy énergie de Fermi<br />
energía de implantación implantation energy énergie d'implantation<br />
energía de ionización ionization energy énergie d'ionisation<br />
enlace covalente covalent bond liaison covalente<br />
enlace homopolar homopolar bond liaison homopolaire<br />
enlace iónico ionic bond liaison ionique<br />
enlace metálico metallic bond liaison métallique<br />
enlace molecular molecular bonding liaison moléculaire<br />
ensamblado posicional positional assembly assemblage positionnel<br />
ensamblador molecular molecular assembler assembleur moléculaire<br />
ensayo assay essai<br />
ensayo de dureza de Brinell Brinell hardness test (BHT) essai de dureté Brinell<br />
entorno integrado integrated environment environnement intégré<br />
entorno interconectado interconnected environment environnement interconnecté<br />
entrega justo a tiempo just-in-time (JIT) delivery livraison juste-à-temps<br />
entrehierro air gap entrefer<br />
entrelazado multiwiring tissage<br />
entrelazado texturing tissage<br />
envejecimiento burn-in déverminage<br />
envoltura coating enrobage<br />
epifluorescencia epifluorescence épifluorescence<br />
episodio event événement<br />
epitaxia epitaxy épitaxie<br />
epitaxia de capa atómica atomic layer epitaxy (ALE) épitaxie à couche atomique<br />
epitaxia en fase de vapor vapor phase epitaxy épitaxie en phase vapeur<br />
epitaxia en fase líquida liquid-phase epitaxy épitaxie en phase liquide
Español English Français<br />
epitaxia en fase sólida solid-phase epitaxy épitaxie en phase solide<br />
epitaxia por haz molecular molecular beam epitaxy (MBE) épitaxie par jet moléculaire<br />
epitaxia selectiva selective epitaxy épitaxie sélective<br />
equilibrado balancing équilibrage<br />
equilibrio másico mass balance bilan massique<br />
equipo equipment équipement<br />
equipo activo active equipment équipement actif<br />
equipo pasivo passive equipment équipement passif<br />
ergonomía ergonomics ergonomie<br />
error error erreur<br />
error de carga loading error erreur de charge<br />
error de paso pitch error erreur de pas<br />
error lógico soft error erreur logique<br />
escala mesoscópica mesoscopic scale échelle mésoscopique<br />
escala nanométrica nanometer scale échelle nanométrique<br />
escala submicrométrica submicrometer scale échelle submicrométrique<br />
esclavo slave esclave<br />
escritura directa direct writing écriture directe<br />
esfuerzo stress effort<br />
esfuerzo a corriente constante constant-current stress effort à courant constante<br />
esfuerzo a tensión constante constant-voltage stress effort à tension constante<br />
espacio space espace<br />
espacio de diseño design space espace de tracé<br />
especificación specification spécification<br />
especificación básica basic specification spécification de base<br />
especificación de familia family specification spécification de famille<br />
especificación detallada detail specification spécification particulière<br />
especificación genérica generic specification spécification générique<br />
especificación intermedia sectional specification spécification intermédiaire<br />
especificación marco detallada blank detail specification spécification particulière cadre<br />
espectro spectrum spectre<br />
espectrometría spectrometry spectrométrie<br />
espectrometría de absorción<br />
atómica<br />
espectrometría de<br />
fluorescencia X en reflexión<br />
total<br />
atomic absorption<br />
spectrometry<br />
total reflection X-ray<br />
fluorescence spectroscopy<br />
spectrométrie d'absorption<br />
atomique<br />
spectrométrie de fluorescence X<br />
en réflexion totale<br />
espectrometría de masas mass spectroscopy spectrométrie de masse<br />
espectrómetro spectrometer spectromètre<br />
espectrorradiómetro spectroradiometer spectroradiomètre<br />
espectroscopia spectroscopy spectroscopie<br />
espectroscopia de electrones Auger electron spectroscopy spectrométrie d'électrons Auger
Auger<br />
Español English Français<br />
espectroscopia de emisión<br />
óptica<br />
espectroscopia infrarroja de<br />
transformada de Fourier<br />
optical emission spectroscopy<br />
(OES)<br />
Fourier-transform infrared<br />
spectroscopy (FTIR)<br />
espiga key butée<br />
espín spin spin<br />
spectrométrie d'émission<br />
optique<br />
spectroscopie infrarouge à<br />
transformée de Fourier<br />
espín del electrón electron spin spin de l'électron<br />
espintrónica spintronics spintronique<br />
espira turn spire<br />
espuma foam mousse<br />
esquema conceptual conceptual schema schéma conceptuel<br />
estabilidad stability stabilité<br />
estación de pruebas test station station de test<br />
estadística de Boltzmann Boltzmann statistics statistique de Boltzmann<br />
estado state état<br />
estado de bloqueo off-state état bloqué<br />
estado de bloqueo inverso reverse blocking state état bloqué en inverse<br />
estado de conducción on state état passant<br />
estado de espera standby state état d'attente<br />
estado de superficie surface state état de surface<br />
estado estable stable state état stable<br />
estado inestable unstable state état instable<br />
estado productivo productive state état productif<br />
estándar gráfico graphics mode mode graphique<br />
estanqueidad sealing étanchéité<br />
estañado tinning étamage<br />
estequiométrico stoichiometric stoechiométrique<br />
estimador estimator estimateur<br />
estímulo stimulus stimulus<br />
estructura de bloque block structure structure de bloc<br />
estructura de wurtzita wurtzite structure structure de wurtzite<br />
estructura interdigitada interdigital structure structure interdigitée<br />
estructura interdigitada interdigitated structure structure interdigitée<br />
estufación stoving étuvage<br />
etiqueta label étiquette<br />
euroconector scart connector prise péritélévision<br />
eutéctico eutectic eutectique<br />
evaporación evaporation évaporation<br />
evaporación al vacío vacuum evaporation évaporation sous vide<br />
evaporación del filamento filament evaporation vaporisation du filament
evaporación por haz<br />
electrónico<br />
Español English Français<br />
electron-beam evaporation évaporation par faisceau<br />
d'électrons<br />
evaporación térmica thermal evaporation évaporation thermique<br />
evaporador evaporator évaporateur<br />
excitación excitation excitation<br />
excitón exciton exciton<br />
exposición exposure exposition<br />
exposición permisible permissible exposure limit<br />
(PEL)<br />
exposición permisible máxima maximum permissible<br />
exposure<br />
irradiation admissible<br />
irradiation admissible maximale<br />
exposición selectiva selective exposure exposition sélective<br />
extensión del bus bus expander bus d'extension<br />
extracción extraction extraction<br />
extracción de portadores carrier extraction extraction de porteurs<br />
extracción en fase acuosa aqueous extraction extraction en phase aqueuse<br />
extraíble extractable extractible<br />
extremo de barra bar end tête de barre<br />
extrínseco extrinsic extrinsèque<br />
fábrica de silicio silicon foundry fonderie de silicium<br />
fábrica virtual virtual factory usine virtuelle<br />
fabricación asistida por<br />
ordenador<br />
computer-aided<br />
manufacturing (CAM)<br />
fabrication assistée par<br />
ordinateur<br />
fabricación de obleas wafer fabrication fabrication des tranches<br />
fabricación integrada integrated manufacturing fabrication intégrée<br />
fabricación integrada por<br />
ordenador<br />
computer-integrated<br />
manufacturing (CIM)<br />
productique<br />
fabricante de componentes component manufacturer composantier<br />
fabricante de componentes<br />
electrónicos<br />
electronic component<br />
manufacturer<br />
fabricante de equipos OEM (original equipment<br />
manufacturer)<br />
composantier<br />
équipementier<br />
fabricante de silicio silicon founder fondeur de silicium<br />
factor de alabeo warp factor facteur de gauchissement<br />
factor de atenuación de<br />
potencia<br />
factor de atenuación de<br />
tensión<br />
power-attenuation factor facteur d'affaiblissement de<br />
puissance<br />
voltage-attenuation factor facteur d'affaiblissement de<br />
tension<br />
factor de escala scaling factor facteur d'échelle<br />
factor de escala shrink factor facteur d'échelle<br />
factor de grabado etching factor facteur de gravure<br />
factor de relleno fill factor facteur de remplissage<br />
factor de transporte de base base transport factor facteur de transporte de base
Español English Français<br />
fallo fault défaut<br />
fallo de apilación stacking fault défaut d'empilement<br />
fallo de comunicación communication failure défaut de communication<br />
fallo de comunicación communication fault défaut de communication<br />
fallo precoz early failure défaillance précoce<br />
fallo precoz infant mortality failure défaillance précoce<br />
falso negativo false negative faux négatif<br />
falso positivo false positive faux positif<br />
familia family famille<br />
fasciculación fasciculation fasciculation<br />
fase phase phase<br />
fatiga estática static fatigue fatigue statique<br />
ferrimagnetismo ferrimagnetism ferrimagnétisme<br />
ferrita ferrite ferrite<br />
ferrita blanda soft ferrite ferrite doux<br />
ferrita dura hard ferrite ferrite dur<br />
ferroeléctrico ferroelectric ferroélectrique<br />
ferromagnetismo ferromagnetism ferromagnétisme<br />
férula ferrule bague<br />
fiabilidad reliability fiabilité<br />
fibra con gradiente de índice graded-index fiber fibre à gradient d'indice<br />
fibra con salto de índice step-index fiber fibre à saut d'indice<br />
fibra enroscada pigtail fibre amorce<br />
fibra monomodal monomode fiber fibre monomodale<br />
fibra monomodal single-mode fiber fibre monomodale<br />
fibra multimodal multimodal fiber fibre multimodale<br />
fibra multimodal multimode fiber fibre multimodale<br />
fibra óptica fiber optics fibre optique<br />
fibra óptica optical fiber fibre optique<br />
ficha identificativa badge carte personnelle<br />
fidelidad fidelity fidélité<br />
fijación de chip die bond fixage de puce<br />
fijador fixative fixatif<br />
fila row rangée<br />
filtro filter filtre<br />
filtro activo active filter filtre actif<br />
filtro antiparásitos noise filter filtre d'antiparasitage<br />
filtro cerámico ceramic filter filtre céramique<br />
filtro controlado por tensión voltage-controlled filter filtre commandé par tension<br />
filtro cortabanda band-stop filter filtre coupe-bande<br />
filtro de alta eficacia de las high-efficiency particulate air filtre haute efficacité pour les
Español English Français<br />
partículas del aire (HEPA) filter particules de l'air<br />
filtro de la red eléctrica mains filter filtre du réseau<br />
filtro de paso alto high-pass filter filtre passe-haut<br />
filtro de paso bajo low-pass filter filtre passe-bas<br />
filtro de rechazo de banda band-reject filter filtre à rejet de bande<br />
filtro de rectificador rectifier filter filtre de redresseur<br />
filtro electrónico electronic filter filtre électronique<br />
filtro HEPA HEPA filter filtre HEPA<br />
filtro pasabanda band-pass filter filtre à bande passante<br />
filtro pasabanda passband filter filtre passe-bande<br />
filtro pasatodo all-pass filter filtre passe-tout<br />
filtro pasivo passive filter filtre passif<br />
filtro ULPA ULPA filter filtre ULPA<br />
firma de diseño design house société de conception<br />
física cuántica quantum physics physique quantique<br />
física mesoscópica mesoscopic physics physique mésoscopique<br />
fisisorción physisorption physisorption<br />
fisura fissure fissure<br />
flexión en carga droop flexion en charge<br />
fluido supercrítico supercritical fluid fluide supercritique<br />
flujo completo full flow plein débit<br />
flujo energético energy flux flux énergétique<br />
flujómetro másico mass flow meter (MFM) débitmètre massique<br />
flúor fluorine fluor<br />
fluorescencia fluorescence fluorescence<br />
fluorescencia de rayos X X-ray fluorescence fluorescence de rayons X<br />
fluorescencia de rayos X en<br />
reflexión total<br />
total reflection X-ray<br />
fluorescence (TXRF)<br />
fluorescence de rayons X en<br />
réflexion totale<br />
fluoruro de amonio ammonium fluoride fluorure d'ammonium<br />
foco focus foyer<br />
foco lineal line focus foyer linéaire<br />
fondo background fond<br />
fonón phonon phonon<br />
formación asistida por<br />
ordenador<br />
computer-based training (CBT) formation assistée par<br />
ordinateur<br />
formación de cráteres cratering formation de cratères<br />
formación referida a criterios criterion-referenced<br />
instruction<br />
formato format format<br />
formation critérielle<br />
fosfina phosphine phosphine<br />
fosforescencia phosphorescence phosphorescence<br />
fósforo phosphor luminophore
Español English Français<br />
fósforo phosphorus phosphore<br />
fosfuro de galio gallium phosphide phosphure de gallium<br />
fosfuro de indio indium phosphide phosphure d'indium<br />
foso trench tranchée<br />
fotoacoplador photocoupler photocoupleur<br />
fotoconductor photoconductor photoconducteur<br />
fotocorriente photocurrent photocourant<br />
fotodetector photodetector photodétecteur<br />
fotodiodo photodiode photodiode<br />
fotodiodo PIN PIN photodiode photodiode PIN<br />
fotoemisor light emitter photo-émetteur<br />
fotoemulsión photoemulsion photoémulsion<br />
fotograbado photoengraving photogravure<br />
fotograbado photoetching photogravure<br />
fotolitografía photolithography photolithographie<br />
fotolitografía photomasking photomasquage<br />
fotón photon photon<br />
fotorrepetición step and repeat photorépétition<br />
fotorrepetición directa direct step on wafer photorépétition directe<br />
fotorrepetidor mask stepper photorépéteur<br />
fotorrepetidor photorepeater photorépéteur<br />
fotorrepetidor step and repeat camera photorépéteur<br />
fotorrepetidor stepper photorépéteur<br />
fotorrepetidor wafer stepper photorépéteur<br />
fotorresistencia light-dependent resistor photorésistance<br />
fotorresistor photoresistor photorésistance<br />
fototiristor photothyristor photothyristor<br />
fototransistor phototransistor phototransistor<br />
fotovoltaico photovoltaics photovoltaïque<br />
fractura fracture cassure<br />
fractura concoidea conchoidal fracture cassure conchoïdale<br />
fragmentación en<br />
microplaquetas<br />
dicing découpage en dés<br />
frecuencia frequency fréquence<br />
frecuencia central center frequency fréquence centrale<br />
frecuencia de corte cut-off frequency fréquence de coupure<br />
frecuencia de corte de base<br />
común<br />
frecuencia de corte de emisor<br />
común<br />
frecuencia de corte de<br />
transistor<br />
common-base cut-off<br />
frequency<br />
common-emitter cut-off<br />
frequency<br />
fréquence de coupure de base<br />
commune<br />
fréquence de coupure<br />
d'émetteur commun<br />
transistor cut-off frequency fréquence de coupure de<br />
transistor
Español English Français<br />
frecuencia de reloj clock frequency fréquence d'horloge<br />
frecuencia de resonancia<br />
principal<br />
frecuencia extremadamente<br />
baja<br />
main resonant frequency fréquence de résonance<br />
principale<br />
extremely low frequency (ELF) fréquence extrêmement faible<br />
frecuencia nominal rated frequency fréquence nominale<br />
fuente source source<br />
fuente de alimentación triactiristor<br />
thyristor triac power unit unité de puissance triac<br />
thyristor<br />
fuente de difusión diffusion source source de diffusion<br />
fuerza force force<br />
fuerza coercitiva coercitive force force coercitive<br />
fuerza de inserción nula zero insertion force (ZIF) force d'insertion nulle<br />
fuerza de van der Waals van der Waals force force de van der Waals<br />
fuerza electromotriz electromotive force force électromotrice<br />
fuerza electromotriz térmica thermal electromotive force force électromotrice thermique<br />
fuga leak fuite<br />
fuga leakage fuite<br />
fuga de difusión diffusion leak fuite de diffusion<br />
fuga de transistor transistor leakage fuite de transistor<br />
fuga interna internal leakage fuite interne<br />
fuga mecánica mechanical leak fuite mécanique<br />
fullereno fullerene fullerène<br />
función function fonction<br />
función booleana Boolean function fonction booléenne<br />
función de distribución de<br />
Fermi-Dirac<br />
Fermi-Dirac distribution<br />
function<br />
fonction de distribution de<br />
Fermi-Dirac<br />
funcionamiento operation fonctionnement<br />
fundente flux flux<br />
fundente de resina resin flux flux résineux<br />
fundente de resina activado activated resin flux flux résineux activé<br />
fundente de soldadura brazing flux flux de brasage<br />
fundente de soldadura soldering flux flux de brasage<br />
fusible fuse fusible<br />
galvanoplastia electroplating galvanoplastie<br />
ganancia de corriente current gain gain en courant<br />
ganancia de corriente de base<br />
común<br />
ganancia de corriente de<br />
emisor común<br />
common-base current gain gain de courant de base<br />
commune<br />
common-emitter current gain gain de courant d'émetteur<br />
commun<br />
ganancia de potencia power gain gain en puissance<br />
gas gas gaz
Español English Français<br />
gas a presión cero zero gas gaz à la pression zéro<br />
gas comprimido compressed gas gaz comprimé<br />
gas de alta toxicidad highly toxic gas gaz très toxique<br />
gas de calibración calibration gas gaz de calibration<br />
gas electrónico electron gas gaz électronique<br />
gas inerte inert gas gaz inerte<br />
gas pirofórico pyrophoric gas gaz pyrophorique<br />
gas raro rare gas gaz rare<br />
gas tóxico toxic gas gaz toxique<br />
gemelo twin jumeau<br />
generación generation génération<br />
generación de portadores carrier generation génération de porteurs<br />
generación de vectores de<br />
prueba<br />
test pattern generation génération de vecteurs de test<br />
generador generator générateur<br />
generador de caracteres character generator générateur de caractères<br />
generador de imágenes pattern generator générateur des images<br />
generador de modelos de<br />
máscaras<br />
mask pattern generator générateur de dessins de<br />
masques<br />
generador de ondas regresivas backward wave oscillator tube à ondes régressives<br />
generador óptico de máscaras optical pattern generator composeur optique<br />
geometría de circuitos circuit geometry géométrie des circuits<br />
germanio germanium germanium<br />
germaniuro germanide germaniure<br />
gestión de datos data management gestion de données<br />
gestión integrada de calidad total quality management gestion intégrée de la qualité<br />
girador gyrator gyrateur<br />
girotrón gyrotron gyrotron<br />
goniómetro goniometer goniomètre<br />
grabado etching gravure<br />
grabado al nitruro nitride etching gravure au nitrure<br />
grabado anisótropo anisotropic etching gravure anisotrope<br />
grabado de silicio silicon etching gravure de silicium<br />
grabado en húmedo wet etching gravure humide<br />
grabado en seco dry etching gravure sèche<br />
grabado físico physical etching gravure physique<br />
grabado iónico ion milling gravure ionique<br />
grabado iónico ion-beam etching gravure ionique<br />
grabado iónico ion-beam sputtering gravure ionique<br />
grabado iónico sputter etching gravure ionique<br />
grabado isótropo isotropic etching gravure isotrope
Español English Français<br />
grabado lateral lateral etching gravure latérale<br />
grabado por iones reactivos reactive ion etching (RIE) gravure par ions réactifs<br />
grabado por plasma plasma etching gravure par plasma<br />
grabado químico chemical etching gravure chimique<br />
grabado químico en húmedo wet chemical etching gravure chimique humide<br />
grabado selectivo selective etching gravure sélective<br />
grabado subyacente undercut gravure sous jacente<br />
grado de riesgo degree of hazard degré de risque<br />
grafeno graphene graphène<br />
gráfico de control control chart carte de contrôle<br />
gráfico de Gantt Gantt chart diagramme de Gantt<br />
grano grain grain<br />
grosor thickness épaisseur<br />
grosor de capa eficaz effective layer thickness épaisseur de la couche effective<br />
grupo de transporte transport group groupe de transport<br />
guía banda dieléctrica strip dielectric guide guide diélectrique ruban<br />
guía banda dieléctrica<br />
invertida<br />
inverted strip dielectric guide guide diélectrique ruban inversé<br />
guía de compras shopping cart guide d'achats<br />
guía de ondas waveguide guide d'ondes<br />
guía de ondas coplanaria coplanar waveguide guide d'ondes coplanaire<br />
guía imagen image guide guide image<br />
guía imagen aislada insulated image guide guide image isolé<br />
haz beam faisceau<br />
haz de electrones electron beam faisceau d'électrons<br />
haz de partículas particle beam faisceau de particules<br />
haz iónico ion beam faisceau d'ions<br />
haz neutro neutral beam faisceau de neutres<br />
helio helium helium<br />
hercio hertz hertz<br />
herencia inheritance héritage<br />
herramienta tool outil<br />
herramienta CASE CASE tool outil CASE<br />
herramienta de diseño design tool outil de tracé<br />
herramienta de inserciónextracción<br />
insertion-extraction tool outil d'insertion-extraction<br />
herramienta de soporte support tool outil de support<br />
heteroepitaxia heteroepitaxy hétéro-épitaxie<br />
heterointegración heterointegration hétérointegration<br />
heterounión heterojunction hétérojonction<br />
heurística heuristics heuristique
Español English Français<br />
hexaclorobutanieno hexachlorobutadiene hexachlorobutadiène<br />
hexacloroetano hexachloroethane hexachloroéthane<br />
hexafluoroetano hexafluoroethane hexafluoroéthane<br />
hexafluoruro de azufre sulfur hexafluoride hexafluorure de soufre<br />
hexafluoruro de tungsteno tungsten hexafluoride hexafluorure de tungstène<br />
hexodo hexode hexode<br />
hidrófilo hydrophilic hydrophile<br />
hidrófobo hydrophobic hydrophobe<br />
hidrógeno hydrogen hydrogène<br />
hidrorresistente water resistant hydrorésistant<br />
hidróxido de amonio ammonium hydroxide hydroxyde d'ammonium<br />
hidróxido de potasio potassium hydroxide hydroxyde de potassium<br />
hidróxido de sodio sodium hydroxide hydroxyde de sodium<br />
hierro iron fer<br />
hilo wire fil de câblage<br />
hilo cuántico quantum wire fil quantique<br />
hiperfrecuencia ultra-high frequency hyperfréquence<br />
histéresis hysteresis hystérésis<br />
histograma histogram histogramme<br />
hoja de datos de seguridad de<br />
materiales<br />
material safety data sheet<br />
(MSDS)<br />
homoepitaxia homoepitaxy homo-épitaxie<br />
homounión homojunction homojonction<br />
horneado baking étuvage<br />
horno furnace four<br />
fiche signalétique de sécurité de<br />
produit<br />
horno de convección convection oven étuve à convection<br />
horno de temperatura<br />
constante<br />
constant temperature oven four à température constante<br />
horno vertical vertical furnace four vertical<br />
hueco hole trou<br />
hueco vacancy trou<br />
hueco electrónico electron hole trou électronique<br />
huella dactilar fingerprint empreinte digitale<br />
humedad relativa relative humidity humidité relative<br />
iconoscopio iconoscope iconoscope<br />
identificador de dispositivo device identifier code d'identification de<br />
dispositif<br />
identificador de mensaje message identifier code d'identification de<br />
message<br />
identificador de objeto object identifier code d'identification d'objet<br />
iluminación de fondo claro bright-field illumination éclairage à fond clair<br />
imagen image image
Español English Français<br />
imagen latente latent image image latente<br />
imagen procesada processed image image traitée<br />
imágenes biológicas biological imaging imagerie biologique<br />
imágenes por resonancia<br />
magnética<br />
magnetic resonance imaging imagerie par résonance<br />
magnétique<br />
impedancia impedance impédance<br />
impedancia del conductor de<br />
protección<br />
impedance of protective<br />
conductor<br />
impédance du conducteur de<br />
protection<br />
implantación de baja energía low-energy implantation implantation à faible énergie<br />
implantación iónica ion implantation implantation ionique<br />
implantador implanter implanteur<br />
implantador iónico ion implanter implanteur ionique<br />
implante implant implant<br />
implante de boro boron implant implant à bore<br />
implante de despoblación depletion implant implant d'appauvrissement<br />
impregnación impregnation imprégnation<br />
impregnante impregnant imprégnant<br />
impresión en proximidad proximity printing lithographie en proximité<br />
impresión molecular molecular imprinting impression moléculaire<br />
impresión por contacto contact printing impression par contact<br />
impresión por proyección projection printing tirage par projection<br />
impulso de muestreo strobe impulsion d'échantillonnage<br />
impureza impurity impureté<br />
inclinación tilt inclinaison<br />
inclusión inclusion inclusion<br />
inclusión de galio gallium inclusion inclusion de gallium<br />
indexación location indexation<br />
índice de capacidad capability index indice de capacité<br />
índice de capacidad operativa<br />
de un proceso<br />
process capability index indice de capacité<br />
opérationnelle d'un processus<br />
índice de Miller Miller index indice de Miller<br />
índice de no linealidad de<br />
corriente<br />
índice de no linealidad de<br />
tensión<br />
non-linearity current index exposant de non-linéarité<br />
d’intensité de courant<br />
non-linearity voltage index exposant de non-linéarité de<br />
tension<br />
índice de refracción index of refraction indice de réfraction<br />
índice de refracción refractive index indice de réfraction<br />
inducción induction induction<br />
inducción magnética magnetic induction induction magnétique<br />
inductancia inductance inductance<br />
inductancia en serie<br />
equivalente<br />
equivalent series inductance inductance série équivalente
Español English Français<br />
inductancia nominal rated inductance inductance nominale<br />
inductor inductor inducteur<br />
inductor aislado insulated inductor inducteur isolé<br />
inductor compensado por<br />
corriente<br />
current-compensated inductor inductance à courant compensé<br />
inductor de la red eléctrica mains inductor inductance secteur<br />
inductor de masa earth inductor inductance de terre<br />
inductor de supresión de<br />
interferencias<br />
electromagnéticas<br />
electromagnetic interference<br />
suppression inductor<br />
inestable unstable instable<br />
inductance d'antiparasitage<br />
inflamabilidad activa active flammability inflammabilité active<br />
inflamabilidad pasiva passive flammability inflammabilité passive<br />
información information information<br />
informática basada en ADN DNA computing informatique à ADN<br />
informática molecular molecular computing informatique moléculaire<br />
informe de trazas trace report relevé des traces<br />
infraestructura infrastructure infrastructure<br />
infrarrojo en serie serial infrared infrarouge série<br />
ingeniería de banda prohibida band-gap engineering génie de bande interdite<br />
ingeniería de sistemas asistida<br />
por ordenador<br />
computer-aided systems<br />
engineering (CASE)<br />
ingeniería de software software engineering génie logiciel<br />
ingénierie des systèmes assistée<br />
par ordinateur<br />
ingeniería tisular tissue engineering ingénierie tissulaire<br />
inhalación inhalation inhalation<br />
inicialización del sistema system initialization initialisation du système<br />
inorgánico inorganic inorganique<br />
insoluble insoluble insoluble<br />
inspección visual visual examination inspection visuelle<br />
integración integration intégration<br />
integración a escala de oblea wafer-scale integration (WSI) intégration directe sur tranche<br />
integración a escala muy<br />
grande<br />
integración a escala<br />
supergrande<br />
integración a escala<br />
ultragrande<br />
very-large scale integration<br />
(VLSI)<br />
super large scale integration<br />
(SLSI)<br />
ultra-large scale integration<br />
(ULSI)<br />
intégration à très grande échelle<br />
intégration à super grande<br />
échelle<br />
intégration à ultragrande<br />
échelle<br />
integración a gran escala large-scale integration (LSI) intégration à grande échelle<br />
integración a media escala medium-scale integration<br />
(MSI)<br />
intégration à moyenne échelle<br />
integración a pequeña escala small scale integration (SSI) intégration à petite échelle<br />
integración de control control integration intégration de contrôle
Español English Français<br />
integración de datos data integration intégration de données<br />
integración monolítica monolithic integration intégration monolithique<br />
integridad integrity intégrité<br />
integridad de señal signal integrity intégrité du signal<br />
inteligencia artificial artificial intelligence intelligence artificielle<br />
intensidad intensity intensité<br />
intercambiador térmico heat exchanger échangeur thermique<br />
interconexión óptica optical interconnection interconnexion optique<br />
interfaz interface interface<br />
interfaz de programación de<br />
aplicaciones<br />
application programming<br />
interface (API)<br />
interface de programmation<br />
d'applications<br />
interfaz EMO EMO interface interface EMO<br />
interferencia interference interférence<br />
interferencia electromagnética electromagnetic interference<br />
(EMI)<br />
interférence électromagnétique<br />
interferometría láser laser interferometry interférométrie laser<br />
interferómetro interferometer interféromètre<br />
interoperatividad interoperability interopérabilité<br />
intérprete interpreter interpréteur<br />
interruptor switch interrupteur<br />
interruptor con mando no<br />
mecánico<br />
interruptor con palanca de<br />
mandos<br />
non-manually activated switch interrupteur à commande non<br />
mécanique<br />
joystick switch interrupteur à levier "manche à<br />
balai"<br />
interruptor con pulsador push-button switch interrupteur à bouton poussoir<br />
interruptor de báscula rocker switch interrupteur à bascule<br />
interruptor de deslizamiento slide switch interrupteur à glissière<br />
interruptor de llave key activated switch interrupteur à clé<br />
interruptor de matriz matrix switch interrupteur à matrice<br />
interruptor de palanca lever switch interrupteur à levier<br />
interruptor de palanca toggle switch interrupteur à levier<br />
interruptor de rueda thumbwheel edge mounted<br />
switch<br />
interrupteur à molette<br />
interruptor de rueda thumbwheel switch interrupteur à molette<br />
interruptor de teclas de piano piano key switch interrupteur à touches piano<br />
interruptor multicelular multicellular switch interrupteur multicellulaire<br />
interruptor sensible sensitive switch interrupteur sensible<br />
interruptor sensible a la<br />
presión<br />
interruptor sensible a la<br />
temperatura<br />
pressure activated switch interrupteur sensible à la<br />
pression<br />
temperature activated switch interrupteur sensible à la<br />
température<br />
interruptor unilateral de silicio silicon unilateral switch déclencheur unidirectionnel à
Español English Français<br />
silicium<br />
intersticial interstitial interstitiel<br />
intervalo de confianza confidence interval (CI) intervalle de confiance<br />
intervalo de temperaturas de<br />
almacenamiento<br />
intervalo de temperaturas de<br />
categoría<br />
storage temperature range gamme de températures de<br />
stockage<br />
category temperature range plage des températures de<br />
catégorie<br />
intrínseco intrinsic intrinsèque<br />
inventario de productos en<br />
fabricación<br />
work-in-progress (WIP)<br />
inventory<br />
inversión inversion inversion<br />
produits en cours de fabrication<br />
inversión química chemical reversal inversion chimique<br />
inversor inverter inverseur<br />
inversor reversing switch inverseur<br />
inyección de portadores carrier injection injection de porteurs<br />
ion ion ion<br />
ion de carga única single-charge ion ion d'une seule charge<br />
ionización ionization ionisation<br />
ionización por impacto impact ionization ionisation par choc<br />
irritante irritant irritant<br />
isla island îlot<br />
isócrono isochronous isochrone<br />
jack jack jack<br />
jerarquía de clases class hierarchy hiérarchie de classes<br />
jerarquía de modelos model hierarchy hiérarchie des modèles<br />
juego codificado coded set jeu codé<br />
juego de caracteres character set jeu de caractères<br />
junta hermética hermetic seal joint hermétique<br />
junta hermética waveguide gasket joint d'étanchéité<br />
junta soldada brazed joint joint brasé<br />
junta soldada soldered joint joint brasé<br />
kevlar kevlar kevlar<br />
klistrón klystron klystron<br />
laboratorio en un chip lab-on-a-chip laboratoire sur puce<br />
lado de alta presión high-pressure side côté haute pression<br />
lado de baja presión low-pressure side côté basse pression<br />
lado delantero front side surface frontale<br />
laminación lamination stratification<br />
laminación en planchas mass lamination stratification en planches<br />
laminado laminate stratifié<br />
lámpara de mercurio de baja<br />
presión<br />
low-pressure mercury lamp lampe à vapeur de mercure à<br />
basse pression
Español English Français<br />
láser laser laser<br />
láser de excímero excimer laser laser à excimère<br />
láser de semiconductor semiconductor laser laser à semi-conducteur<br />
láser por emisión superficial surface-emitting laser (SEL) laser à émission par la surface<br />
lavado rinsing rinçage<br />
lector reader lecteur<br />
lector de huellas dactilares fingerprint reader lecteur d'empreinte digitale<br />
lectura de marcas mark sensing lecture de marques<br />
LED orgánico organic LED (OLED) diode électroluminescente<br />
organique<br />
lengüeta blade languette<br />
lengüeta lug cosse<br />
lengüeta tag cosse<br />
ley de acción de masas mass action law loi d'action de masse<br />
ley de escala scaling law loi d'échelle<br />
ley de Fick Fick’s law loi de Fick<br />
ley de Henry Henry law loi d'Henri<br />
ley de Moore Moore's law loi de Moore<br />
limitador limiter écrêteur<br />
limitador de picos peak limiter écrêteur<br />
límite de control control limit limite de contrôle<br />
límite de detección mínima minimum detection limit<br />
(MDL)<br />
limite de détection<br />
límite de especificación specification limit limite de spécification<br />
límite de exposición a corto<br />
plazo<br />
short-term exposure limit<br />
(STEL)<br />
limite d'exposition à court<br />
terme<br />
límite de grano grain boundary joint de grain<br />
limpiador de obleas wafer scrubber machine de nettoyage des<br />
tranches<br />
limpieza cleaning dégraissage<br />
limpieza con aerosol aerosol cleaning nettoyage à l'aérosol<br />
limpieza en húmedo wet cleaning nettoyage en humide<br />
limpieza en seco dry cleaning nettoyage à sec<br />
limpieza por inmersión immersion cleaning nettoyage par immersion<br />
limpieza por pulverización spray cleaning nettoyage au pistolet<br />
limpieza superficial surface cleaning dépoussiérage<br />
limpio clean propre<br />
línea central center line ligne centrale<br />
línea central central line ligne centrale<br />
línea coaxial coaxial line ligne coaxiale<br />
línea con derivación creepage distance ligne de fuite<br />
línea de aletas fin line ligne à ailettes
Español English Français<br />
línea de banda stripline ligne ruban<br />
línea de cinta coplanaria coplanar strip ligne à ruban coplanaire<br />
línea de corte scribe line chemin de découpe<br />
línea de deslizamiento slip line ligne de glissement<br />
línea de exploración scan path chemin de test<br />
línea de fractura scribe lane ligne de cassure<br />
línea de interconexiones conductor path ligne d'interconnexions<br />
línea de interconexiones interconnection path ligne d'interconnexions<br />
línea de muesca slotline ligne à encoche<br />
línea de retardo delay line ligne à retard<br />
línea de retardo activo active delay line ligne à retard active<br />
línea de retardo acústico acoustic delay line ligne à retard acoustique<br />
línea de retardo de constantes<br />
distribuidas<br />
línea de retardo de constantes<br />
localizadas<br />
distributed constant delay line ligne à retard à constantes<br />
réparties<br />
lumped constant delay line ligne à retard à constantes<br />
localisées<br />
línea de retardo pasivo passive delay line ligne à retard passive<br />
línea de separación kerf chemin de découpe<br />
línea espectral spectral line raie spectrale<br />
línea G G line ligne G<br />
línea I I line ligne I<br />
línea microbanda microstrip ligne microbande<br />
línea programable TTL TTL programmable line ligne programmable TTL<br />
línea sagital sagittal line ligne sagittale<br />
línea triplaca triplate line ligne triplaque<br />
linealidad linearity linéarité<br />
lingote boule lingot<br />
lingote ingot lingot<br />
lingote rob lingot<br />
líquido combustible combustible liquid liquide combustible<br />
líquido criogénico cryogenic liquid liquide cryogénique<br />
líquido inflamable flammable liquid liquide inflammable<br />
lista list liste<br />
lista de interconexiones netlist liste d'interconnexions<br />
litografía lithography lithographie<br />
litografía blanda soft lithography lithographie molle<br />
litografía computacional computational lithography lithographie computationnelle<br />
litografía con máscara masked lithography lithographie à masque<br />
litografía de haz iónico ion-beam lithography lithographie à faisceau d'ions<br />
litografía de línea G G-line lithography lithographie de ligne G<br />
litografía de línea I I-line lithography lithographie de ligne I
Español English Français<br />
litografía de proyección<br />
electrónica<br />
electron-beam projection<br />
lithography<br />
lithographie par projection de<br />
faisceau d’électrons<br />
litografía láser laser lithography lithographie au laser<br />
litografía óptica optical lithography lithographie optique<br />
litografía por haz de electrones electron-beam lithography lithographie par faisceau<br />
d'électrons<br />
litografía por haz iónico<br />
focalizado<br />
focused ion-beam lithography lithographie par faisceau d’ions<br />
focalisé<br />
litografía por inmersión immersion lithography lithographie par immersion<br />
litografía por nanoimpresión nanoimprinting lithography lithographie par nanoimpression<br />
litografía por proyección de<br />
haces de electrones<br />
litografía por proyección de<br />
iones<br />
electron projection lithography<br />
(EPL)<br />
litografía por proyección iónica ion-beam projection<br />
lithography<br />
lithographie par projection de<br />
faisceau d'électrons<br />
ion-projection lithography lithographie par projection<br />
d'ions<br />
lithographie par projection<br />
d’ions<br />
litografía por rayos X X-ray lithography lithographie par rayons X<br />
litografía sin máscara maskless lithography lithographie sans masque<br />
litografía ultravioleta extrema extreme-ultraviolet<br />
lithography<br />
lithographie par ultraviolets<br />
extrêmes<br />
lluvia de electrones electron shower gerbe d'électrons<br />
lógica logics logique<br />
lógica borrosa fuzzy logic logique floue<br />
lógica cableada hardwired logic logique câblée<br />
lógica de emisores acoplados emitter-coupled logic logique à couplage par<br />
émetteurs<br />
lógica de transistores con<br />
acoplamiento directo<br />
direct coupled transistor logic logique à transistors à couplage<br />
direct<br />
lógica de transistores mixtos merged transistor logic logique à transistors mêlés<br />
lógica diodo transistor diode transistor logic logique à diodes et transistors<br />
lógica integrada de inyección integrated injection logic logique intégrée à injection<br />
lógica programable programmable logic logique programmable<br />
lógica Schottky Schottky logic logique Schottky<br />
lógica transistor-transistor transistor-transistor logic (TTL) logique tout à transistor<br />
lógica transistor-transistor<br />
Schottky<br />
lógica transistor-transistor<br />
Schottky<br />
lógica transistor-transistor<br />
Schottky de bajo consumo<br />
lógica transistor-transistor<br />
Schottky de bajo consumo<br />
Schottky transistor-transistor<br />
logic<br />
logique transistor-transistor<br />
Schottky<br />
Schottky TTL logique transistor-transistor<br />
Schottky<br />
low-power Schottky transistortransistor<br />
logic<br />
transistor-transistor logic low<br />
power Schottky<br />
logique transistor-transistor<br />
Schottky à faible consommation<br />
logique transistor-transistor<br />
Schottky à faible consommation<br />
longitud de base base length longueur de la base
Español English Français<br />
longitud de bloque block length longueur de bloc<br />
longitud de canal channel length longueur du canal<br />
longitud de cavidad cavity length longueur de cavité<br />
longitud de Debye Debye length longueur de Debye<br />
longitud de difusión diffusion length longueur de diffusion<br />
longitud de puerta gate length longueur de grille<br />
lote batch lot de tranches<br />
lote lot lot<br />
luminancia luminance luminance<br />
luz polarizada polarized light lumière polarisée<br />
macroinstrucción macroinstruction macroinstruction<br />
macroscópico macroscopic macroscopique<br />
maestro master maître<br />
magnetorresistencia gigante giant magnetoresistance magnétorésistance géante<br />
magnetostricción magnetostriction magnétostriction<br />
magnetrón magnetron magnétron<br />
mancha stain tache<br />
manguito de estanqueidad seal cap manchon d'étanchéité<br />
mantenibilidad maintainability maintenabilité<br />
mantenibilidad del equipo equipment maintainability maintenabilité du matériel<br />
mantenimiento maintenance maintenance<br />
máquina de cableado bonder machine de câblage<br />
máquina molecular molecular machine machine moléculaire<br />
mar de puertas sea of gates mer de portes<br />
marca legend marquage<br />
marca de alineación alignment mark repère d'alignement<br />
marca de referencia benchmark repère<br />
marcado alfanumérico alphanumeric marking étiquetage alphanumérique<br />
marcado con láser laser marking marquage au laser<br />
marcado con tinta ink marking marquage à l'encre<br />
marcado con tinta inking marquage à l'encre<br />
marcador fluorescente fluorescent marker marqueur fluorescent<br />
masa mass masse<br />
masa eficaz effective mass masse effective<br />
masa eficaz del electrón electron effective mass masse effective de l'électron<br />
máscara mask masque<br />
máscara bimetal bimetal mask masque bimétal<br />
máscara de contacto contact mask masque de contact<br />
máscara de difusión active area mask masque de diffusion<br />
máscara de difusión diffusion mask masque de diffusion<br />
máscara de difusión thinox mask masque de diffusion
Español English Français<br />
máscara de fotoemulsión photoemulsion mask masque de photoémulsion<br />
máscara de fotolitografía photolithography mask masque de photolithographie<br />
máscara de grabado etching mask masque de gravure<br />
máscara de litografía de rayos<br />
X<br />
X-ray lithography mask masque de lithographie par<br />
rayons X<br />
máscara de metal grabado etched metal mask masque de métal gravé<br />
máscara de oxidación oxidation mask masque d'oxydation<br />
máscara de polaridad inversa reverse polarity mask masque à polarité inverse<br />
máscara de rayos X X-ray mask masque à rayons X<br />
máscara de retícula reticle mask masque de réticule<br />
máscara de trabajo working mask masque de travail<br />
máscara de trabajo working plate masque de travail<br />
máscara DUV DUV masks masque DUV<br />
máscara maestra master mask masque maître<br />
máscara mecánica mechanical mask masque mécanique<br />
máscara negativa negative mask masque négatif<br />
máscara perforada shadow mask masque perforé<br />
máscara positiva positive mask masque positif<br />
máscara trimetal trimetal mask masque trimétal<br />
material amorfo amorphous material produit amorphe<br />
material de alta toxicidad highly toxic material matière très toxique<br />
material de base base material matériau de base<br />
material de base metalizado metal-clad base material matériau de base métallisé<br />
material monocristalino single-crystal material matériel monocristallin<br />
material nanocompuesto nanocomposite material matériau nanocomposite<br />
material nanoestructurado nanostructured material matériau nanostructuré<br />
material nanofásico nanophase material matériau nanophasé<br />
material nanoporoso nanoporous material matériau nanoporeux<br />
material opaco opaque material matériel opaque<br />
material peligroso hazardous material matière dangereuse<br />
material pirofórico pyrophoric material pyrophore<br />
material policristalino polycrystalline material matériel polycristallin<br />
material protocristalino protocrystalline material matériel protocristallin<br />
material tóxico toxic material matériel toxique<br />
matriz array matrice<br />
matriz matrix matrice<br />
matriz de puntos dot matrix matrice de points<br />
matriz de rejilla de bolas ball grid array (BGA) boîtier à billes<br />
matriz lógica programable programmable-logic array<br />
(PLA)<br />
réseau logique programmable<br />
mecanismo de avería failure mechanism mécanisme de défaillance
Español English Français<br />
mecanizado químico chemical milling usinage chimique<br />
mecanoquímica mechanochemistry mécanochimie<br />
mecanosíntesis mechanosynthesis mécanosynthèse<br />
media mean moyenne<br />
mediana median médian<br />
medida de corriente-tensión current-voltage measurement mesure de courant-tension<br />
medidor de pruebas tester testeur<br />
medio dispersivo dispersive medium milieu dispersif<br />
megacelda megacell mégacellule<br />
megaocteto megabyte mégaoctet<br />
memoria memory mémoire<br />
memoria auxiliar auxiliary memory mémoire auxiliaire<br />
memoria de acceso aleatorio RAM mémoire vive<br />
memoria de acceso aleatorio random access memory (RAM) mémoire vive<br />
memoria de acceso aleatorio<br />
dinámica<br />
dynamic random-access<br />
memory (DRAM)<br />
mémoire vive dynamique<br />
memoria de acceso directo direct access memory mémoire à accès direct<br />
memoria de acceso secuencial serial access memory mémoire à accès séquentiel<br />
memoria de almacenamiento<br />
masivo<br />
mass storage mémoire de masse<br />
memoria de burbujas magnetic bubble memory mémoire à bulles<br />
memoria de discos magnéticos magnetic disk storage mémoire à disques magnétiques<br />
memoria de lectura y escritura read-write memory mémoire vive<br />
memoria de <strong>semiconductores</strong> semiconductor storage mémoire à semi-conducteur<br />
memoria de sólo lectura read-only memory (ROM) mémoire morte<br />
memoria de sólo lectura<br />
programable y borrable<br />
eléctricamente<br />
electrically erasable and<br />
programmable read only<br />
memory (EEPROM)<br />
mémoire morte programmable<br />
et effaçable électriquement<br />
memoria de tambor magnético magnetic drum memory mémoire à tambour<br />
memoria de tarjeta de crédito credit card memory mémoire de carte à crédit<br />
memoria de tarjetas<br />
magnéticas<br />
memoria direccionable por el<br />
contenido<br />
magnetic card storage mémoire à cartes magnétiques<br />
content addressable memory mémoire adressable par le<br />
contenu<br />
memoria estática static random-access memory<br />
(SRAM)<br />
mémoire statique<br />
memoria flash flash memory mémoire flash<br />
memoria gráfica graphics memory mémoire graphique<br />
memoria no volátil non-volatile memory mémoire non volatile<br />
memoria seudoestática pseudo-static memory mémoire pseudostatique<br />
memoria tampón buffer mémoire tampon<br />
memoria virtual virtual memory mémoire virtuelle
Español English Français<br />
memoria viva de doble acceso dual port random-access<br />
memory<br />
mémoire vive à double accès<br />
memoria volátil volatile memory mémoire volatile<br />
memorizador de cuadro frame grabber mémoriseur de trame<br />
mensaje message message<br />
mensaje abierto open message message ouvert<br />
mensaje secundario secondary message message secondaire<br />
menú menu menu<br />
mesoscópico mesoscopic mésoscopique<br />
metal metal métal<br />
metal alcalino alkali metal métal alcalin<br />
metal alcalinotérreo alkaline-earth metal métal alcalino-terreux<br />
metal de barrera barrier metal métal barrière<br />
metal de transición transition metal métal de transition<br />
metalización metallization métallisation<br />
metalización de película<br />
gruesa<br />
thick-film metallization métallisation de couche épaisse<br />
metanol methanol méthanol<br />
metiletilcetona methyl ethyl ketone méthyl éthyl cétone<br />
método method méthode<br />
método aséptico aseptic method méthode aseptique<br />
método de control control method méthode de contrôle<br />
método de prueba test method méthode d'essai<br />
método de Van der Pauw Van der Pauw method méthode de Van der Pauw<br />
método del peor caso posible worst case analysis méthode du pire cas<br />
metodología de calificación qualification methodology méthodologie de qualification<br />
metodología de diseño design methodology méthodologie de conception<br />
metodología de diseño<br />
jerárquico<br />
hierarchical design<br />
methodology<br />
métrica metrics métrique<br />
méthodologie de concept<br />
hiérarchique<br />
métrica de diseño design metrics métrique de conception<br />
metrología metrology métrologie<br />
mezcla de hidrógeno y<br />
nitrógeno<br />
forming gas mélange hydrogène-azote<br />
mezclador mixer mélangeur<br />
micra micron micromètre<br />
microambiente microenvironment microenvironnement<br />
microchip microchip micropuce<br />
microcontrolador microcontroller microcontrôleur<br />
microcontrolador programable<br />
por el usuario<br />
field-programmable<br />
microcontroller<br />
microcurvatura bending microcourbure<br />
microcontrôleur programmable<br />
sur site
Español English Français<br />
microdefecto de superficie surface micro-defect micro-défaut de surface<br />
microestructura microstructure microstructure<br />
microestructura híbrida hybrid microcircuit microstructure hybride<br />
microfabricación por láser laser microsurgery micro-usinage au laser<br />
microfisura microcrack microfissure<br />
micrófono electrostático capacitor microphone microphone électrostatique<br />
microinterruptor microswitch microinterrupteur<br />
micrómetro micrometer micromètre<br />
micrómetro objeto stage micrometer micromètre-objet<br />
micromódulo micromodule micromodule<br />
microonda microwave micro onde<br />
microprocesador microprocessor microprocesseur<br />
microprocesador en láminas bit-slice microprocessor microprocesseur en tranches<br />
microprocesador monochip single-chip microprocessor microprocesseur monopuce<br />
microprocesador multichip multichip microprocessor microprocesseur multipuce<br />
microrrugosidad microroughness microrugosité<br />
microscopía microscopy microscopie<br />
microscopía de barrido por<br />
efecto túnel<br />
microscopia de fuerza atómica atomic force microscopy<br />
(AFM)<br />
microscopía de fuerza<br />
magnética<br />
microscopía de sonda de<br />
barrido<br />
microscopía electrónica de<br />
barrido<br />
microscopía óptica de barrido<br />
en campo cercano<br />
scanning tunneling microscopy microscopie à effet tunnel<br />
microscopie à force atomique<br />
magnetic force microscopy microscopie à force magnétique<br />
scanning probe microscopy microscopie en champ proche<br />
scanning electron microscopy<br />
(SEM)<br />
scanning near-field optical<br />
microscopy<br />
microscopio microscope microscope<br />
microscopio de barrido con<br />
efecto túnel<br />
microscopio de fuerza<br />
magnética<br />
microscopio de sonda de<br />
barrido<br />
microscopio electrónico de<br />
barrido<br />
microscopio óptico de barrido<br />
en campo cercano<br />
microscopie électronique à<br />
balayage<br />
microscopie optique en champ<br />
proche<br />
scanning tunneling microscope microscope à effet tunnel<br />
magnetic force microscope microscope à force magnétique<br />
scanning probe microscope microscope en champ proche<br />
scanning electron microscope<br />
(SEM)<br />
scanning near-field optical<br />
microscope<br />
microscope électronique à<br />
balayage<br />
microsegundo microsecond microseconde<br />
microscope optique en champ<br />
proche<br />
microsoldador wire bonder microsoudeuse<br />
microsoldador de chips die bonder microsoudeuse de puces
Español English Français<br />
migración metalúrgica metal migration migration métallurgique<br />
migración molecular molecular migration migration moléculaire<br />
miligauss milligauss milligauss<br />
minientorno minienvironment minienvironnement<br />
minientorno activo active minienvironment mini-environnement actif<br />
minientorno integrado integrated minienvironment minienvironnement intégré<br />
MIPS (millón de instrucciones<br />
por segundo)<br />
MIPS (million instructions per<br />
second)<br />
miscible miscible miscible<br />
MIPS (million d'instructions par<br />
seconde)<br />
modelado de contornos patterning modelage des contours<br />
modelado de contornos doble double patterning double modelage de contours<br />
modelización modeling modélisation<br />
modelo model modèle<br />
modelo analítico analytical model modèle analytique<br />
modelo conceptual conceptual model modèle conceptuel<br />
modelo de datos data model modèle de données<br />
modelo de Deal-Grove Deal-Grove model modèle de Deal-Grove<br />
modelo de Ebers-Moll Ebers-Moll model modèle d'Ebers-Moll<br />
modelo de evolución de la<br />
capacidad<br />
capability maturity model<br />
(CMM)<br />
modèle d'évolution des<br />
capacités<br />
modelo de fallo fault model modèle de défaut<br />
modelo de Gummel-Poon Gummel-Poon model modèle de Gummel-Poon<br />
modelo de información information model modèle d'information<br />
modelo de rendimiento yield model modèle de rendement<br />
módem modem modem<br />
modo mode mode<br />
modo de fallos failure mode mode de défaillance<br />
modo de fuga leaky mode mode à fuite<br />
modo de propagación propagation mode mode de propagation<br />
modulación de dopado doping modulation modulation de dopage<br />
modulación en amplitud amplitude modulation modulation d'amplitude<br />
modulación en frecuencia frequency modulation modulation de fréquence<br />
modulación por impulsos<br />
codificados<br />
pulse-code modulation (PCM) modulation par impulsion et<br />
codage<br />
modulador modulator modulateur<br />
modularidad modularity modularité<br />
módulo module module<br />
módulo de conexiones terminal-junction system barrette de raccordement<br />
módulo de transporte transport module module de transport<br />
módulo multichip multichip module module multipuce<br />
módulo SIMM single in-line memory module module SIMM<br />
mojabilidad wettability mouillabilité
Español English Français<br />
mojado wetting mouillage<br />
moldeo por transferencia transfer molding moulage par transfert<br />
monedero electrónico wallet porte-monnaie électronique<br />
monitor monitor moniteur<br />
monocristal monocrystal monocristal<br />
monocristal single-crystal monocristal<br />
monóxido de carbono carbon monoxide monoxyde de carbone<br />
montaje assembling montage<br />
montaje assembly montage<br />
montaje de chip invertido flip-chip assembly montage puce retournée<br />
montaje directo de chips chip-on-board (COB) montage direct des puces<br />
montaje en superficie surface mounting montage en surface<br />
montaje flotante float mounting montage flottant<br />
montaje frontal front mounting montage avant<br />
montaje trasero back mounting montage arrière<br />
montaje trasero rear mounting montage arrière<br />
morfología morphology morphologie<br />
MOS complementario complementary MOS (CMOS) MOS complémentaire<br />
MOS de alta velocidad high-speed MOS MOS à haute vitesse<br />
MOS de canal N N-channel MOS (NMOS) MOS à canal N<br />
MOS de canal P P-channel MOS MOS à canal P<br />
MOS de doble difusión double diffused MOS MOS à double diffusion<br />
motivo pattern motif<br />
motor molecular molecular motor moteur moléculaire<br />
movilidad mobility mobilité<br />
movilidad de deriva drift mobility mobilité de dérive<br />
movilidad de los electrones electron mobility mobilité des électrons<br />
movilidad de los huecos hole mobility mobilité des trous<br />
movimiento browniano Brownian motion mouvement brownien<br />
muesca de guía polarizing slot repère détrompeur<br />
muestra sample échantillonnage<br />
muestra de prueba test sample éprouvette<br />
muestreador sampler échantillonneur<br />
muestrear sample (to) échantillonner<br />
muestreo sampling échantillonnage<br />
muestreo strobing échantillonnage<br />
multiplexado por división de<br />
frecuencia<br />
multiplexado por división de<br />
tiempo<br />
frequency-division<br />
multiplexing<br />
time-division multiplexing<br />
(TDM)<br />
multiplexage par répartition en<br />
fréquence<br />
multiplexage temporel<br />
multiplexión multiplexing multiplexage
Español English Français<br />
multiplexor multiplexer multiplexeur<br />
multiplexor multiplexor multiplexeur<br />
multiprocesador multiprocessor multiprocesseur<br />
multivibrador multivibrator multivibrateur<br />
mutagenicidad mutagenicity mutagénicité<br />
mutágeno mutagen mutagène<br />
nanoagregado nanocluster nanoagrégat<br />
nanobiología nanobiology nanobiologie<br />
nanobiotecnología nanobiotechnology nanobiotechnologie<br />
nanobot nanorobot nanorobot<br />
nanobótica nanorobotics nanorobotique<br />
nanocapa nanolayer nanocouche<br />
nanociencia nanoscience nanoscience<br />
nanocódigo de barras nanobarcode nanocode à barres<br />
nanocoloide nanocolloid nanocolloïde<br />
nanocristal nanocrystal nanocristal<br />
nanocristal fluorescente fluorescent nanocrystal nanocristal fluorescent<br />
nanodispositivo nanodevice nanodispositif<br />
nanoelectrónica nanoelectronics nanoélectronique<br />
nanoengranaje nanogear nanoengrenage<br />
nanoesfera de oro gold nanoshell nanobille d’or<br />
nanoestructura nanostucture nanostructure<br />
nanofábrica nanofactory nanousine<br />
nanofabricación nanofabrication nanofabrication<br />
nanogramo nanogram nanogramme<br />
nanoheteroepitaxia nanoheteroepitaxy nanohétéroépitaxie<br />
nanohílo nanowire nanofil<br />
nanohílo de silicio silicon nanowire nanofil de silicium<br />
nanolitografía nanolithography nanolithographie<br />
nanomáquina nanomachine nanomachine<br />
nanomaterial nanomaterial nanomatériau<br />
nanomecánica nanomechanics nanomécanique<br />
nanomedicina nanomedicine nanomédecine<br />
nanométrico nanoscale nanométrique<br />
nanómetro nanometer nanomètre<br />
nanometrología nanometrology nanométrologie<br />
nanomotor nanomotor nanomoteur<br />
nanomundo nanoworld nanomonde<br />
nanopartícula nanoparticle nanoparticule<br />
nanopartícula de oro gold nanoparticle nanoparticule d’or<br />
nanopolvo nanopowder nanopoudre
Español English Français<br />
nanopunto nanodot nanopoint<br />
nanosegundo nanosecond nanoseconde<br />
nanosensor nanosensor nanocapteur<br />
nanosistema electromecánico nanoelectromechanical system nanosystème électromécanique<br />
nanotecnología nanotechnology nanotechnologie<br />
nanotecnología convencional conventional nanotechnology nanotechnologie<br />
conventionnelle<br />
nanotecnología molecular molecular nanotechnology nanotechnologie moléculaire<br />
nanotecnólogo nanotechnologist nanotechnologue<br />
nanotransistor nanotransistor nanotransistor<br />
nanotubo nanotube nanotube<br />
nanotubo de carbono carbon nanotube nanotube de carbone<br />
nanotubo de carbono de pared<br />
múltiple<br />
nanotubo de carbono de pared<br />
simple<br />
multiwalled carbon nanotube nanotube de carbone multiparoi<br />
single-walled carbon nanotube nanotube de carbone<br />
monoparoi<br />
nanovidrio nanoglass nanoverre<br />
navegador browser navigateur<br />
nebulosidad nebulosity nébulosité<br />
niebla salina salt mist brouillard salin<br />
niebla salina salt spray brouillard salin<br />
nitidez sharpness netteté<br />
nitrógeno nitrogen azote<br />
nitruración nitridation nitruration<br />
nitruro de galio gallium nitride nitrure de gallium<br />
nitruro de silicio silicon nitride nitrure de silicium<br />
nitruro de titanio titanium nitride nitrure de titane<br />
nivel de calidad aceptable acceptable quality level (AQL) niveau de qualité acceptable<br />
nivel de evaluación level of evaluation niveau d'évaluation<br />
nivel de Fermi Fermi level niveau de Fermi<br />
nivel de Fermi intrínseco intrinsic Fermi level niveau de Fermi intrinsèque<br />
nivel de interconexión conduction layer niveau d'interconnexion<br />
nivel de máscara masking level niveau de masque<br />
nivel de ruido noise level niveau de bruit<br />
nivel de vacío vacuum level niveau de vide<br />
nivel profundo deep level niveau profond<br />
no inflamable nonflammable non inflammable<br />
no isótropo non-isotropic non isotrope<br />
no linealidad non-linearity non-linéarité<br />
no mojado non-wetting non mouillage<br />
no retorno a cero non-return to zero (NRZ) non-retour à zéro<br />
no uniformidad non-uniformity non-uniformité
Español English Français<br />
nodo node nœud<br />
nodo principal parent node nœud parent<br />
nódulo nodule nodule<br />
nombre genérico generic name nom générique<br />
norma de procedimiento rule of procedure règle de procédure<br />
normalización standardization normalisation<br />
notación cristalográfica crystallographic notation notation cristallographique<br />
nube cloud nuage<br />
nucleación nucleation nucléation<br />
núcleo core cœur<br />
núcleo arrollado strip wound core noyau enroulé<br />
núcleo en polvo magnetic powder core noyau en poudre<br />
núcleo laminado laminated magnetic core noyau feuilleté<br />
núcleo magnético magnetic core noyau magnétique<br />
nudo knot nœud<br />
número atómico atomic number numéro atomique<br />
número de bloque block number numéro de bloc<br />
número de espiras number of turns nombre de spires<br />
número de muestreo sampling number numéro d'échantillonnage<br />
número de nodo node number numéro de nœud<br />
objetivo objective objectif<br />
objetivo de rendimiento performance objective objectif de performance<br />
objeto object objet<br />
objeto de agregación aggregation object objet d'agrégation<br />
objeto nanométrico nano-object nano-objet<br />
oblea wafer tranche<br />
observabilidad observability observabilité<br />
ocular eyepiece oculaire<br />
ocular ocular oculaire<br />
ojal eyelet œillet<br />
onda wave onde<br />
onda electromagnética electromagnetic wave onde électromagnétique<br />
onda herciana Hertzian wave onde hertzienne<br />
onda portadora carrier wave onde porteuse<br />
onda radioeléctrica radio wave onde radioélectrique<br />
ondulación ripple suroscillation<br />
ondulación waviness ondulation<br />
operación con valor añadido value-added operation opération à valeur ajoutée<br />
operador operator opérateur<br />
operativo enabled opérationnel<br />
optimización optimization optimisation
Español English Français<br />
optoacoplador optocoupler optocoupleur<br />
optoelectrónica optoelectronics optoélectronique<br />
orden command commande<br />
orden de modificación técnica engineering change order<br />
(ECO)<br />
avis de modification technique<br />
ordenador basado en ADN DNA computer ordinateur à ADN<br />
ordenador molecular molecular computer ordinateur moléculaire<br />
orientación orientation orientation<br />
orientación de superficie surface orientation orientation de surface<br />
orientación global global orientation orientation globale<br />
orientación horizontal horizontal orientation orientation horizontale<br />
orientación vertical vertical orientation orientation verticale<br />
orientado a objetos object-oriented orienté objet<br />
orientado por los datos data-driven guidé par les données<br />
orificio de interconexión via trou d'interconnexion<br />
orificio de interconexión via hole trou d'interconnexion<br />
orificio de posicionamiento registration hole trou de positionnement<br />
origen origin origine<br />
oro coloidal colloidal gold or colloïdal<br />
oro nanocoloidal nanocolloidal gold or nanocolloïdal<br />
ortogonalidad orthogonality orthogonalité<br />
oscilación oscillation oscillation<br />
oscilador oscillator oscillateur<br />
oscilador controlado por<br />
tensión<br />
voltage-controlled oscillator oscillateur commandé par<br />
tension<br />
oscilador de baja frecuencia low-frequency oscillator (LFO) oscillateur basse fréquence<br />
oscilador de cuarzo con<br />
temperatura regulada<br />
oscilador de cuarzo controlado<br />
por tensión<br />
oscilador de cuarzo simple<br />
encapsulado<br />
oven controlled crystal<br />
oscillator<br />
voltage-controlled crystal<br />
oscillator<br />
simple packaged crystal<br />
oscillator<br />
oscillateur à quartz à enceinte à<br />
température régulée<br />
oscillateur à quartz commandé<br />
par une tension<br />
oscillateur à quartz simple en<br />
boîtier<br />
oscilador de relajación relaxation oscillator oscillateur à relaxation<br />
osciloscopio oscilloscope oscilloscope<br />
ósmosis inversa reverse osmosis (RO) osmose inverse<br />
oxicloruro de fósforo phosphorus oxychloride oxychlorure de phosphore<br />
oxidación oxidation oxydation<br />
oxidación a baja presión low-pressure oxidation oxydation basse pression<br />
oxidación anódica anodic oxidation oxydation anodique<br />
oxidación básica basic oxidation oxydation basique<br />
oxidación en húmedo wet oxidation oxydation humide<br />
oxidación seca dry oxidation oxydation sèche
Español English Français<br />
oxidación térmica thermal oxidation oxydation thermique<br />
óxido oxide oxyde<br />
óxido de aluminio aluminum oxide oxyde d'aluminium<br />
óxido de cinc zinc oxide oxyde de zinc<br />
óxido de circonio zirconium oxide oxyde de zirconium<br />
óxido de gadolinio gadolinia oxyde de gadolinium<br />
óxido de gadolinio gadolinium oxide oxyde de gadolinium<br />
óxido de hafnio hafnium oxide oxyde d'hafnium<br />
óxido de puerta gate oxide oxyde de grille<br />
óxido de titanio titanium oxide oxyde de titane<br />
oxido dopado con carbono carbon doped oxide oxyde dopé au carbone<br />
óxido nítrico nitric oxide oxyde nitrique<br />
óxido nitroso nitrous oxide oxyde nitreux<br />
óxido químico chemical oxide oxyde chimique<br />
óxido térmico thermal oxide oxyde thermique<br />
óxidos de nitrógeno oxides of nitrogen oxydes de l'azote<br />
oxígeno oxygen oxygène<br />
ozono ozone ozone<br />
palabra clave key word mot clé<br />
panel panel flan<br />
panel de plasma gas panel panneau plasma<br />
panel de plasma plasma panel panneau plasma<br />
panel posterior back panel fond de panier<br />
panel posterior backplane fond de panier<br />
pantalla de nanotubos de<br />
carbono<br />
carbon nanotube display écran à nanotubes de carbone<br />
pantalla de plasma gas-plasma display écran à plasma<br />
pantalla electroluminiscente electroluminescent display écran à électroluminescence<br />
pantalla serigráfica silkscreen écran sérigraphique<br />
paquete packet paquet<br />
par de conectores connector mated set couple de connecteurs<br />
par de Frenkel Frenkel pair paire de Frenkel<br />
par electrón-hueco electron-hole pair paire électron-trou<br />
parada automática automatic shutoff arrêt automatique<br />
parámetro sensible a la<br />
temperatura<br />
temperature-sensitive<br />
parameter<br />
parásito parasitic passif<br />
paramètre sensible à la<br />
température<br />
partición partitioning partitionnement<br />
partícula particle particule<br />
partícula ultrafina ultrafine particle particule ultrafine<br />
partículas por oblea particles per wafer particules par tranche
Español English Français<br />
pasador automático de<br />
circuitos<br />
chip handler passeur automatique de circuits<br />
pasahilo grommet passe fil<br />
pasarela gateway passerelle<br />
pascal pascal pascal<br />
pasivación passivation passivation<br />
pasivación de superficie surface passivation passivation de surface<br />
paso lay pas<br />
paso pitch pas<br />
pasta de soldadura soldering paste crème à braser<br />
pastilla land pastille<br />
pastilla pastille pastille<br />
patilla pin broche de raccordement<br />
patilla de conexión lead broche de raccordement<br />
patilla de conexión package pin broche de raccordement<br />
patilla de guía centering pin doigt de guidage<br />
patilla de guía guide pin doigt de guidage<br />
patrón de transferencia transfer standard étalon de transfert<br />
película film film<br />
película pellicle pellicule<br />
película adhesiva film adhesive film adhésif<br />
película de Langmuir-Blodgett Langmuir-Blodgett film film de Langmuir-Blodgett<br />
película delgada thin film film mince<br />
película epitaxial epitaxial film couche épitaxiale<br />
película fina dieléctrica dielectric thin film film mince diélectrique<br />
película gruesa thick film film épais<br />
penetración punch-through pénétration<br />
penetración de boro boron penetration pénétration de bore<br />
pentóxido de tantalio tantalum pentoxide pentoxyde de tantale<br />
percolación percolation percolation<br />
pérdida de inserción insertion loss perte d'insertion<br />
perfil de borde edge profile profil de la tranche<br />
perfil de superficie surface profile profil de surface<br />
perfilómetro profilometer profilomètre<br />
periodicidad periodicity périodicité<br />
perla aislante bead perle isolante<br />
permeabilidad permeability perméabilité<br />
permeabilidad de la película film permeability perméabilité du film<br />
permitividad permittivity permittivité<br />
peróxido de hidrógeno hydrogen peroxide peroxyde d'hydrogène<br />
personalización personalization personnalisation
Español English Français<br />
peso de fórmula formula weight poids formulaire<br />
peso específico specific gravity poids spécifique<br />
peso molecular molecular weight poids moléculaire<br />
picadura pin hole piqûre<br />
pico de ave bird's beak bec d'oiseau<br />
picosegundo picosecond picoseconde<br />
piel de naranja orange peel peau d'orange<br />
piezoelectricidad piezoelectricity piézoélectricité<br />
piezorresistividad piezoresistivity piézorésistivité<br />
pila fotovoltaica solar cell pile solaire<br />
piloto driver pilote<br />
pinchazo en caliente hot plugging enfichage à chaud<br />
pintura fotovoltaica solar paint peinture solaire<br />
pinza para embutición crimp tool pince à sertir<br />
pirámide pyramid pyramide<br />
pirofórico pyrophoric pyrophorique<br />
pirólisis pyrolysis pyrolyse<br />
placa board carte<br />
placa base motherboard carte mère<br />
placa base mothercard carte mère<br />
placa de circuito impreso printed circuit board (PCB) plaque à circuit imprimé<br />
placa de inserción breadboard carte prototype<br />
placa de sonido sound board carte son<br />
placa delantera front plate platine avant<br />
placa disco hardcard disque dur sur carte<br />
placa fotográfica photographic plate plaque photographique<br />
placa fotográfica photoplate plaque photographique<br />
placa hija daughterboard carte fille<br />
placa impresa printed board carte imprimée<br />
placa posterior back plate platine arrière<br />
plaga gris grey goo gelée grise<br />
plaga verde green goo gelée verte<br />
planeidad flatness planéité<br />
planeidad planarity planéité<br />
planeidad de campo field flatness planéité de champ<br />
planeidad global global flatness planéité globale<br />
plano flat plat<br />
plano de corte cleavage plane plan de clivage<br />
plano de deslizamiento slip plane plan de glissement<br />
plano de interfaz interface plane plan d'interface<br />
plano de masa floorplan plan de masse
Español English Français<br />
plano de referencia datum plane plan de référence<br />
plano de referencia reference plane plan de référence<br />
plano focal focal plane plan focal<br />
plano Z Z-plane plan Z<br />
plantilla template gabarit<br />
plantilla de transformación bondage gage jauge de contrainte<br />
plasma plasma plasma<br />
plasma de acoplamiento<br />
inductivo<br />
inductively-coupled plasma<br />
(ICP)<br />
plasma à couplage inductif<br />
plasma de radiofrecuencia radio-frequency plasma plasma à radiofréquences<br />
plasma directo direct plasma plasma direct<br />
plasma ECR ECR plasma plasma ECR<br />
plasma RF RF plasma plasma RF<br />
plástico electrostáticamente<br />
disipativo<br />
destaticized plastics plastique astatique<br />
población population population<br />
polarización bias polarisation<br />
polarización polarization polarisation<br />
polarización directa forward bias polarisation en sens direct<br />
polarización inversa reverse bias polarité inverse<br />
policristalino polycrystalline polycristallin<br />
polidimetilsiloxano polydimethylsiloxane polydiméthylsiloxane<br />
poliéster polyester polyester<br />
polimorfismo polymorphism polymorphisme<br />
polisilicio polysilicon poly-silicium<br />
polvo dust poussière<br />
por sustitución substitutional par substitution<br />
portacircuito chip carrier boîtier pavé<br />
portador carrier porteur<br />
portador de carga charge carrier porteur de charge<br />
portador de cuarzo quartz carrier porteur à quartz<br />
portador mayoritario majority carrier porteur majoritaire<br />
portador minoritario minority carrier porteur minoritaire<br />
portamuestra boat porte échantillon<br />
portaoblea waferboat porte-échantillon<br />
posformación ageing post-formation<br />
posformación aging post-formation<br />
posgrabación post-etching post-gravure<br />
posicionamiento registration positionnement<br />
potencia power puissance<br />
potencia nominal rated power puissance nominale
Español English Français<br />
potencial de Fermi Fermi potential potentiel de Fermi<br />
potencial zeta zeta potential potentiel zêta<br />
potenciómetro pot potentiomètre<br />
potenciómetro potentiometer potentiomètre<br />
potenciómetro de ajuste trimmer potentiometer potentiomètre d'ajustement<br />
potenciómetro de ajuste trimming potentiometer potentiomètre d'ajustement<br />
potenciómetro helicoidal rotary potentiometer potentiomètre hélicoïdal<br />
potenciómetro monovuelta single-turn potentiometer potentiomètre monotour<br />
potenciómetro multivuelta multiturn transducer potentiomètre multitour<br />
pozo cuántico quantum well puits quantique<br />
preamplificador preamplifier préamplificateur<br />
precisión accuracy exactitude<br />
precisión precision précision<br />
precisión de alineación alignment precision précision d'alignement<br />
precisión de alineación overlay accuracy précision d'alignement<br />
precisión de recuento counting accuracy précision de comptage<br />
predifusión prediffusion prédiffusion<br />
preforma preform préforme<br />
pregrabación pre-etching prégravure<br />
prensado hermético de cables cable seal presse étoupe de câble<br />
presión absoluta absolute pressure pression absolue<br />
presión crítica critical pressure pression critique<br />
presión de cilindro cylinder pressure pression de cylindre<br />
presión de descarga outlet pressure pression décharge<br />
presión de diseño design pressure pression de calcul<br />
presión de entrada inlet pressure pression d'entrée<br />
presión de prueba proof pressure pression d'essai<br />
presión de prueba test pressure pression d'essai<br />
presión de un proceso process pressure pression d'un processus<br />
presión de vapor vapor pressure pression de vapeur<br />
presión diferencial differential pressure pression différentielle<br />
presión diferencial operativa<br />
normal<br />
normal operating differential<br />
pressure<br />
pression différentielle de service<br />
presión estática static pressure pression statique<br />
presión manométrica gauge pressure pression manométrique<br />
presión nominal rated pressure pression nominale<br />
presión normal standard pressure pression normale<br />
presión operativa de<br />
referencia<br />
reference operating pressure pression de service de référence<br />
presión operativa máxima maximum operating pressure pression maximale de service<br />
presión operativa normal normal operating pressure pression de service
Español English Français<br />
presoldadura pre-brazing prébrasage<br />
presoldadura pre-soldering prébrasage<br />
primera convergencia crossover première convergence<br />
principio de exclusión de Pauli Pauli exclusion principle principe d'exclusion de Pauli<br />
probabilidad probability probabilité<br />
probabilidad de fallo fault probability probabilité de défaut<br />
probador de obleas wafer prober machine de test sous pointes<br />
procedimiento procedure procédé<br />
procesador processor processeur<br />
procesador de señal digital DSP chip processeur de signal numérique<br />
procesador de señales<br />
digitales<br />
digital signal processor processeur de signaux<br />
numériques<br />
procesador en láminas bit-slice processor processeur en tranches<br />
procesador vectorial array processor processeur vectoriel<br />
procesamiento ascendente bottom-up processing traitement ascendante<br />
procesamiento integrado integrated processing traitement intégré<br />
proceso process processus<br />
proceso aditivo additive process procédé additif<br />
proceso Auger Auger process processus Auger<br />
proceso de fabricación inicial front-end of line process procédé de fabrication initiale<br />
proceso de silicio sobre<br />
aislante<br />
silicon-on-insulator process procédé silicium sur isolant<br />
proceso de silicio sobre zafiro silicon-on-sapphire process procédé silicium sur sapphire<br />
proceso de tratamiento<br />
discontinuo<br />
batch process procédé de traitement<br />
discontinu<br />
proceso mesa mesa technique procédé mesa<br />
proceso planar planar process procédé planaire<br />
proceso semiaditivo semiadditive process procédé semi additif<br />
proceso submicrométrico submicrometer process processus submicrométrique<br />
proceso sustractivo subtractive process procédé soustractif<br />
producto product produit<br />
producto de control control product produit de contrôle<br />
profundidad de carga load depth profondeur de charge<br />
profundidad de foco depth of focus profondeur de foyer<br />
profundidad de la unión junction depth profondeur de jonction<br />
profundidad del daño damage depth profondeur du dommage<br />
programa de un proceso process program programme d'un processus<br />
promotor de adherencia adhesion promoter promoteur d'adhérence<br />
propiedad ownership propriété<br />
propiedad electrostática electrostatic property propriété électrostatique<br />
propiedad óptica optical property propriété optique<br />
protocolo protocol protocole
Español English Français<br />
protocolo de acceso access protocol protocole d'accès<br />
protocolo de mensajes message protocol protocole des messages<br />
protocolo de transferencia de<br />
bloques<br />
block transfer protocol protocole de transfert de blocs<br />
protuberancia bump bosse<br />
protuberancia protrusion protubérance<br />
proveedor de servicios service provider fournisseur de services<br />
proyección projection projection<br />
proyección splatter projection<br />
proyector de vídeo beamer projecteur vidéo<br />
prueba alfa alpha test test alpha<br />
prueba beta beta test test bêta<br />
prueba cíclica de calor húmedo cyclic damp heat test essai cyclique de chaleur<br />
humide<br />
prueba con restricciones<br />
escalonadas<br />
prueba continua de calor<br />
húmedo<br />
step-stress test essai sous contraintes<br />
échelonnées<br />
steady-state damp heat test essai continu de chaleur humide<br />
prueba de aceptación acceptance test essai de réception<br />
prueba de calificación qualification test essai de qualification<br />
prueba de envejecimiento ageing test test de vieillissement<br />
prueba de impacto impact test essai d'impact<br />
prueba de producción production test test de production<br />
prueba dinámica dynamic test test dynamique<br />
prueba estática static test essai statique<br />
prueba estructural structural test test structurel<br />
prueba formal formal verification test formel<br />
prueba funcional functional test test fonctionnel<br />
prueba integrada built-in self test (BIST) test intégré<br />
prueba paramétrica parametric test test paramétrique<br />
prueba técnica test technique test technique<br />
prueba y error trial and error essai et erreur<br />
pruebas de aceptación acceptance testing test d'acceptation<br />
puente bridge pont<br />
puente jumper pont<br />
puente yoke pont<br />
puente rectificador bridge rectifier redresseur en pont<br />
puerta gate gâchette<br />
puerta analógica analog gate porte analogique<br />
puerta de disparo trigger gâchette<br />
puerta lógica logic gate porte logique<br />
puerta NO NOT gate porte NON
Español English Français<br />
puerta NO-O NOR gate porte NON-OU<br />
puerta NO-Y NAND gate porte NON-ET<br />
puerta O exclusiva exclusive OR gate porte OU exclusif<br />
puerta O exclusiva XOR gate porte OU exclusif<br />
puerta O inclusiva inclusive OR gate porte OU inclusif<br />
puerta Y AND gate porte ET<br />
puerto port port<br />
puerto receptor receiving port port de réception<br />
pulido polishing polissage<br />
pulido electrolítico electropolish polissage électrolytique<br />
pulido óptico mirror finish poli optique<br />
pulido óptico mirror polish poli optique<br />
pulso impulse impulsion<br />
pulso pulse impulsion<br />
pulso combinado combination pulse impulsion combinée<br />
pulverización sputter pulvérisation<br />
pulverización sputtering pulvérisation<br />
pulverización de magnetrón magnetron sputtering pulvérisation à magnétron<br />
pulverización en caliente end spraying projection à chaud<br />
pulverización reactiva reactive sputtering pulvérisation réactive<br />
punta spike pointe<br />
punta tip pointe<br />
punta de tensión spiking transitoire de tension<br />
punto dot point<br />
punto cuántico quantum dot point quantique<br />
punto cuántico de nanocristal nanocrystal quantum dot point quantique de nanocristal<br />
punto de control set point point de réglage<br />
punto de ebullición boiling point point d'ébullition<br />
punto de referencia datum point repère de référence<br />
punto de rocío dew point point de rosée<br />
punto límite endpoint point limite<br />
puntos blancos en la<br />
intersección de fibras<br />
measling blanchiment au croisement des<br />
fibres<br />
punzonado punching poinçonnage<br />
quimioluminiscencia chemiluminescence chimioluminescence<br />
quimiosorción chemisorption chemisorption<br />
radiación de sincrotrón synchrotron radiation rayonnement cyclotron<br />
radiación ultravioleta extrema extreme-ultraviolet radiation rayonnement ultraviolet<br />
extrême<br />
radio de flexión bend radius rayon de pliage<br />
radiofrecuencia radio frequency radiofréquence
Español English Français<br />
radiometría radiometry radiométrie<br />
radiómetro radiometer radiomètre<br />
ranura slot fente<br />
ranura de ampliación expansion slot fente d'extension<br />
ranurado scribing rainurage<br />
rayado y rotura scribe and break rayure et cassure<br />
reacción acústica acoustic feedback réaction acoustique<br />
reactividad reactivity réactivité<br />
reactivo reagent réactif<br />
reactor reactor réacteur<br />
reactor de placas paralelas parallel-plate reactor réacteur à faces parallèles<br />
reactor discontinuo batch reactor réacteur discontinu<br />
realimentación feedback réaction<br />
rebaje indent indentation<br />
receptor receiver récepteur<br />
receptor asíncrono universal universal asynchronous<br />
receiver-transmitter (UART)<br />
récepteur asynchrone universel<br />
receptor óptico optical receiver récepteur optique<br />
recocido anneal recuit<br />
recocido annealing recuit<br />
recocido thermal annealing recuit<br />
recombinación recombination recombinaison<br />
recombinación Auger Auger recombination recombinaison Auger<br />
recombinación de radiación radiative recombination recombinaison radiative<br />
recombinación indirecta indirect recombination recombinaison indirecte<br />
recombinación por transición<br />
directa<br />
direct recombination recombinaison par transition<br />
directe<br />
recombinación superficial surface recombination recombinaison superficielle<br />
reconocimiento molecular molecular recognition reconnaissance moléculaire<br />
recorrido libre medio mean free path libre parcours moyen<br />
recorte contouring détourage<br />
rectificador rectifier redresseur<br />
rectificador controlado por<br />
silicio<br />
silicon-controlled rectifier<br />
(SCR)<br />
redresseur commandé au<br />
silicium<br />
rectificador de media onda half-wave rectifier redresseur demi-onde<br />
rectificador de onda completa full-wave rectifier redresseur pleine-onde<br />
rectificador de unión junction rectifier diode à jonction<br />
recubrimiento overlay recouvrement<br />
recubrimiento anódico anodic coating revêtement anodique<br />
recubrimiento antirreflectante anti-reflective coating couche antireflet<br />
recubrimiento conformal conformal coating revêtement enrobant<br />
recubrimiento de la impresión pattern plating revêtement de l'impression
Español English Français<br />
conductora conductrice<br />
recubrimiento por extrusión extrusion coating couchage par extrusion<br />
recuento de pruebas retry count comptage des essais<br />
red cristalina crystal lattice réseau cristallin<br />
red de Petri Petri net réseau de Pétri<br />
red de puertas gate array réseau de grilles<br />
red de puertas programables field-programmable gate array<br />
(FPGA)<br />
réseau prédiffusé<br />
programmable<br />
red de resistencias resistor network réseau de résistances<br />
red de sensores inalámbricos smart dust poussière électronique<br />
communicante<br />
red digital de servicios<br />
integrados (RDSI)<br />
red lógica programable por el<br />
usuario<br />
integrated service digital<br />
network (ISDR)<br />
field-programmable logic array<br />
(FPLA)<br />
réseau numérique à intégration<br />
de services (RNIS)<br />
réseau logique programmable<br />
par l’utilisateur<br />
red molecular lattice réseau moléculaire<br />
rediseño redesign reprise de la conception<br />
redistribución redistribution redistribution<br />
redistribución de dopante dopant redistribution redistribution des atomes<br />
dopants<br />
reducción de escala scaling réduction d'échelle<br />
reducción de escala scaling down réduction d'échelle<br />
reducción de escala shrinking amaigrissement<br />
reducción por hidrógeno hydrogen reduction réduction par hydrogène<br />
redundancia redundancy redondance<br />
referente referent référent<br />
reflectometría reflectometry réflectométrie<br />
reflectómetro reflectometer réflectomètre<br />
reflector reflector réflecteur<br />
reforzador de vídeo video booster survolteur du vidéo<br />
región de carga espacial space-charge region région de la charge d'espace<br />
región de despoblación depletion region couche d'appauvrissement<br />
registro register registre<br />
registro de desfase periférico boundary scan registre à décalage périphérique<br />
registro de desplazamiento shift register registre à décalage<br />
registro de indicadores flag register registre à drapeaux<br />
regla de diseño design rule règle de tracé<br />
regla de escala scaling rule règle d'échelle<br />
regla de grosor dam bar règle d'épaisseur<br />
regulador regulator régulateur<br />
regulador de tensión voltage regulator régulateur de tension<br />
rejilla grid grille
Español English Français<br />
rejilla de conexión leadframe grille de connexion<br />
relación anchura-longitud aspect ratio rapport largeur-longueur<br />
relación de Einstein Einstein relationship relation d'Einstein<br />
relación de resistencia resistance ratio rapport de résistance<br />
relación de sobretensión surge voltage ratio rapport de surtension<br />
relación señal-ruido signal-to-noise ratio rapport signal sur bruit<br />
relé relay relais<br />
relé biestable bistable relay bascule bistable<br />
relé de interposición interposing relay relais interposeur<br />
relé electromagnético electromagnetic relay relais électromagnétique<br />
relé estático static relay relais statique<br />
relé monoestable monostable relay relais monostable<br />
relé todo o nada all or nothing relay relais tout ou rien<br />
relieve emboss relief<br />
rellenado potting remplissage<br />
reloj clock horloge<br />
remolino swirl tourbillon<br />
rendimiento yield rendement<br />
rendimiento de la inversión return on investment (ROI) rendement de l'investissement<br />
repetidor repeater répéteur<br />
replicador de puertos port replicator réplicateur de portes<br />
reproducción lógica de vídeo software-only video playback reproduction logique de vidéo<br />
requisito funcional functional requirement besoin fonctionnel<br />
residuo residue résidu<br />
residuo de cera wax residue résidu de cire<br />
residuo de disolvente solvent residue résidu du solvant<br />
resina de intercambio de<br />
aniones<br />
resina de intercambio de<br />
cationes<br />
anion-exchange resin résine échangeuse d'anions<br />
cation-exchange resin résine échangeuse de cations<br />
resina de intercambio de iones ion-exchange resin résine échangeuse d'ions<br />
resina electrosensible electron resist résine électrosensible<br />
resina electrosensible electron sensitive resist résine électrosensible<br />
resina fotosensible light-sensitive resin résine photosensible<br />
resina fotosensible light-sensitive resist résine photosensible<br />
resina fotosensible optical resist résine photosensible<br />
resina fotosensible photoresist résine photosensible<br />
resina fotosensible DQN DQN photoresist résine photosensible DQN<br />
resina positiva positive photoresist résine positive<br />
resistencia resistance résistance<br />
resistencia crítica critical resistance résistance critique
Español English Français<br />
resistencia de aislamiento insulation resistance résistance d'isolement<br />
resistencia de capa sheet resistance résistance de couche<br />
resistencia de carga load resistance résistance de charge<br />
resistencia de contacto contact resistance résistance de contact<br />
resistencia de potencia nula<br />
nominal<br />
rated zero-power resistance résistance de puissance nulle<br />
nominale<br />
resistencia eficaz effective resistance résistance effective<br />
resistencia en serie series resistance résistance série<br />
resistencia en serie<br />
equivalente<br />
resistencia equivalente de<br />
ruido<br />
equivalent series resistance résistance série équivalente<br />
equivalent noise resistance résistance équivalente de bruit<br />
resistencia interna internal resistance résistance interne<br />
resistencia mecánica mechanical strength résistance mécanique<br />
resistencia negativa negative resistance résistance négative<br />
resistencia química chemical resistance résistance chimique<br />
resistencia residual residual resistance résistance résiduelle<br />
resistencia variable variable resistor résistance variable<br />
resistividad resistivity résistivité<br />
resistividad eléctrica electrical resistivity résistivité électrique<br />
resistor resistor résistance<br />
resistor aislado insulated resistor résistance isolée<br />
resistor bobinado wirewound resistor résistance bobinée<br />
resistor de bobina coil resistance résistance de bobine<br />
resistor de láminas metálicas metal-foil resistor résistante à feuilles métalliques<br />
resistor de película metalizada metal-film resistor résistance à couche métallique<br />
resistor para montaje en<br />
superficie<br />
surface-mount resistor résistance pour montage en<br />
surface<br />
resistor pelicular film resistor résistance à couche<br />
resolución pattern definition résolution<br />
resolución resolution résolution<br />
resolución de anchura de línea line-width definition définition de trait<br />
resolución de anchura de línea line-width resolution définition de trait<br />
resonador resonator résonateur<br />
resonador de cuarzo crystal resonator résonateur à quartz<br />
resonancia resonance résonance<br />
resonancia ciclotrónica<br />
electrónica<br />
electron cyclotron resonance<br />
(ECR)<br />
retícula reticle réticule<br />
reticulación crosslinking réticulation<br />
résonance cyclotronique<br />
électronique<br />
retorno a cero return to zero retour à zéro<br />
revestimiento surface coating revêtement
Español English Français<br />
revestimiento de máscara mask coating revêtement de masque<br />
revestimiento óptico cladding gaine optique<br />
revestimiento óptico fiber cladding gaine optique<br />
revestimiento superficial surface plating revêtement de surface<br />
riesgo hazard danger<br />
riesgo risk risque<br />
riesgo sanitario health hazard risque pour la santé<br />
robustez de las salidas robustness of terminations robustesse des sorties<br />
rodaje lapping rodage<br />
ropa de protección protective clothing vêtement de protection<br />
roscado threading filetage<br />
rotación rotation rotation<br />
rozamiento ligero scuff friction légère<br />
rugosidad roughness rugosité<br />
rugosidad superficial surface roughness rugosité superficielle<br />
ruido noise bruit<br />
ruido blanco white noise bruit blanc<br />
ruido de fondo background noise bruit de fond<br />
ruido de fondo del contador background count bruit de fond du compteur<br />
ruido rosa pink noise bruit rose<br />
ruptura por avalancha avalanche breakdown claquage par avalanche<br />
ruptura por efecto túnel tunnel breakdown claquage par effet tunnel<br />
ruptura por efecto Zener Zener breakdown claquage par effet Zener<br />
ruptura térmica thermal breakdown claquage thermique<br />
ruptura térmica thermal runaway claquage thermique<br />
ruta de barrido scanning path voie de balayage<br />
sala limpia clean room salle blanche<br />
sala limpia cleanroom salle blanche<br />
secado drying séchage<br />
sección de mensajes message section section de messages<br />
sección eficaz de captura capture cross-section section efficace de capture<br />
secuencia de prueba test pattern séquence de test<br />
secuenciador sequencer séquenceur<br />
selección screening sélection<br />
selección de señal gating portillonnage<br />
selectividad de grabado etching selectivity sélectivité de gravure<br />
semiconductor semiconductor semi-conducteur<br />
semiconductor ambipolar ambipolar semiconductor semi-conducteur ambipolaire<br />
semiconductor binario binary semiconductor semi-conducteur binaire<br />
semiconductor coloidal colloidal semiconductor semi-conducteur colloïdal<br />
semiconductor compensado compensated semiconductor semi-conducteur compensé
Español English Français<br />
semiconductor compuesto compound semiconductor semi-conducteur composé<br />
semiconductor con base de<br />
galio<br />
semiconductor con base de<br />
indio<br />
semiconductor con estructura<br />
de banda indirecta<br />
semiconductor de banda<br />
ancha<br />
semiconductor de estructura<br />
de banda directa<br />
semiconductor de óxido<br />
metálico<br />
semiconductor de óxido<br />
metálico complementario<br />
semiconductor de óxido<br />
metálico de canal N<br />
semiconductor de óxido<br />
metálico de canal P<br />
gallium-based semiconductor semi-conducteur à base de<br />
gallium<br />
indium-based semiconductor semi-conducteur à base<br />
d'indium<br />
indirect bandgap<br />
semiconductor<br />
semi-conducteur à structure de<br />
bande indirecte<br />
wide bandgap semiconductor semi-conducteur à large écart<br />
énergétique<br />
direct bandgap semiconductor semi-conducteur à structure de<br />
bande directe<br />
metal oxide semiconductor<br />
(MOS)<br />
complementary metal oxide<br />
semiconductor (CMOS)<br />
N-channel metal-oxidesemiconductor<br />
(NMOS)<br />
P-channel metal-oxidesemiconductor<br />
(PMOS)<br />
semi-conducteur à oxyde<br />
métallique<br />
semi-conducteur à oxyde de<br />
métal complémentaire<br />
métal-oxyde-semi-conducteur à<br />
canal N<br />
métal-oxyde-semi-conducteur à<br />
canal P<br />
semiconductor de tipo N N-type semiconductor semi-conducteur de type N<br />
semiconductor de tipo P P-type semiconductor semi-conducteur de type P<br />
semiconductor degenerado degenerate semiconductor semi-conducteur dégénéré<br />
semiconductor discreto discreet semiconductor semi-conducteur discret<br />
semiconductor elemental elementary semiconductor semi-conducteur élémentaire<br />
semiconductor extrínseco extrinsic semiconductor semi-conducteur extrinsèque<br />
semiconductor ferromagnético ferromagnetic semiconductor semi-conducteur<br />
ferromagnétique<br />
semiconductor intrínseco intrinsic semiconductor semi-conducteur intrinsèque<br />
semiconductor magnético magnetic semiconductor semi-conducteur magnétique<br />
semiconductor orgánico organic semiconductor semi-conducteur organique<br />
semiconductor polar polar semiconductor semi-conducteur polaire<br />
semiconductor semiaislante semi-insulating semiconductor semi-conducteur demi-isolant<br />
semiconductor ternario ternary semiconductor semi-conducteur ternaire<br />
semiconductor virtual virtual semiconductor semi-conducteur virtuel<br />
semilla seed germe<br />
semilla cristalina seed crystal germe cristallin<br />
sensibilidad sensitivity sensibilité<br />
sensibilidad del interferómetro interferometer sensitivity sensitivité de l'interféromètre<br />
sensibilidad mínima de<br />
detección de fugas<br />
minimum detectable leak rate sensibilité à détecter les fuites<br />
sensibilizador sensitizer sensibilisateur<br />
sensor sensor capteur<br />
señal signal signal
Español English Français<br />
señal de reloj clock signal signal d'horloge<br />
señal de reloj time base signal d'horloge<br />
señal débil small signal faible signal<br />
separación spacing écartement<br />
separación de caracteres character separation séparation de caractères<br />
separación entre caracteres character spacing espace intercaractères<br />
separador spacer entretoise<br />
separador de lavado stand off pied de lavage<br />
serigrafía serigraphy sérigraphie<br />
servicio service service<br />
servicio de mensajes message service service de messages<br />
servidor de transporte transport server serveur de transport<br />
signatura signature signature<br />
silano silane silane<br />
silicato de circonio zirconium silicate silicate de zirconium<br />
silicato de hafnio hafnium silicate silicate d'hafnium<br />
sílice nanoporosa nanoporous silica silice nanoporeuse<br />
silicio silicon silicium<br />
silicio amorfo amorphous silicon silicium amorphe<br />
silicio estirado strained silicon silicium étiré<br />
silicio monocristalino single-crystal silicon silicium monocristallin<br />
silicio policristalino polycrystalline silicon silicium polycristallin<br />
silicio policristalino siliciuriado polycide silicium polycristallin siliciuré<br />
silicio sobre aislante silicon-on-insulator (SOI) silicium sur isolant<br />
silicio sobre aislante<br />
totalmente despoblado<br />
fully-depleted silicon-oninsulator<br />
silicium sur isolant totalement<br />
appauvri<br />
silicio sobre zafiro silicon-on-sapphire (SOS) silicium sur saphir<br />
silicio trivalente trivalent silicon silicium trivalent<br />
siliciuro silicide siliciure<br />
siliciuro de cobalto cobalt silicide siliciure de cobalt<br />
siliciuro de molibdeno molybdenum silicide siliciure de molybdène<br />
siliciuro de níquel nickel silicide siliciure de nickel<br />
siliciuro de platino platinum silicide siliciure de platine<br />
siliciuro de tantalio tantalum silicide siliciure de tantale<br />
siliciuro de titanio titanium silicide siliciure de titane<br />
siliciuro de tungsteno tungsten silicide siliciure de tungstène<br />
silicona silicone silicone<br />
símbolo symbol symbole<br />
simulación simulation simulation<br />
simulación de fallo fault simulation simulation de défaut<br />
simulación eléctrica electrical simulation simulation électrique
Español English Français<br />
simulación lógica logical simulation simulation logique<br />
simulador simulator simulateur<br />
simulador analógico analog simulator simulateur analogique<br />
simulador lógico logic simulator simulateur logique<br />
síncrono synchronous synchrone<br />
sinterización sintering frittage<br />
síntesis de un proceso process synthesis synthèse d'un processus<br />
síntesis en el nivel de la<br />
aplicación<br />
application-level synthesis synthèse à niveau d'application<br />
sintetizador synthesizer synthétiseur<br />
sintetizador analógico analog synthesizer synthétiseur analogique<br />
sintetizador de frecuencias frequency synthesizer synthétiseur de fréquence<br />
sintetizador de imágenes image synthesizer synthétiseur d'image<br />
sintetizador por tabla de ondas wavetable synthesizer synthétiseur à table d'ondes<br />
sintonizador syntonizer syntoniseur<br />
sistema system système<br />
sistema cúbico cubic system système cubique<br />
sistema de coordenadas coordinate system système de coordonnées<br />
sistema de fabricación flexible flexible manufacturing system système de fabrication flexible<br />
sistema de programación<br />
orientado a objetos<br />
object-oriented programming<br />
system (OOPS)<br />
système de programmation<br />
orienté objet<br />
sistema existente legacy system système patrimonial<br />
sistema experto expert system système expert<br />
sistema microelectromecánico microelectromechanical<br />
system<br />
système<br />
microélectromécanique<br />
sistema monolítico monolithic system système monolithique<br />
sitio de recombinación recombination site site de recombinaison<br />
sodio sodium sodium<br />
software de aplicación application software logiciel d'application<br />
soldabilidad brazability brasabilité<br />
soldabilidad solderability soudabilité<br />
soldadura soldering soudage<br />
soldadura blanda brazing brasage<br />
soldadura blanda soft soldering brasage tendre<br />
soldadura blanda sweating brasage tendre<br />
soldadura de hilos wire bonding soudure de fils<br />
soldadura de puntos tack weld soudure de pointage<br />
soldadura en araña spider bonding soudure en araignée<br />
soldadura en cuña wedge bonding soudure en coin<br />
soldadura en fase de vapor condensation soldering brasage en phase vapeur<br />
soldadura fuerte hard soldering brasage fort<br />
soldadura mixta brazewelding soudobrasage
Español English Français<br />
soldadura por aleación<br />
eutéctica<br />
eutectic bonding soudure par eutectique<br />
soldadura por bola ball bonding soudure par écrasement de bille<br />
soldadura por ola wave soldering brasage à la vague<br />
soldadura por puntos stitch bonding soudure par écrasement de fil<br />
soldadura por reflujo reflow soldering brasage par refusion<br />
soldadura por<br />
termocompresión<br />
thermocompression bonding soudage par<br />
thermocompression<br />
soldadura por termodo thermode reflow soldering brasage par thermode<br />
soldadura sin fusión welding soudage<br />
soldadura ultrasónica ultrasonic bonding soudage par ultrasons<br />
solicitud de información request for information (RFI) demande de renseignements<br />
solución de decapado stripping solution solution de décapage<br />
solución normal standard solution solution normale<br />
sonda probe sonde<br />
sopladura gas cavity soufflure<br />
soporte base chapado en<br />
cobre<br />
copper-clad base material matériau de base plaqué cuivre<br />
soporte de chip sin guía leadless-chip carrier socle de pouce sans guide<br />
soporte de oblea wafer chuck support individuel de tranche<br />
subcapa capping layer sous-couche<br />
subclase subclass sous-classe<br />
subfamilia subfamily sous-famille<br />
submicrométrico submicron sous-micronique<br />
subsistema subsystem sous-système<br />
sulfuro de cadmio cadmium sulfide sulfure de cadmium<br />
sulfuro de cinc zinc sulfide sulfure de zinc<br />
superconductividad superconductivity supraconductivité<br />
superconductor superconductor supraconducteur<br />
superficie surface surface<br />
superficie delantera front surface surface frontale<br />
superficie específica specific surface surface spécifique<br />
superficie focal focal surface surface focale<br />
superficie hidrófila hydrophilic surface surface hydrophile<br />
superficie hidrófoba hydrophobic surface surface hydrophobe<br />
superficie humedecida wetted surface surface mouillée<br />
superficie ocupada chip area surface occupée<br />
superficie ocupada chip real state surface occupée<br />
superficie ocupada silicon real estate surface occupée<br />
superficie porosa porous surface surface poreuse<br />
superficie posterior back surface surface arrière<br />
superposición overlap chevauchement
Español English Français<br />
superretícula superlattice super-réseau<br />
susceptor susceptor suscepteur<br />
sustractor subtractor soustracteur<br />
sustrato substrate substrat<br />
tabla calefactora hot plate table chauffante<br />
taladrado drilling perçage<br />
taladro ciego blind via trou borgne<br />
taladro ciego semiburied via trou borgne<br />
taladro de enlace component hole trou de liaison<br />
taladro de indexación location hole trou d'indexation<br />
taladro de posición feedthrough trou de positionnement<br />
taladro de posición interlevel via hole trou de positionnement<br />
taladro enterrado buried via trou de liaison enterré<br />
taladro metalizado plated-through hole trou métallisé<br />
taladro sin conductor landless hole trou sans conducteur<br />
tamaño de calibración calibration size taille de calibration<br />
tambor de fibraje drawing pay-on-reel tambour de fibrage<br />
tantalio tantalum tantale<br />
tarjeta card carte<br />
tarjeta aceleradora accelerator card carte accélératrice<br />
tarjeta con microfilm aperture card carte à fenêtre<br />
tarjeta de ampliación expansion card carte d'extension<br />
tarjeta de compresión compression board carte de compression<br />
tarjeta de disco disk card carte disque<br />
tarjeta de memoria memory card carte mémoire<br />
tarjeta de sonido audio board carte audio<br />
tarjeta de sonido sound card carte son<br />
tarjeta de vídeo graphics board carte graphique<br />
tarjeta de vídeo a VGA video-to-VGA card carte vidéo à VGA<br />
tarjeta electrónica electronic board carte électronique<br />
tarjeta hija daughtercard carte fille<br />
tarjeta hija piggyback board carte fille<br />
tarjeta inteligente smart card carte à puce<br />
tarjeta inteligente storage card carte à puce<br />
tarjeta láser laser card carte laser<br />
tasa de errores de bits bit-error rate taux d'erreurs sur les bits<br />
teclado keyboard clavier<br />
teclado de membrana membrane keyboard clavier à membranes<br />
teclado numérico keypad clavier numérique<br />
técnica de doble cajón twin-tub process technique double caisson<br />
técnica de doble cajón twin-well process technique double caisson
Español English Français<br />
técnica de evaluación y<br />
revisión de programas<br />
program evaluation review<br />
technique (PERT)<br />
technique d'évaluation et de<br />
révision des programmes<br />
tecnología de apoyo enabling technology technologie habilitante<br />
tecnología de montaje en<br />
superficie<br />
surface-mount technology<br />
(SMT)<br />
technologie de montage en<br />
surface<br />
tecnología de ruptura disruptive technology technologie de rupture<br />
tecnología orientada a objetos object-oriented technology technologie orientée objet<br />
tecnología planar planar technology technologie planaire<br />
telururo de cadmio cadmium telluride tellurure de cadmium<br />
temperatura ambiente ambient temperature température ambiante<br />
temperatura crítica critical temperature température critique<br />
temperatura de calibración calibration temperature température de calibration<br />
temperatura de categoría<br />
inferior<br />
lower category temperature température de catégorie<br />
inférieure<br />
temperatura de fusión melting temperature température de fusion<br />
temperatura de transición<br />
vítrea<br />
glass transition temperature température de transition<br />
vitreuse<br />
temperatura de unión junction temperature température de jonction<br />
temperatura del gas gas temperature température du gaz<br />
temperatura máxima de<br />
almacenamiento<br />
temperatura máxima de<br />
cocción<br />
temperatura mínima de<br />
almacenamiento<br />
maximum storage<br />
temperature<br />
température maximale de<br />
stockage<br />
maximum baking temperature température maximale de<br />
cuisson<br />
minimum storage temperature température minimale de<br />
stockage<br />
temperatura nominal rated temperature température nominale<br />
temperatura normal standard temperature température normale<br />
temperatura operativa de<br />
referencia<br />
reference operating<br />
temperature<br />
température de service de<br />
référence<br />
temperatura operativa normal normal operating temperature température admissible<br />
temperatura superficial surface temperature température de surface<br />
temperatura superficial<br />
máxima<br />
maximum surface temperature température de surface<br />
maximale<br />
temporizador delay switch temporisateur<br />
temporizador timer temporisateur<br />
tensión voltage tension<br />
tensión de aislamiento insulation voltage tension d'isolement<br />
tensión de aislamiento isolation voltage tension d'isolement<br />
tensión de alimentación supply voltage tension d’alimentation<br />
tensión de avalancha avalanche breakdown voltage tension d'avalanche<br />
tensión de banda plana flatband voltage tension de bande plate<br />
tensión de categoría category voltage tension de catégorie<br />
tensión de cebado breakdown voltage tension d'amorçage
Español English Français<br />
tensión de Hall Hall voltage tension Hall<br />
tensión de impulso impulse voltage tension de choc<br />
tensión de mantenimiento proof voltage tension de tenue<br />
tensión de ondulación nominal rated-ripple voltage tension ondulée nominale<br />
tensión de puerta gate voltage tension de grille<br />
tensión de ruptura inversa reverse breakdown voltage tension disruptive inverse<br />
tensión de salida output voltage tension de sortie<br />
tensión en circuito abierto open-circuit voltage tension en circuit ouvert<br />
tensión inversa reverse voltage tension inverse<br />
tensión limitadora clamping voltage tension d’écrêtage<br />
tensión máxima maximum voltage tension maximale<br />
tensión nominal rated voltage tension nominale<br />
tensión nominal del varistor nominal varistor voltage tension nominale de la<br />
varistance<br />
tensión umbral threshold voltage tension de seuil<br />
teoría de Shockley-Read-Hall Shockley-Read-Hall theory théorie de Shockley-Read-Hall<br />
terminal terminal borne<br />
terminal corto sin cinta short terminal without tape borne courte sans bande<br />
terminal de tuerca screw terminal borne à vis<br />
terminal de viga beam lead conducteur poutre<br />
terminal para conexión<br />
arrollada<br />
wrap post borne pour connexion enroulée<br />
termistor thermistor thermistance<br />
termistor aislado insulated thermistor thermistance isolée<br />
termistor CTN NTC thermistor thermistance CTN<br />
termistor CTP PTC thermistor thermistance CTP<br />
termistor de coeficiente<br />
térmico negativo<br />
termistor de coeficiente<br />
térmico negativo de<br />
calentamiento directo<br />
termistor de coeficiente<br />
térmico negativo de<br />
calentamiento indirecto<br />
termistor de coeficiente<br />
térmico positivo<br />
termistor de coeficiente<br />
térmico positivo de<br />
calentamiento directo<br />
termistor de limitación de<br />
corriente de inicio<br />
negative temperature<br />
coefficient thermistor<br />
directly heated negative<br />
temperature coefficient<br />
thermistor<br />
indirectly heated negative<br />
temperature coefficient<br />
thermistor<br />
positive temperature<br />
coefficient thermistor<br />
directly heated positive<br />
temperature coefficient<br />
thermistor<br />
inrush current limiting<br />
thermistor<br />
thermistance à coefficient de<br />
température négatif<br />
thermistance à coefficient de<br />
température négatif à chauffage<br />
direct<br />
thermistance à coefficient de<br />
température négatif à chauffage<br />
indirect<br />
thermistance à coefficient de<br />
température positif<br />
thermistance à coefficient de<br />
température positif à chauffage<br />
direct<br />
thermistance de limitation de<br />
courant d'appel<br />
termistor ensamblado assembled thermistor thermistance assemblée<br />
termistor no aislado non-insulated thermistor thermistance non isolée
Español English Français<br />
termistor para montaje en<br />
superficie<br />
surface-mount thermistor thermistance pour montage en<br />
surface<br />
termografía thermography thermographie<br />
termopar thermocouple thermocouple<br />
tetraclorosilano tetrachlorosilane tétrachloresilane<br />
tetracloruro de fósforo phosphorus tetrachloride tétrachlorure de phosphore<br />
tetracloruro de silicio silicon tetrachloride tétrachlorure de silicium<br />
tetrafluorometano tetrafluoromethane tétrafluorométhane<br />
tetrafluoruro de carbono carbon tetrafluoride tétrafluoride de carbone<br />
textura superficial surface texture texture superficielle<br />
TFT orgánico organic TFT (OTFT) TFT organique<br />
tiempo de acceso access time temps d'accès<br />
tiempo de calentamiento warm-up time temps de chauffage<br />
tiempo de ciclo cycle time temps de cycle<br />
tiempo de espera queue time temps d'attente<br />
tiempo de espera standby time temps d'attente<br />
tiempo de establecimiento settling time temps d'établissement<br />
tiempo de funcionamiento operation time durée de fonctionnement<br />
tiempo de gelificación gel time temps de gélification<br />
tiempo de inactividad downtime temps d'inactivité<br />
tiempo de mantenimiento engineering time durée réservée à l'entretien<br />
tiempo de muestreo sampling time temps d'échantillonnage<br />
tiempo de propagación gate delay temps de propagation<br />
tiempo de propagación propagation delay temps de propagation<br />
tiempo de recombinación recombination lifetime durée de recombinaison<br />
tiempo de recuperación recovery time temps de recouvrement<br />
tiempo de recuperación<br />
directa<br />
tiempo de relajación del<br />
dieléctrico<br />
forward recovery time temps de recouvrement direct<br />
dielectric relaxation time temps de relaxation du<br />
diélectrique<br />
tiempo de respuesta response time temps de réponse<br />
tiempo de retención retention time temps de rétention<br />
tiempo de tránsito transit time temps de transit<br />
tiempo de tratamiento process time temps de traitement<br />
tiempo de vida cycle life durée de vie<br />
tiempo de vida lifetime durée de vie<br />
tiempo de vida de los<br />
portadores minoritarios<br />
minority carrier lifetime durée de vie des porteurs<br />
minoritaires<br />
tiempo medio de reparación mean time to repair (MTTR) délai moyen de réparation<br />
tiempo medio entre averías mean time between failures<br />
(MTBF)<br />
tiempo muerto dead time temps mort<br />
délai moyen entre défaillances
Español English Français<br />
tiempo productivo productive time temps productif<br />
tiempo real real time temps réel<br />
tiempo útil uptime temps utilisable<br />
tinta resistiva resistive paste encre résistive<br />
tipo type type<br />
tipo de conductividad conductivity type type de conductivité<br />
tipo de datos abstracto abstract data type type de données abstrait<br />
tipo N N-type type N<br />
tipo P P-type type P<br />
tiratrón thyratron thyratron<br />
tiristor thyristor thyristor<br />
tiristor bidireccional bidirectional thyristor thyristor bilatéral<br />
tiristor con puerta de corte<br />
rápido<br />
gate turn-off thyristor (GTO) thyristor blocable par la<br />
gâchette<br />
tiristor triodo bilateral bidirectional triode thyristor thyristor triode bilatéral<br />
tiristorizado thyristorized thyristorisé<br />
titanio titanium titane<br />
tolerancia de paralelismo parallelism tolerance tolérance de parallélisme<br />
tolueno toluene toluène<br />
toma estática static port prise statique<br />
tope spigot butée<br />
topología layout topologie<br />
toroide toroid tore<br />
torsión kinking vrillage<br />
torsión twist vrillage<br />
total de control checksum somme de contrôle<br />
toxicidad aguda acute toxicity toxicité aiguë<br />
trabajo de extracción work function travail d'extraction<br />
traductor de pruebas test postprocessor traducteur de test<br />
trama raster trame<br />
trampa trap piège<br />
trampa de electrones electron trapping piégeage d'électrons<br />
transacción transaction transaction<br />
transacción abierta open transaction transaction ouverte<br />
transconductancia transconductance transconductance<br />
transductor transducer transducteur<br />
transductor de energía<br />
eléctrica<br />
electric energy transducer transducteur d'énergie<br />
électrique<br />
transferencia atómica atomic transfer transfert atomique<br />
transferencia automática<br />
sobre cinta<br />
tape automated bonding (TAB) transfert automatique sur<br />
bande<br />
transferencia de compuesto compound transfer transfert du composé
Español English Français<br />
transferencia de imagen imaging report d'image<br />
transferencia interactiva interactive transfer transfert interactif<br />
transferencia pasiva passive transfer transmission passive<br />
transformador transformer transformateur<br />
transición de densidad density transition transition de densité<br />
transición térmica thermal transition transition thermique<br />
transición vítrea glass transition transition vitreuse<br />
transistor transistor transistor<br />
transistor balístico ballistic transistor transistor balistique<br />
transistor bidireccional bidirectional transistor transistor bilatéral<br />
transistor bipolar bipolar transistor transistor bipolaire<br />
transistor bipolar<br />
complementario<br />
transistor bipolar de puerta<br />
aislada<br />
complementary bipolar<br />
transistor<br />
insulated gate bipolar<br />
transistor<br />
transistor bipolaire<br />
complémentaire<br />
transistor bipolaire à grille<br />
isolée<br />
transistor bipolar integrado integrated bipolar transistor transistor bipolaire intégré<br />
transistor de alta movilidad de<br />
electrones<br />
transistor de alta movilidad de<br />
electrones<br />
transistor de alta movilidad de<br />
electrones<br />
high-electron mobility<br />
transistor<br />
modulation doped field-effect<br />
transistor<br />
two-dimensional electron gas<br />
field-effect transistor<br />
transistor à haute mobilité<br />
d'électrons<br />
transistor à haute mobilité<br />
d'électrons<br />
transistor à haute mobilité<br />
d'électrons<br />
transistor de doble puerta double-gate transistor transistor à double gâchette<br />
transistor de efecto de campo field-effect transistor (FET) transistor à effet de champ<br />
transistor de efecto de campo<br />
de canal N<br />
transistor de efecto de campo<br />
de canal P<br />
transistor de efecto de campo<br />
de canal P<br />
transistor de efecto de campo<br />
de metal semiconductor<br />
transistor de efecto de campo<br />
de puerta aislada<br />
transistor de efecto de campo<br />
de puerta aislada<br />
transistor de efecto de campo<br />
de Schottky<br />
transistor de efecto de campo<br />
de semiconductor de óxido<br />
metálico<br />
transistor de efecto de campo<br />
de tipo enriquecimiento<br />
N-channel field-effect<br />
transistor<br />
transistor à effet de champ à<br />
canal N<br />
P-channel FET transistor à effet de champ à<br />
canal P<br />
P-channel field-effect<br />
transistor<br />
metal semiconductor fieldeffect<br />
transistor (MESFET)<br />
transistor à effet de champ à<br />
canal P<br />
transistor à effet de champ<br />
métal semi-conducteur<br />
insulated gate FET transistor à effet de champ à<br />
grille isolée<br />
insulated gate field-effect<br />
transistor<br />
transistor à effet de champ à<br />
grille isolée<br />
Schottky field-effect transistor transistor à effet de champ<br />
Schottky<br />
metal-oxide semiconductor<br />
field-effect transistor<br />
(MOSFET)<br />
enhancement type field-effect<br />
transistor<br />
transistor à effet de champ de<br />
semi-conducteur d'oxyde de<br />
métal<br />
transistor à effet de champ à<br />
enrichissement<br />
transistor de efecto de campo junction field-effect transistor transistor à effet de champ à
Español English Français<br />
de unión (JFET) jonction<br />
transistor de efecto de campo<br />
de unión<br />
transistor de inducción<br />
estática<br />
junction gate field-effect<br />
transistor<br />
transistor à effet de champ à<br />
jonction<br />
static induction transistor transistor à induction statique<br />
transistor de película delgada thin-film transistor (TFT) transistor à couches minces<br />
transistor de película gruesa thick-film transistor transistor à couches épaisses<br />
transistor de sustrato<br />
empobrecido<br />
depleted substrate transistor transistor à substrat appauvri<br />
transistor de un solo electrón single-electron transistor transistor à un électron<br />
transistor de unión junction transistor transistor à jonction<br />
transistor horizontal horizontal transistor transistor horizontal<br />
transistor lateral lateral transistor transistor latéral<br />
transistor mesa mesa transistor transistor mesa<br />
transistor MOS MOS transistor transistor MOS<br />
transistor MOS<br />
complementario<br />
complementary MOS (CMOS)<br />
transistor<br />
transistor NPN NPN transistor transistor NPN<br />
transistor MOS complémentaire<br />
transistor plano planar transistor transistor planaire<br />
transistor PNP PNP transistor transistor PNP<br />
transistor Schottky Schottky transistor transistor Schottky<br />
transistor unipolar unipolar transistor transistor unipolaire<br />
transistor vertical vertical transistor transistor vertical<br />
transitorio glitch transitoire<br />
transmisión bipolar bipolar transmission transmission bipolaire<br />
transmisión polar polar transmission transmission polaire<br />
transmisor transmitter émetteur<br />
transporte balístico ballistic transport transport balistique<br />
tratamiento de superficie surface treatment traitement de surface<br />
trazador electrostático electrostatic plotter traceur électrostatique<br />
triac triac triac<br />
tricloruro de boro boron trichloride trichlorure de bore<br />
trifluoruro de boro boron trifluoride trifluorure de bore<br />
triodo triode triode<br />
trióxido de arsénico arsenic trioxide oxyde arsénieux<br />
tubo tube tube<br />
tubo analizador camera tube tube analyseur<br />
tubo analizador image pick-up tube tube analyseur<br />
tubo convertidor de imagen image converter tube tube convertisseur d'image<br />
tubo de difusión diffusion tube tube à diffusion<br />
tubo de gas gas-filled tube tube à gaz<br />
tubo de haz electrónico electron-beam tube tube à faiisceau d'électrons
Español English Français<br />
tubo de ondas progresivas travelling wave tube tube à ondes progressives<br />
tubo de rayos catódicos cathode ray tube tube à rayons cathodiques<br />
tubo de vacío vacuum tube tube à vide<br />
tubo electrónico electronic tube tube électronique<br />
tunelización tunneling tunnellisation<br />
tunelización de Fowler-<br />
Nordheim<br />
Fowler-Nordheim tunneling tunnellisation de Fowler-<br />
Nordheim<br />
tungsteno tungsten tungstène<br />
ultravioleta ultraviolet ultraviolet<br />
ultravioleta extremo extreme ultraviolet ultraviolet extrême<br />
ultravioleta profundo (UVP) deep ultraviolet ultraviolet profond (UVP)<br />
umbral threshold seuil<br />
umbral de percepción del olor odor threshold seuil de perception de l'odeur<br />
unidad unit unité<br />
unidad aritmética y lógica arithmetic logic unit unité arithmétique logique<br />
unidad conectable plug-in unit tiroir<br />
unidad conectable slide-in unit tiroir<br />
unidad de fabricación final back end line unité de fabrication finale<br />
unidad de fabricación inicial front end unité de fabrication initiale<br />
unidad de fabricación inicial front end-of-line (FEOL) unité de fabrication initiale<br />
unidad de filtrado filtration unit unité de filtration<br />
unidad de presión pressure unit unité de pression<br />
unidad de tratamiento process unit unité de traitement<br />
unidad final back end unité finale<br />
unión junction jonction<br />
unión abrupta abrupt junction jonction abrupte<br />
unión base-colector collector junction jonction base-collecteur<br />
unión base-emisor emitter junction jonction base-émetteur<br />
unión epitaxial epitaxial junction jonction épitaxiale<br />
unión escalonada graded junction jonction étagée<br />
unión metalúrgica metallurgical junction jonction métallurgique<br />
unión PIN PIN junction jonction PIN<br />
unión PN P-N junction jonction PN<br />
unión PN radial radial P-N junction jonction P-N radiale<br />
unión por aleación alloyed junction jonction par alliage<br />
unión por difusión diffused junction jonction par diffusion<br />
usuario user utilisateur<br />
usuario de servicio service user utilisateur de service<br />
vacío vacuum vide<br />
vacío dieléctrico dielectric void vide diélectrique<br />
vaina sheath gaine
Español English Français<br />
vaina sleeve gaine<br />
valor binario binary value valeur binaire<br />
valor eficaz RMS value valeur efficace<br />
valor eficaz root mean square value valeur efficace<br />
valor límite umbral threshold limit value (TLV) valeur limite seuil<br />
válvula valve soupape<br />
válvula automática automatic valve valve automatique<br />
válvula de aislamiento isolation valve clapet d'isolement<br />
válvula de ajuste metering valve soupape de réglage<br />
válvula de cierre shutoff valve soupape de retenue<br />
válvula de control check valve clapet de contrôle<br />
válvula de descarga relief valve soupape de décharge<br />
válvula de doble vía two-way valve valve à deux voies<br />
válvula de tres vías three-way valve soupape à trois voies<br />
válvula de vacío vacuum valve soupape de dépression<br />
válvula reductora de presión pressure reducing valve réducteur de pression<br />
vapor fume fumée<br />
vapor vapor vapeur<br />
vapor pirogénico pyrogenic steam vapeur pyrogéné<br />
varactor varactor varacteur<br />
varactor varactor diode varacteur<br />
variación de grosor thickness variation variation d'épaisseur<br />
varianza de Allan Allan variance variance d'Allan<br />
varianza de Allan two-sample variance variance d'Allan<br />
varistor varistor varistor<br />
vatio watt watt<br />
vector de medicamento drug carrier vecteur de médicament<br />
vector de prueba test vector vecteur de test<br />
vectorización de<br />
medicamentos<br />
drug targeting vectorisation de médicaments<br />
velocidad de barrido scanning rate vitesse de balayage<br />
velocidad de corrosión corrosion rate vitesse de corrosion<br />
velocidad de deriva drift velocity vitesse de dérive<br />
velocidad de flujo flow rate débit<br />
velocidad de flujo flow velocity débit<br />
velocidad de recombinación<br />
superficial<br />
surface recombination velocity vitesse de recombinaison<br />
superficielle<br />
velocidad del aire air velocity vitesse de l'air<br />
ventana window fenêtre<br />
ventana de caracteres character window fenêtre de caractères<br />
ventana de contacto contact cut fenêtre de contact
Español English Français<br />
ventana de contacto contact window fenêtre de contact<br />
ventana de difusión diffusion window fenêtre de diffusion<br />
ventana de difusión oxide cut fenêtre de diffusion<br />
verificación verification vérification<br />
verificación de la capacidad de<br />
enlace<br />
verificación de las reglas de<br />
diseño<br />
verificación de las reglas de<br />
interconexión<br />
bondability vérification de la capacité de<br />
liaison<br />
design rule checking vérification des règles de tracé<br />
electrical rule checking vérification des règles<br />
d'interconnexion<br />
verificador de prototipos bench tester vérificateur de prototype<br />
vibrador de cuarzo crystal vibrator vibreur à quartz<br />
vidicón vidicon vidicon<br />
vidrio glass vitre<br />
viscosidad viscosity viscosité<br />
vobulador wobulator vobulateur<br />
volatilidad volatility volatilité<br />
volumen de flujo flow volume volume de débit<br />
vuelta atrás roll back retour en arrière<br />
vuelta de espira turn of wire tour de conducteur<br />
xerografía xerography xérographie<br />
xileno xylene xylène<br />
zafiro sapphire saphir<br />
zeolita zeolite zéolite<br />
zócalo fixed connector embase<br />
zócalo socket embase<br />
zócalo ZIF ZIF socket embase ZIF<br />
zona auxiliar ancillary area zone auxiliaire<br />
zona crítica critical area zone critique<br />
zona de Brillouin Brillouin zone zone de Brillouin<br />
zona de conexión bonding area plot de connexion<br />
zona de conexión bonding pad plot de connexion<br />
zona de contactos contact pad plot de connexion<br />
zona de exclusión exclusion zone zone d'exclusion<br />
zona de montaje mounting land plage d'accueil<br />
zona de soldadura bond pad plage de soudure<br />
zona de trabajo controlada controlled work area zone de travail contrôlée<br />
zona de tratamiento process zone zone de traitement<br />
zona denudada denuded zone zone dépouillée<br />
zona plana flat zone zone plate<br />
zona tranquila quiet zone zone de calme