28.12.2016 Views

Vivado Design Suite ユーザー ガイド

ug909-vivado-partial-reconfiguration

ug909-vivado-partial-reconfiguration

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

第 3 章 : <strong>Vivado</strong> ツール フロー<br />

これによ り、 PR デザインを 正 しくインプリ メントするために 必 要 なツールのパーシャル リコンフィギュレーション<br />

機 能 がアクテ ィブにな り ます。 HD.RECONFIGURABLE プ ロパテ ィ に よ り 、 い く つかの 制 約 およびタ ス ク が 適 用 さ れ<br />

ます。<br />

• 指 定 のセルおよびインターフェイス ネッ トに DONT_TOUCH を 設 定 し ます。 これによ り、 モジュールの 境 界 を 越<br />

えた 最 適 化 は 実 行 さ れな く な り ます。<br />

• セルの Pblock に EXCLUDE_PLACEMENT を 設 定 します。 これによ り、 スタテ ィ ッ ク ロジックがリ コンフィギャ<br />

ラ ブル 領 域 に 配 置 さ れる のを 防 ぎ ます。<br />

• セルの Pblock に CONTAIN_ROUTING を 設 定 し ます。 これによ り、 リ コンフ ィ ギャ ラブル モジ ュールのすべて<br />

の 配 線 が 境 界 内 に 収 め ら れます。<br />

• DRC、 ク ロ ッ ク 配 線 などで 特 別 の コー ド を イ ネーブルに し ます。<br />

リ コ ン フ ィ ギャ ラ ブル 領 域 のフ ロアプ ラ ン を 作 成<br />

各 リコンフィギャラブル パーテ ィ シ ョ ンには、 リ コ ン フ ィ ギ ャ ラ ブル モジ ュールに 使 用 可 能 な 物 理 リ ソース を 定 義<br />

するため Pblock が 必 要 です。 こ の Pblock はリコンフィギャラブル パーテ ィ シ ョ ンに 設 定 する ので、 次 の 制 限 および<br />

要 件 が 適 用 さ れます。<br />

• Pblock には、 有 効 な リ コ ンフ ィ ギャ ラブル エレ メン ト タ イプのみを 含 めます。 領 域 がほかのサイ ト タイプと 重<br />

な る 可 能 性 も あ り ますが、 こ れら のほかのサ イ ト は resize_pblock コマンドに 含 めないよ うにする 必 要 があ<br />

ります。<br />

• 各 コンポーネン ト タ イ プの 複 数 の Pblock 矩 形 を 使 用 し て リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン 領 域 を 作 成 でき<br />

ますが、 配 線 性 が 高 く な る よ う 、 こ れら の 矩 形 は 連 続 し てい る 必 要 があ り ます。 リ コ ン フ ィ ギ ャ ラ ブルでない<br />

リ ソース 用 のギャ ッ プを 含 める こ と は 可 能 ですが、 一 般 的 には、 全 体 の 形 をシンプルにし た 方 がデザイ ンを 配<br />

置 配 線 しやす く な り ます。<br />

• 7 シリーズ デバイ スで RESET_AFTER_RECONFIG プ ロパテ ィ を 使 用 する 場 合 は、 Pblock の 高 さ を ク ロ ッ ク 領 域 の<br />

境 界 に 揃 え る 必 要 があ り ます。 詳 細 は、 「リコンフィギュレーション 後 にリセッ トを 適 用 」 を 参 照 して く ださい。<br />

• 7 シリーズ デバイ スでは、 Pblock の 幅 および 構 成 ために イ ン ターコ ネ ク ト 列 が 分 割 さ れない よ う にする 必 要 が<br />

あります。 詳 細 は、 第 6 章 の 「7 シリーズ デバイ スでの Pblock の 作 成 」 を 参 照 して く ださい。<br />

• SVD パーツの Pblock を 定 義 する 際 は、 最 大 の RM を 考 慮 する 必 要 があ り ます。 そ う し ない と デザ イ ンが 過 剰 に<br />

使 用 さ れ、 write_bitstream コマンドを 実 行 したときにエラーがレポート されます。<br />

• Pblock がデザインのほかの Pblock と 重 ならないよ うにする 必 要 があります。<br />

• リコンフィギャラブル パーテ ィ シ ョ ンのネス ト (コンフィギャラブル パーテ ィ シ ョ ン 内 に 別 の リ コ ン フ ィ ギ ャ<br />

ラブル パーテ ィ シ ョ ン を 含 める) は 現 在 の と こ ろサポー ト されていません。 リ コ ンフ ィ ギャ ラブル パーテ ィ<br />

ション 内 のフロアプラン ロジックの 標 準 Pblock およびネス ト された Pblock はサポー ト されています。<br />

パーシ ャル リコンフィギュレーション 28<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!