28.12.2016 Views

Vivado Design Suite ユーザー ガイド

ug909-vivado-partial-reconfiguration

ug909-vivado-partial-reconfiguration

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

<strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong><br />

<strong>ユーザー</strong> <strong>ガイド</strong><br />

パーシ ャル<br />

リコンフィギュレーション<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

この 資 料 は 表 記 のバージョンの 英 語 版 を 翻 訳 したもので、 内 容 に 相 違 が 生 じる 場 合 には 原 文 を 優 先 します。<br />

資 料 によっては 英 語 版 の 更 新 に 対 応 していないものがあります。 日 本 語 版 は 参 考 用 としてご 使 用 の 上 、 最 新<br />

情 報 につきましては、 必 ず 最 新 英 語 版 をご 参 照 ください。


改 訂 履 歴<br />

次 の 表 に、 こ の 文 書 の 改 訂 履 歴 を 示 し ます。<br />

日 付 バージ ョ ン 改 訂 内 容<br />

2016 年 10 月 5 日 2016.3 第 4 章 「<strong>Vivado</strong> プロジェク ト フロー」 を 追 加 。<br />

フ ロ アプ ラ ンニングの 追 加 情 報 を 含 む 「CONTAIN_ROUTING エ リ アの 拡 張 」 を 追 加 。<br />

「 概 要 」 のデバイ ス サポー ト をア ッ プデー ト し、 UltraScale+ を 含 む 新 規 デバイ スに 関 す<br />

る 箇 所 をアップデート。<br />

表 8-3 を 追 加 し、 表 8-2 をアップデート。<br />

図 7-3 をアップデート。<br />

2016 年 6 月 13 日 2016.2 第 7 章 「UltraScale および UltraScale+ デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガ イ ド ラ イ<br />

ン」 に 編 集 上 の 変 更 を 追 加 。<br />

「RP 内 の ク ロ ッ ク のフ ロ アプ ラ ン 規 則 」 に 情 報 を 追 加 。<br />

「I/O 規 則 」 に RM の MMCM および PLL コ ンポーネン ト に 関 する 情 報 を 追 加 。<br />

第 8 章 「デバイスのコンフィギュレーション」に 表 8-1 を 追 加 。<br />

2016 年 4 月 6 日 2016.1 「 概 要 」 および 「パーシ ャル リコンフィギュレーションの 要 件 と<strong>ガイド</strong>ライン」 でデバ<br />

イス サポー ト 情 報 を 更 新 。<br />

「パーシ ャル リコンフィギュレーションの 要 件 と<strong>ガイド</strong>ライン」 に RM 間 で I/O を 揃 え<br />

る こ と に 関 する 情 報 を 追 加 。<br />

「 標 準 バス インターフェイスを 使 用 したコンフィギュレーション」 および 「Tandem コン<br />

フィギュレーションおよびパーシャル リコンフィギュレーション」 に Tandem コンフィ<br />

ギュレーションおよび PR に 関 する 情 報 を 追 加 。<br />

「 表 示 ス ク リ プ ト をオン」 で 自 動 ス ク リ プ ト 作 成 に 関 する 情 報 を ア ッ プデー ト 。<br />

「インプリ メンテーシ ョ ン」 に 配 置 配 線 結 果 の 保 持 と 新 し い コ ン フ ィ ギ ュ レーシ ョ ンの<br />

作 成 に 関 する 情 報 を 追 加 。 「 既 知 の 問 題 」 か ら 関 連 項 目 を 削 除 。<br />

「ビ ッ ト ス ト リ ーム 生 成 」 を 「パーシ ャル ビ ッ ト ス ト リ ームのみの 生 成 」、 「フル コン<br />

フィギュレーション ビ ッ ト ス ト リ ームのみの 生 成 」、 および 「ス タ テ ィ ッ ク 部 分 のみの<br />

ビ ッ ト ス ト リ ームの 生 成 」 を 追 加 してアップデー ト 。<br />

「ロ ジ ッ ク 解 析 ブ ロ ッ ク を 使 用 し たデバ ッ グ」 の PR デザイ ンにおけ る <strong>Vivado</strong> ロジック<br />

解 析 の 使 用 方 法 を 明 確 にする ため 変 更 。<br />

「Pblock の PU の 自 動 調 整 」 を hd.visual を 使 用 する 必 要 がない こ と を 含 めてア ッ プ<br />

デー ト 。<br />

新 しいセクシ ョ ン 「RP 内 の ク ロ ッ ク のフ ロ アプ ラ ン 規 則 」 を 追 加 。<br />

「I/O 規 則 」 に、 PR を 使 用 し て 変 更 可 能 な I/O 特 性 に 関 する 情 報 を 追 加 。<br />

「UltraScale および UltraScale+ デバイ ス デザイ ンでのパーシ ャル リコンフィギュレー<br />

ション チェッ ク リ ス ト」 に 新 しいセ ク シ ョ ン 「Pblock 境 界 」 を 追 加 。<br />

「I/O のリコンフィギャラブル パーテ ィ シ ョ ンへの 配 置 」 をアップデート。<br />

「ブラ ンキング ビッ トスト リーム」 にグ リ ッ チが 発 生 する 可 能 性 を 回 避 する ために 必 要<br />

だったブランキング ビッ トスト リームが 必 要 なくなったことを 含 めてアップデート。<br />

「 既 知 の 問 題 」 からブランキング ビ ッ ト ス ト リ ームの 推 奨 情 報 を 削 除 。<br />

「 既 知 の 制 限 」 に、 STARTUP プリ ミティブではパーシャル ビ ッ ト ス ト リ ームの 読 み 込 み<br />

がサポー ト さ れない こ と を 記 述 。<br />

パーシ ャル リコンフィギュレーション 2<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


目 次<br />

改 訂 履 歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2<br />

第 1 章 : 概 要<br />

概 要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5<br />

パーシ ャル リコンフィギュレーションについて. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6<br />

用 語 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7<br />

設 計 に 関 する 考 慮 事 項 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9<br />

パーシ ャル リコンフィギュレーションのライセンス. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13<br />

第 2 章 : 一 般 的 なアプ リ ケーシ ョ ン<br />

概 要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14<br />

ネッ ト ワーク 接 続 された 複 数 のインターフェイス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14<br />

標 準 バス インターフェイスを 使 用 したコンフィギュレーション. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16<br />

ダイナミ ック リ コ ン フ ィ ギ ュ レーシ ョ ン 可 能 なパケ ッ ト プロセッサ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18<br />

非 対 称 キー 暗 号 化 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19<br />

まとめ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20<br />

第 3 章 : <strong>Vivado</strong> ツール フロー<br />

概 要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21<br />

パーシ ャル リコンフィギュレーション コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22<br />

パーシ ャル リコンフィギュレーションの 制 約 とプロパティ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27<br />

リコンフィギュレーション 後 にリセットを 適 用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34<br />

ツール フロー. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36<br />

Tcl スクリプト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41<br />

第 4 章 : <strong>Vivado</strong> プロジェク ト フロー<br />

概 要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42<br />

フローの 概 要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42<br />

パーシ ャル リコンフィギュレーション プ ロ ジ ェ ク ト の 作 成 および 使 用 手 順 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43<br />

サポートされる 機 能 とサポートされない 機 能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56<br />

第 5 章 : すべてのザイ リ ン ク ス デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガ イ ド ラ イ ン<br />

概 要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58<br />

デザイ ン 階 層 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58<br />

パーテ ィ シ ョ ン ピンの 配 置 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61<br />

アクティブ Low のリセット とクロック イネーブル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62<br />

デカ ップ リ ング 機 能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62<br />

ブラック ボックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63<br />

イ ンプ リ メ ンテーシ ョ ンでの 効 果 的 な 方 法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64<br />

リコンフィギャブル パーテ ィ シ ョ ン 境 界 の 定 義 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65<br />

デ ッ ド ロ ッ ク 状 態 の 回 避 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66<br />

パーシ ャル リコンフィギュレーション 3<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


デザイ ン リビジョン チェッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67<br />

シ ミ ュ レーシ ョ ンおよび 検 証 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67<br />

第 6 章 : 7 シリーズおよび Zynq デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガ イ ド ラ イ ン<br />

概 要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68<br />

リコンフィギャラブル モジュール 内 のデザイン エレメン ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68<br />

グローバル クロックの 規 則 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69<br />

7 シリーズ デバイ スでの Pblock の 作 成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71<br />

高 速 ト ラ ンシーバーの 使 用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79<br />

パーシ ャル リコンフィギュレーション デザイ ン チェッ ク リ ス ト (7 シリーズ デバイ ス) . . . . . . . . . . . . . . . . . . . . 79<br />

第 7 章 : UltraScale および UltraScale+ デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガ イ ド ラ イ ン<br />

概 要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83<br />

リコンフィギャラブル モジュール 内 のデザイン エレメン ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83<br />

UltraScale および UltraScale+ デバイ スでの Pblock の 作 成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84<br />

グローバル クロックの 規 則 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91<br />

I/O 規 則 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92<br />

高 速 ト ラ ンシーバーの 使 用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93<br />

UltraScale および UltraScale+ デバイ ス デザイ ンでのパーシ ャル リコンフィギュレーション チェッ ク リ ス ト . . 94<br />

第 8 章 : デバイスのコ ン フ ィ ギュ レーシ ョ ン<br />

概 要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99<br />

コンフィギュレーション モード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99<br />

ビッ トスト リーム タイプの 定 義 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101<br />

ICAP を 介 したパーシャル リコンフィギュレーション (Zynq-7000 デバイ ス). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105<br />

Tandem コンフィギュレーションおよびパーシャル リコンフィギュレーション. . . . . . . . . . . . . . . . . . . . . . . . . . . 106<br />

内 部 コ ン フ ィ ギ ュ レーシ ョ ン ポー ト に 配 布 する ための BIN ファイルのフォーマッ ト . . . . . . . . . . . . . . . . . . . . . 109<br />

UltraScale デバイ スでの BIT ファイルのサマリ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110<br />

FPGA をコンフィギュレーションするシステム デザイ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111<br />

パーシ ャル BIT フ ァ イルの 整 合 性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112<br />

コンフィギュレーション フレーム. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114<br />

コンフィギュレーション 時 間 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115<br />

コンフィギュレーション デバ ッ グ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 116<br />

第 9 章 : 既 知 の 問 題 および 制 限<br />

既 知 の 問 題 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119<br />

既 知 の 制 限 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120<br />

付 録 A: その 他 の リ ソースおよび 法 的 通 知<br />

ザイ リ ンクス リソース. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121<br />

ソリューション センター. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121<br />

Documentation Navigator およびデザ イ ン ハブ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121<br />

参 考 資 料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122<br />

トレーニング リソース. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123<br />

お 読 み く だ さ い: 重 要 な 法 的 通 知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124<br />

パーシ ャル リコンフィギュレーション 4<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 1 章<br />

概 要<br />

概 要<br />

パーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ンは、 ア ク テ ィ ブなデザ イ ン 内 でモジ ュールを 動 的 に 変 更 する こ と を 可 能 に し<br />

ます。 このフローでは、 複 数 のコンフィギュレーションのインプリメンテーション ( 各 コンフ ィギュレーシ ョ ンのフ<br />

ル ビットスト リーム) と、 各 リ コンフィギャラブル モジュールのパーシャル ビッ トスト リームが 必 要 です。<br />

必 要 な コ ン フ ィ ギ ュ レーシ ョ ンの 数 はイ ンプ リ メ ン ト する 必 要 のあ る モジ ュールの 数 に よ って 異 な り ますが、 すべ<br />

ての コ ン フ ィ ギ ュ レーシ ョ ンで 同 じ 最 上 位 (スタティック) の 配 置 配 線 結 果 が 使 用 さ れます。 こ れ ら のス タ テ ィ ッ ク<br />

の 結 果 は 初 期 コ ン フ ィ ギ ュ レーシ ョ ンか ら エ ク ス ポー ト さ れ、 その 後 のすべての コ ン フ ィ ギ ュ レーシ ョ ンにチェ ッ<br />

クポイントを 使 用 してインポート されます。<br />

こ のガ イ ド は、 次 の よ う に 記 述 さ れています。<br />

• <strong>Vivado</strong> ツールセッ ト でインプ リ メ ン ト されるパーシャル リ コ ン フ ィ ギ ュ レーシ ョ ンについて 説 明 し ます。<br />

• FPGA 設 計 ソ フ ト ウ ェ ア、 特 にザ イ リ ン ク ス <strong>Vivado</strong>® <strong>Design</strong> <strong>Suite</strong> を 使 い 慣 れている こ と を 前 提 と しています。<br />

• <strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> 2016.3 用 に 記 述 さ れています。 こ の リ リ ースでは、 次 の 製 品 のパーシ ャル リコンフィギュ<br />

レーシ ョ ンがサポート されます。<br />

° 7 シリーズ デバイ ス: すべての Virtex ® -7、 Kintex ® -7、 Artix ® -7、 および Zynq ® -7000 All Programmable SoC デ<br />

バイス。<br />

° UltraScale デバイ ス:<br />

- VU440 以 外 のプ ロ ダ ク シ ョ ン デバイ スで 配 置 配 線 およびビ ッ ト ス ト リ ーム 生 成 を イ ネーブル。 こ のデ<br />

バイ スへのア ク セスが 必 要 な 場 合 は、 ご 請 求 く だ さ い。<br />

- ES2 デバイ スではビ ッ ト ス ト リ ーム 生 成 はデフ ォル ト でデ ィ スエーブルですが、 配 置 配 線 は 実 行 可 能<br />

です。<br />

° UltraScale+ デバイ ス:<br />

- このリ リースには、 UltraScale+ デバイ スの 初 期 サポー ト が 含 まれています。 エンジニア リ ング シリコ<br />

ンのみが 入 手 可 能 なので、 こ れら のデバイ スに 対 し てはビ ッ ト ス ト リ ーム 生 成 はオフになっています。<br />

- デバイ ス サポー ト は、 KU9P、 KU15P、 VU7P、 VU9P、 VU13P、 ZU7EV、 ZU9EG に 限 定 されています。<br />

ビデオ: 7 シリーズ デバイ スでの <strong>Vivado</strong> パーシ ャル リコンフィギュレーション ソ リューションの 概 要 は、<br />

<strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> QuickTake ビデオ: <strong>Vivado</strong> でパーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ン を 実 行 を 参 照 して く ださい。<br />

ビデオ: UltraScale デバイ スでの <strong>Vivado</strong> パーシ ャル リコンフィギュレーション ソ リューションの 概 要 は、<br />

<strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> QuickTake ビデオ: UltraScale でのパーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ン を 参 照 して く ださい。<br />

パーシ ャル リコンフィギュレーション 5<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 1 章 : 概 要<br />

パーシ ャル リコンフィギュレーションについて<br />

FPGA テ ク ノ ロ ジには、 変 更 し たデザ イ ンで 再 製 造 する こ と な く 、 オンサ イ ト でプ ロ グ ラ ムおよび 再 プ ロ グ ラ ムで き<br />

る 柔 軟 性 があ り ます。 パーシ ャル リコンフィギュレーション (PR) は こ の 柔 軟 性 を さ ら に 一 歩 進 め、 動 作 中 の FPGA<br />

デザイ ンをパーシ ャル コンフィギュレーション ファイル (パーシ ャル BIT ファイル) を 読 み 込 む こ と に よ り 変 更 で き<br />

るようにします。 フル BIT ファイルで FPGA を コ ン フ ィ ギ ュ レーシ ョ ン し た 後 、 パーシ ャル BIT ファイルをダウン<br />

ロード して FPGA の リ コ ン フ ィ ギ ャ ラ ブル 領 域 を 変 更 し ます。 こ の 際 、 リ コ ン フ ィ ギ ュ レーシ ョ ン さ れない 領 域 で<br />

実 行 さ れてい る アプ リ ケーシ ョ ンが 影 響 を 受 け る こ と はあ り ません。<br />

図 1-1 に、 パーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ンの 基 本 的 な 概 念 を 示 し ます。<br />

X-Ref Target - Figure 1-1<br />

FPGA<br />

Reconfig<br />

Block “A”<br />

A4.bit<br />

A3.bit<br />

A2.bit<br />

A1.bit<br />

この 図 に 示 すよ うに、 Reconfig Block A にインプリ メン ト されたファンクショ ンは、 A1.bit、 A2.bit、 A3.bit、<br />

または A4.bit のいずれかのパーシャル BIT フ ァ イルをダ ウ ン ロー ド する こ と に よ り 変 更 で き ます。FPGA デザイ ン<br />

のロジッ クは、 リ コンフィギャラブル ロジックとスタティ ック ロジックの 2 種 類 に 分 類 で き ます。 FPGA ブロック<br />

の 灰 色 の 部 分 はス タ テ ィ ッ ク ロジックを 表 し、 Reconfig Block "A" と 示 された 部 分 はリ コンフィギャラブル ロジック<br />

を 表 します。 スタテ ィ ッ ク ロジックは 動 作 し 続 け、 パーシャル BIT フ ァ イルの 読 み 込 みの 影 響 は 受 け ません。 リ コ<br />

ンフィギャラブル ロジックは、 パーシャル BIT ファイルの 内 容 に 置 き 換 えられます。<br />

1 つの FPGA でハー ド ウ ェ アを 動 的 に 時 分 割 で き る 機 能 には、 多 数 の 利 点 があ り ます。 その 一 部 を 次 に 示 し ます。<br />

• 特 定 のフ ァ ン ク シ ョ ン を イ ンプ リ メ ン ト する のに 必 要 な FPGA のサ イ ズを 削 減 し て、 コ ス ト および 消 費 電 力 を<br />

削 減<br />

• アプ リ ケーシ ョ ンで 使 用 可 能 なアルゴ リ ズム ま たはプ ロ ト コルの 選 択 肢 が 広 が る<br />

• デザイ ン セキ ュ リ テ ィ の 新 し い 技 術 を 使 用 可 能<br />

• FPGA のフォールト トレランスを 向 上<br />

• 再 構 成 可 能 コ ン ピ ューテ ィ ングを 促 進<br />

図 1-1: パーシ ャル リ コ ン フ ィ ギュ レーシ ョ ンの 基 本 的 な 概 念<br />

パーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ンは、 サ イ ズ、 重 量 、 消 費 電 力 、 コ ス ト を 削 減 する のに 加 え、 新 し い タ イ プの<br />

FPGA デザイ ンを 可 能 にし ます。<br />

X12001<br />

パーシ ャル リコンフィギュレーション 6<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 1 章 : 概 要<br />

用 語<br />

次 の 用 語 はパーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ン 機 能 に 特 定 の 用 語 であ り 、 こ のガ イ ド で 使 用 さ れます。<br />

ボトムアップ 合 成<br />

1 つま たは 複 数 のプ ロ ジ ェ ク ト で、 デザ イ ン をモジ ュールご と に 合 成 する 手 法 。 ボ ト ムア ッ プ 合 成 では、 各 パーテ ィ<br />

シ ョ ン 用 に 記 述 さ れた 個 別 のネ ッ ト リ ス ト が 必 要 で、 境 界 を 越 え る 最 適 化 は 実 行 さ れず、 デザ イ ンの 各 部 分 が 個 別<br />

に 合 成 さ れます。 最 上 位 ロ ジ ッ ク では、 パーテ ィ シ ョ ン をブ ラ ッ ク ボ ッ ク ス と し て 合 成 する 必 要 があ り ます。<br />

コンフィギュレーション<br />

各 リコンフィギャラブル パーテ ィ シ ョ ンに 対 し て 1 つの リ コ ンフ ィ ギ ャ ラブル モジ ュールを 含 む 完 全 なデザ イ ン。<br />

パーシ ャル リコンフィギュレーション FPGA プロジェクトには、 複 数 のコンフィギュレーションがあります。 各 コ<br />

ンフィギュレーショ ンに 対 して、フル BIT ファイル 1 つと、 各 リ コンフィギャラブル モジュール (RM) にパーシャル<br />

BIT ファイルが 1 つずつ 生 成 さ れます。<br />

コンフィギュレーション フレーム<br />

FPGA コンフィギュレーション メ モ リ 空 間 のア ド レ ス 指 定 可 能 な 最 小 セグ メ ン ト 。 リ コ ン フ ィ ギ ャ ラ ブル フレーム<br />

は、 最 下 位 エレ メ ン ト か ら 構 成 さ れます。 ザ イ リ ン ク ス デバイ スでは、 基 本 リ コ ン フ ィ ギ ャ ラ ブル フレームは 幅 が<br />

1 エレ メン ト (CLB、 BRAM、 DSP)、 高 さが 1 ク ロ ッ ク 領 域 です。 フ レームに 含 まれる リ ソ ース 数 は、 デバイ ス ファ<br />

ミリによって 異 なります。<br />

内 部 コ ン フ ィ ギュ レーシ ョ ン アクセス ポー ト (ICAP)<br />

内 部 コ ン フ ィ ギ ュ レーシ ョ ン アクセス ポー ト (ICAP) は、 SelectMAP インターフェイスの 内 部 バージョン。 詳 細 は、<br />

『7 シリーズ FPGA コンフィギュレーション <strong>ユーザー</strong> <strong>ガイド</strong>』 (UG470) [ 参 照 7] または 『UltraScale アーキテクチャ コ<br />

ンフィギュレーショ ン <strong>ユーザー</strong> <strong>ガイド</strong>』 (UG570) [ 参 照 8] を 参 照 して く ださい。<br />

メディア コンフィギュレーション アクセス ポー ト (MCAP)<br />

各 UltraScale デバイ スに 含 まれる 特 定 の PCIe ® ブロックから ICAP への 専 用 リ ン ク。 このエン ト リ ポイン トは、 ザイ<br />

リンクス PCIe IP をコンフィギュレーションするときに 有 効 にできます。<br />

パーシ ャル リコンフィギュレーション (PR)<br />

パーシ ャル ビ ッ ト ス ト リ ーム をダ ウ ン ロー ド する こ と に よ り 動 作 中 の FPGA デザイ ンのロ ジ ッ ク のサブセ ッ ト を 変<br />

更 する こ と 。<br />

パーテ ィ シ ョ ン<br />

デザ イ ンの 再 利 用 のために 階 層 境 界 で 定 義 さ れたデザ イ ンの 論 理 セ ク シ ョ ン。 新 し く イ ンプ リ メ ン ト する か、 以 前<br />

のインプリメンテーションを 保 持 します。 保 持 されたパーティションは、 機 能 が 同 一 であるだけでなく、インプリ<br />

メ ンテーシ ョ ン も 同 一 です。<br />

パーシ ャル リコンフィギュレーション 7<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 1 章 : 概 要<br />

パーテ ィ シ ョ ン 定 義 (PD)<br />

これはプロジェク ト フ ローのみで 使 用 される 用 語 です。 パーテ ィ シ ョ ン 定 義 は、 モジュール インスタンス (または<br />

リコンフィギャラブル パーテ ィ シ ョ ン) に 関 連 付 け られてい る リ コ ン フ ィ ギ ャ ラ ブル モジュール セッ トを 定 義 しま<br />

す。 PD はモジュールのすべてのインスタンスに 適 用 され、 モジュール イ ン ス タ ン スのサブセ ッ ト に 関 連 付 け る こ と<br />

はでき ません。<br />

パーテ ィ シ ョ ン ピン<br />

スタティック ロジックと リ コンフィギャラブル ロ ジ ッ ク の 間 の 論 理 および 物 理 接 続 。 すべての リ コ ン フ ィ ギ ャ ラ ブ<br />

ル パーテ ィ シ ョ ン ポー ト に 対 し て 自 動 的 に 作 成 さ れます。<br />

プロセッサ コンフィギュレーション アクセス ポー ト (PCAP)<br />

プロセッサ コンフィギュレーション アクセス ポー ト (PCAP) は、 内 部 コ ン フ ィ ギ ュ レーシ ョ ン アクセス ポー ト<br />

(ICAP) と 類 似 した、 Zynq-7000 AP SoC デバイ ス を コ ン フ ィ ギ ュ レーシ ョ ンする 場 合 に 使 用 さ れる プ ラ イ マ リ ポー<br />

ト 。 詳 細 は、 『Zynq-7000 All Programmable SoC テクニカル リファレンス マニ ュアル』 (UG585) [ 参 照 9] を 参 照 して く<br />

ださい。<br />

プログラマブル ユニッ ト (PU)<br />

UltraScale アーキテ ク チャで、 リ コ ン フ ィ ギ ュ レーシ ョ ンに 最 低 限 必 要 な リ ソース。 PU のサイズは リ ソースのタ イ<br />

プによ って 異 な り ます。 UltraScale アーキテクチャでは 隣 接 のサイ ト と 配 線 リ ソース (インターコネク ト タイル) が 共<br />

有 されるので、 PU はペアで 定 義 さ れます。<br />

リコンフィギャラブル フレーム<br />

FPGA 内 で リ コ ン フ ィ ギ ュ レーシ ョ ン 可 能 な 最 小 領 域 。 リ コ ン フ ィ ギ ャ ラ ブル フレームのビッ ト ス ト リーム サイズ<br />

は、 フ レームに 含 まれる ロ ジ ッ ク のタ イ プに よ って 異 な り ます。<br />

リコンフィギャラブル ロジック<br />

リコンフィギャラブル モジュールの 一 部 である 論 理 エレ メン ト。 パーシャル BIT フ ァ イルを 読 み 込 む と 、 こ れ ら の<br />

論 理 エレ メ ン ト が 変 更 さ れます。 LUT、 フリップフロップ、ブロック RAM、 DSP ブロッ クなど、 さまざまなタイプ<br />

の 論 理 コ ンポーネン ト を リ コ ンフ ィ ギ ュ レーシ ョ ンでき ます。<br />

リコンフィギャラブル モジュール (RM)<br />

リコンフィギャラブル パーテ ィ シ ョ ンに イ ンプ リ メ ン ト される ネ ッ ト リ ス ト ま たは HDL 記 述 。 1 つの リ コ ンフ ィ<br />

ギャラブル パーテ ィ シ ョ ンには 複 数 の RM が 含 まれます。<br />

リコンフィギャラブル パーテ ィ シ ョ ン (RP)<br />

インスタンスをリ コンフィギャラブルと 定 義 する 属 性 セッ ト。 異 なる リ コンフィギャラブル モジュールがインプ リ<br />

メ ン ト さ れる 階 層 レベルです。 opt_design、 place_design、 route_design などの Tcl コマンドでは、 インス<br />

タ ン スに 設 定 さ れてい る HD.RECONFIGURABLE プ ロパテ ィ が 検 出 さ れ、 正 し く 処 理 さ れます。<br />

パーシ ャル リコンフィギュレーション 8<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 1 章 : 概 要<br />

スタティ ック ロジック<br />

リコンフィギャラブル パーテ ィ シ ョ ンに 含 まれない 論 理 エレ メ ン ト 。 ス タ テ ィ ッ ク ロジックは、 リ コンフィギュ<br />

レーシ ョ ンされる こ とはな く、 リ コンフ ィ ギャ ラブル パーテ ィ シ ョ ンが リ コ ン フ ィ ギ ュ レーシ ョ ン されている 間 も<br />

常 にア ク テ ィ ブです。 最 上 位 ロ ジ ッ ク と も 呼 ばれます。<br />

スタティ ック デザイ ン<br />

パーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ン 中 に 変 更 さ れないデザ イ ン 部 分 。 最 上 位 モジ ュール と 、 リ コ ン フ ィ ギ ャ ラ ブ<br />

ル と 定 義 さ れていないすべてのモジ ュールを 含 みます。 ス タ テ ィ ッ ク デザイ ンは、 ス タ テ ィ ッ ク ロジック とスタ<br />

テ ィ ッ ク 配 線 で 構 築 さ れます。<br />

設 計 に 関 する 考 慮 事 項<br />

パーシ ャル リコンフィギュレーション (PR) は、 <strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> のア ドバンス フローです。PR プロジェク ト を 開<br />

始 する 前 に、 次 の 要 件 およびガ イ ド ラ イ ン を 理 解 し てお く 必 要 があ り ます。<br />

パーシ ャル リ コ ン フ ィ ギュ レーシ ョ ンの 要 件 と ガ イ ド ラ イ ン<br />

• パーシ ャル リコンフィギュレーションには、<strong>Vivado</strong> 2013.3 以 降 を 使 用 する 必 要 があ り ます。<br />

° パーシ ャル リコンフィギュレーションは ISE <strong>Design</strong> <strong>Suite</strong> でもサポート されています。 Virtex-6、 Virtex-5、<br />

Virtex-4 デバイ ス を 使 用 し たパーシ ャル リコンフィギュレーションでのみ、ISE <strong>Design</strong> <strong>Suite</strong> を 使 用 して く<br />

ださい。 詳 細 は、 『パーシャル リコンフィギュレーション <strong>ユーザー</strong> <strong>ガイド</strong>』 (UG702) [ 参 照 10] を 参 照 して<br />

ください。<br />

• <strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> 2016.3 では、 次 のデバイ スがサポー ト されています。<br />

° 7 シリーズ: すべての Artix-7、 Kintex-7、 Virtex-7、 および Zynq-7000 AP SoC デバイ ス。<br />

° UltraScale:<br />

- VU440 以 外 のすべてのプ ロ ダ ク シ ョ ン シリコン UltraScale デバイ スで イ ンプ リ メ ンテーシ ョ ンおよび<br />

ビ ッ ト ス ト リ ーム 生 成 をサポー ト 。 こ のデバイ スへのア ク セスが 必 要 な 場 合 は、 ご 請 求 く だ さ い。<br />

- 上 記 デバイ スの ES2 バージ ョ ンではインプリ メ ンテーシ ョ ンのみをサポート (ビ ッ ト ス ト リ ーム 生 成 は<br />

不 可 )。 ES2 サポー ト は 公 式 ではないため、 開 発 目 的 でのみ 使 用 し て く だ さ い。<br />

° UltraScale+ デバイ ス:<br />

- このリ リースには、 UltraScale+ デバイ スの 初 期 サポー ト が 含 まれています。 エンジニア リ ング シリコ<br />

ンのみが 入 手 可 能 なので、 こ れら のデバイ スに 対 し てはビ ッ ト ス ト リ ーム 生 成 はオフになっています。<br />

- デバイ ス サポー ト は、 KU9P、 KU15P、 VU7P、 VU9P、 VU13P、 ZU7EV、 ZU9EG に 限 定 されています。<br />

• リ コ ン フ ィ ギ ャ ラ ブル 領 域 を 定 義 する には、 エレ メ ン ト タイプごとにフロアプランが 必 要 です。<br />

° 7 シリーズ デバイ スで 効 率 を 最 高 に し、 RESET_AFTER_RECONFIG 機 能 を 使 用 する には、 フ レームの 高 さ<br />

と ク ロ ッ ク 領 域 の 境 界 が 揃 ってい る 必 要 があ り ます。<br />

° 幅 に 関 する 規 則 も あ り ます。 詳 細 は、 第 3 章 の 「 リ コ ン フ ィ ギ ャ ラ ブル 領 域 のフ ロ アプ ラ ン を 作 成 」 を 参<br />

照 してください。<br />

パーシ ャル リコンフィギュレーション 9<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 1 章 : 概 要<br />

• ボトムアップ 合 成 ( 複 数 のネ ッ ト リ ス ト ファイルを 作 成 ) およびリ コンフ ィギャラブル モジュール ネッ ト リス<br />

ト フ ァ イルの 管 理 は、 <strong>ユーザー</strong>の 責 任 で 行 って く だ さ い。<br />

° 任 意 の 合 成 ツールを 使 用 で き ます。 リ コ ン フ ィ ギ ュ レーシ ョ ン モジュール ネ ッ ト リ ス ト を 作 成 する 際 は、<br />

I/O の 挿 入 をデ ィ スエーブルにし ます。<br />

° <strong>Vivado</strong> 合 成 では、 リ コ ン フ ィ ギ ャ ラ ブル モジ ュールの 合 成 に 独 立 階 層 (OOC) モジュール 解 析 フローを 使 用<br />

します。<br />

• 標 準 の タ イ ミ ング 制 約 がサポー ト さ れ、 必 要 に 応 じ て 追 加 の タ イ ミ ング バジ ェ ッ ト 機 能 も 使 用 で き ます。<br />

• デザイ ンを 完 成 させるために 便 利 な 専 用 のデザイ ン ルール チェッ ク (DRC) が 用 意 されています。<br />

• PR デザイ ンでは、 パーシ ャル リコンフィギュレーションの 開 始 だけでなく、FPGA 内 またはシステム デザイ ン<br />

の 一 部 と し てパーシ ャル BIT フ ァ イルを 配 布 する こ と も 考 慮 する 必 要 があ り ます。<br />

• <strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> では、 Partial Reconfiguration Controller IP がサポー ト さ れています。 こ のカ ス タ マ イ ズ 可 能 な<br />

IP は、 7 シリーズ、Zynq-7000 AP SoC、 UltraScale デバイ スでのパーシ ャル リコンフィギュレーションのコア タ<br />

ス ク を 管 理 し ます。 ハー ド ウ ェ ア ま たは ソ フ ト ウ ェ アか ら の ト リ ガーの 受 信 、 ハン ド シ ェー ク およびデカ ッ プ<br />

リング タ ス ク の 管 理 、 メ モ リ ロケーシ ョ ンからのパーシャル ビ ッ ト ス ト リ ームの 取 得 、 ICAP へのパーシ ャル<br />

ビ ッ ト ス ト リームの 配 布 を 実 行 し ます。 Partial Reconfiguration Controller IP の 詳 細 は、 ザイ リ ン ク ス ウェブ サイ<br />

ト を 参 照 し て く だ さ い。<br />

• リコンフィギャラブル パーテ ィ シ ョ ンには、 そのパーテ ィ シ ョ ンに イ ンプ リ メ ン ト される さ ま ざ ま な リ コ ン<br />

フィギャラブル モジュールで 使 用 されるすべてのピンを 含 める 必 要 があ り ます。 リ コンフ ィギャラブル モ<br />

ジュールで、 別 のリ コンフィギャラブル モジ ュール と は 異 な る 入 力 ま たは 出 力 が 使 用 さ れる 場 合 、 結 果 の リ コ<br />

ンフィギャラブル モジュールの 入 力 または 出 力 がその リ コンフ ィ ギャ ラブル モジュール 内 で 接 続 されな く なる<br />

可 能 性 があ り ます。 こ れは、 未 使 用 の 入 力 ま たは 出 力 すべてに 対 し、 その リ コ ン フ ィ ギ ャ ラ ブル モジュール 内<br />

に LUT1 バ ッ フ ァーを 挿 入 する と ツールで 処 理 さ れる よ う にな り ます。 出 力 LUT1 は 定 数 値 に 接 続 さ れます。 こ<br />

の 定 数 の 値 は、 その 未 使 用 の 出 力 ピ ンに HD.PARTPIN_TIEOFF プ ロパテ ィ を 付 け る と 制 御 で き ます。 こ のプ ロ<br />

パテ ィ の 詳 細 は、 第 5 章 の 「ブラ ッ ク ボックス」 を 参 照 して く ださい。<br />

• ビッ トスト リームの 生 成 でブラック ボ ッ ク スがサポー ト さ れます。 ポー ト を 定 数 値 に 固 定 する 方 法 の 詳 細 は、<br />

第 5 章 の 「ブラ ッ ク ボックス」 を 参 照 して く ださい。<br />

• <strong>ユーザー</strong> リ セ ッ ト 信 号 では、 RM 内 の ロ ジ ッ ク がレベルを 認 識 する のかエ ッ ジを 認 識 する のかを 決 定 し て く だ<br />

さ い。 リ セ ッ ト 回 路 がレベルを 認 識 する 場 合 (FIFO などの 一 部 IP の 場 合 など)、 コンフィギュレーションが 完 了<br />

するまで RM リセットは 適 用 しないでください。<br />

デザイ ン パフォーマンス<br />

パフ ォーマン スの 評 価 基 準 はデザ イ ンに よ って 異 な り 、 『<strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> <strong>ユーザー</strong> <strong>ガイド</strong>: 階 層 デザ イ ン』<br />

(UG905) [ 参 照 11] の 階 層 デザ イ ン 設 計 手 法 に 従 う こ と に よ り 、 最 高 の 結 果 を 得 る こ と がで き ます。 こ の 資 料 は ISE<br />

<strong>Design</strong> <strong>Suite</strong> 用 に 作 成 された ものですが、 その 設 計 手 法 は <strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> に も 適 用 で き ます。 設 計 に 関 する 追 加<br />

の 推 奨 事 項 は、 『UltraFast 設 計 手 法 ガ イ ド (<strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> 用 )』 (UG949) [ 参 照 12] を 参 照 して く ださい。<br />

ただ し、 シ リ コ ンの 分 離 に 必 要 な 追 加 の 制 限 事 項 があ り 、 ほ と んどのデザ イ ンに 影 響 し ます。 配 線 の 格 納 、 排 他 配<br />

置 、 リ コ ン フ ィ ギ ャ ラ ブル モジ ュールの 境 界 を 越 えた 最 適 化 を 実 行 し ないな どのパーシ ャル リコンフィギュレー<br />

シ ョ ン 規 則 を 適 用 する と 、 PR デザ イ ンの 全 体 的 な 集 積 度 およびパフ ォーマン スは 同 等 のフ ラ ッ ト デザイ ン よ り 低 く<br />

なります。PR デザ イ ンの 全 体 的 なデザ イ ン パフォーマンスは、 リ コンフ ィ ギャ ラブル パーテ ィ シ ョ ンの 数 、 これ<br />

らのパーティショ ンへのインターフェイス ピンの 数 、 Pblock のサ イ ズおよび 形 状 などの 要 素 に 左 右 さ れます。<br />

パーシ ャル リ コ ンフ ィ ギュ レーシ ョ ンを ソ リ ューシ ョ ン と して 考 慮 するには、 デザイ ンに 余 分 なタ イ ミ ング スラッ<br />

クおよびリ ソース オーバーヘッ ド が 必 要 です。 デザイ ンを PR に 使 用 でき るかを 評 価 する 際 の 詳 細 は、 64 ページの<br />

「イ ンプ リ メ ンテーシ ョ ン 要 件 の 作 成 」 を 参 照 して く ださい。<br />

パーシ ャル リコンフィギュレーション 10<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 1 章 : 概 要<br />

PR デザイ ンの 条 件<br />

• コンポーネント タ イ プに よ って、 リ コ ン フ ィ ギ ュ レーシ ョ ン 可 能 な も の と そ う でない も のがあ り ます。<br />

7 シリーズ デバイ スでは、 コ ンポーネン ト の 規 則 は 次 の と お り です。<br />

° リコンフィギュレーション 可 能 なリソースには、CLB、 ブロック RAM、 DSP コ ンポーネン ト 、 配 線 リ ソ ー<br />

スなどがあり ます。<br />

° 次 の ク ロ ッ ク および ク ロ ッ ク 調 整 ロ ジ ッ ク は リ コ ン フ ィ ギ ュ レーシ ョ ン 不 可 能 なので、 ス タ テ ィ ッ ク 領 域<br />

に 配 置 する 必 要 があ り ます。<br />

- BUFG、 BUFR、 MMCM、 PLL などのコンポーネン ト<br />

° 次 の コ ンポーネン ト は リ コ ン フ ィ ギ ュ レーシ ョ ン 不 可 能 なので、 ス タ テ ィ ッ ク 領 域 に 配 置 する 必 要 があ り<br />

ます。<br />

- I/O および I/O 関 連 の コ ンポーネン ト (ISERDES、 OSERDES、 IDELAYCTRL)<br />

- シリアル トランシーバー (MGT) および 関 連 の コ ンポーネン ト<br />

- アーキテクチャ 機 能 コンポーネン ト (BSCAN、 STARTUP、 ICAP、 XADC など)<br />

UltraScale および UltraScale+ デバイ スでは、 よ り 多 く のコ ンポーネン ト タイプをリコンフィギュレーション 可<br />

能 です。<br />

° CLB、 ブロック RAM、 DSP コンポーネント、 配 線 リ ソース<br />

° クロックおよびクロック 調 整 ロジック (BUFG、 MMCM、 PLL などのコンポーネン ト)<br />

° I/O および I/O 関 連 の コ ンポーネン ト (ISERDES、 OSERDES、 IDELAYCTRL)<br />

注 記 : I/O コ ンポーネン ト の 変 更 タ イ プは 制 限 さ れます。 詳 細 は、 第 7 章 の 「I/O 規 則 」 を 参 照 して く だ<br />

さい。<br />

° シリアル トランシーバー (MGT) および 関 連 の コ ンポーネン ト<br />

° PCIe、 CMAC、 Interlaken、 および SYSMON ブロッ ク<br />

° こ れら の 新 し い コ ンポーネン ト は、 特 定 の 規 則 に 従 う 必 要 があ り ます。 た と えば、 I/O のパーシャル リコ<br />

ン フ ィ ギ ュ レーシ ョ ンでは、 バン ク 全 体 と そのフ レームに 含 まれるすべての ク ロ ッ ク リソースを 一 緒 にリ<br />

コンフィギュレーションする 必 要 があります。<br />

° BSCAN、 STARTUP、 ICAP、 FRAME_ECC などのコンフ ィギュレーシ ョ ン コンポーネントのみをデザイン<br />

のス タ テ ィ ッ ク 部 分 に 配 置 する 必 要 があ り ます。<br />

• リコンフィギャラブル パーテ ィ シ ョ ンへのグ ローバル クロック リソースの 配 置 は、デバイスおよびこれらのリ<br />

コンフィギャラブル パーテ ィ シ ョ ンで 使 用 さ れる ク ロ ッ ク 領 域 に よ って 制 限 さ れます。<br />

• IP をインプリメントするのに 使 用 されるコンポーネントによって、IP の 制 限 が 発 生 する 場 合 も あ り ます。 次 に<br />

例 を 示 し ます。<br />

° <strong>Vivado</strong> デバ ッ グ ハブ (BSCAN および BUFG)<br />

° エンベデッ ド グローバル バッファーまたは I/O を 含 む IP モジュール (7 シリーズのみ)<br />

° メモリ IP コント ローラー (MMCM および BSCAN)<br />

• リコンフィギャラブル モジ ュールは、 リ コ ン フ ィ ギ ュ レーシ ョ ン 後 に 既 知 の 状 態 か ら 開 始 する よ う 初 期 化 する<br />

必 要 があ り ます。 こ れには、 ローカル リ セ ッ ト を 使 用 する か、 RESET_AFTER_RECONFIG 機 能 を 選 択 し て 専 用<br />

の GSR イベン ト を 使 用 します。 RESET_AFTER_RECONFIG は、 UltraScale デバイ スでは 常 にイ ネーブルになっ<br />

ています。<br />

パーシ ャル リコンフィギュレーション 11<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 1 章 : 概 要<br />

• パーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ン 中 に リ コ ン フ ィ ギ ャ ラ ブル 領 域 と ス タ テ ィ ッ ク 部 分 の 接 続 を 解 除 する に<br />

は、 デカ ッ プ リ ング ロジックをお 勧 めします。<br />

° リコンフィギャラブル モジ ュールへの ク ロ ッ ク およびその 他 の 入 力 をデカ ッ プル し、 リ コ ン フ ィ ギ ュ レー<br />

シ ョ ン 中 に メ モ リ に 不 正 な 書 き 込 みが 実 行 さ れる のを 回 避 で き ます。 こ れは、 RESET_AFTER_RECONFIG<br />

を 使 用 し ない 場 合 に 考 慮 する 必 要 があ り ます。<br />

° <strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> には、 新 しい Partial Reconfiguration Decoupler IP が 含 まれます。 この IP を 使 用 する と 、<br />

MUX を 挿 入 して AXI4-Lite、 AXI4-Stream、 およびカスタム イ ン ターフ ェ イ ス を 効 率 的 にデカ ッ プルで き ま<br />

す。 Partial Reconfiguration Decoupler IP の 詳 細 は、 ザイ リ ン ク ス ウェブ サ イ ト を 参 照 し て く だ さ い。<br />

• リコンフィギャラブル パーテ ィ シ ョ ンはフ ロ アプ ラ ンする 必 要 があ るので、 モジ ュールは Pblock に 収 ま るブ<br />

ロ ッ ク に し、 タ イ ミ ングを 満 たす 必 要 があ り ます。 モジ ュールが 完 成 し た ら、 こ のデザ イ ン を PR でない 通 常 の<br />

フ ローで 実 行 し、 配 置 、 配 線 、 およびタ イ ミ ング 結 果 の 初 期 評 価 を 実 行 する こ と をお 勧 め し ます。 通 常 のフ<br />

ローで 問 題 が 発 生 する 場 合 は、 PR フ ローに 移 行 する 前 に 解 決 し てお く 必 要 があ り ます。<br />

• RP 上 の 各 モジュール ピンにはパーティション ピ ンがあ り ます。 こ れがス タ テ ィ ッ ク ロジックを RP に 接 続 す<br />

る ポ イ ン ト と な り ます。 使 用 可 能 な 配 線 リ ソースの 数 に 対 し てパーテ ィ シ ョ ン ピンの 数 が 多 すぎ る と 、 配 線 密<br />

集 の 原 因 と な る 可 能 性 があ り ます。 RP 上 の 外 部 ピンの 数 を 考 慮 し、 必 要 なピン 数 が 最 小 となるよ うモジュール<br />

を 開 発 し ます。<br />

• Virtex-7 SSI デバイ ス (7V2000T、 7VX1140T、 7VH870T、 7VH580T) には、 次 の 2 つの 基 本 的 な 要 件 があ り ます。<br />

° リ コ ン フ ィ ギ ャ ラ ブル 領 域 は、 完 全 に 1 つの SLR に 含 まれている 必 要 があ り ます。 これによ り 、 グ ローバ<br />

ル リセット イベン トがリ コンフ ィギャラブル モジ ュール 内 のすべてのエレ メ ン ト で 正 し く 同 期 する よ う に<br />

なり、すべての SLL (Super Long Line) がデザイ ンのス タ テ ィ ッ ク 部 分 に 含 まれます。 SLL はパーシ ャル リ<br />

コンフィギュレーションできません。<br />

° 7 シリーズ SSI デバイ スの 初 期 コ ン フ ィ ギ ュ レーシ ョ ン を SPIx1 イ ン ターフ ェ イ ス を 介 し て 実 行 する 場 合<br />

は、 リ コ ン フ ィ ギ ャ ラ ブル モジュールのある SLR の ICAP か、 JTAG などの 外 部 ポー ト に、 パーシ ャル<br />

ビ ッ ト ス ト リ ーム を 配 布 する 必 要 があ り ます。 初 期 コ ン フ ィ ギ ュ レーシ ョ ン をほかの コ ン フ ィ ギ ュ レー<br />

ション ポート を 介 して 実 行 した 場 合 は、 マスター ICAP をパーシャル ビッ トスト リームの 配 布 ポート とし<br />

て 使 用 でき ます。<br />

• UltraScale デバイ スには、 パーシ ャル リコンフィギュレーション イベン トに 関 して 新 たな 要 件 があ り ます。 新<br />

しいリ コンフィギャラブル モジュールのパーシャル ビ ッ ト ス ト リ ーム を 読 み 込 む 前 に、 現 在 の リ コ ン フ ィ ギ ャ<br />

ラブル モジ ュールを ク リ ア し て、 リ コ ン フ ィ ギ ュ レーシ ョ ンの 準 備 をする 必 要 があ り ます。 UltraScale+ デバイ<br />

スにはこの 制 限 はあり ません。 詳 細 は、 第 8 章 の 「UltraScale デバイ スでの BIT ファイルのサマリ」 を 参 照 して<br />

ください。<br />

• パーシ ャル ビ ッ ト ス ト リ ームの 専 用 暗 号 化 は、 ネ イ テ ィ ブでサポー ト さ れています。 UltraScale デバイ スでサ<br />

ポー ト されていない 使 用 ケースは、 120 ページの 「 既 知 の 制 限 」 を 参 照 して ください。<br />

• デバイ スでフ レームご と の CRC チェ ッ ク 機 構 を write_bitstream を 使 用 してイネーブルにでき、 各 フレー<br />

ム を 読 み 込 む 前 に 検 証 で き ます。<br />

• RM フリ ップフロップがスタティ ック I/O バ ッ フ ァ ーに 直 接 接 続 さ れてい る 場 合 は、 RM フリ ップフロップに<br />

IOB=TRUE プロパテ ィが 設 定 されていないこ と を 確 認 して く ださい。 このプロパテ ィが 設 定 されている と、 レ<br />

ジスタが 不 正 に 配 置 され、 route_design 前 の DRC でエラーがレポー ト されます。<br />

パーシ ャル リコンフィギュレーションはザイリンクス デバイ スの 高 度 な 機 能 であ り 、 シ リ コ ンおよびツールの 性 能<br />

を 理 解 し てお く こ と が 成 功 の 鍵 と な り ます。 開 発 プ ロ セスでは ト レー ド オフ を 理 解 し、 考 慮 する 必 要 があ り ますが、<br />

最 終 的 には FPGA デザ イ ンの よ り 柔 軟 性 な イ ンプ リ メ ンテーシ ョ ン を 達 成 する こ と がで き ます。<br />

パーシ ャル リコンフィギュレーション 12<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 1 章 : 概 要<br />

パーシ ャル リコンフィギュレーションのライセンス<br />

パーシ ャル リコンフィギュレーションは、<strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> にオプシ ョ ンで 追 加 可 能 な ラ イ セン ス 製 品 です。 価 格<br />

および 注 文 情 報 は、 販 売 代 理 店 までお 問 い 合 わせ く だ さ い。<br />

パーシ ャル リコンフィギュレーション ライセンスは、HD.RECONFIGURABLE プ ロパテ ィ を 含 むデザ イ ンで 個 別 の<br />

インプリ メンテーション コマンド (opt_design、 place_design、 route_design、 write_bitstream) が 実 行<br />

されたときにチェッ ク されます。 パーシャル リコンフィギュレーション デザイ ンを イ ンプ リ メ ン ト ( 配 置 および 配<br />

線 ) し、 パーシャル ビ ッ ト ス ト リ ーム を 生 成 する には、 ラ イ セン スが 必 要 です。 <strong>Vivado</strong> IDE 内 で 固 有 のチェ ッ ク は 実<br />

行 されず、 ライセンス 機 能 は 保 持 されません。<br />

パーシ ャル リコンフィギュレーション 13<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 2 章<br />

一 般 的 なアプ リ ケーシ ョ ン<br />

概 要<br />

パーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ンの 基 本 的 な 前 提 は、 マ イ ク ロ プ ロ セ ッ サで タ ス ク を 切 り 替 え る こ と がで き る<br />

のと 同 様 に、 デバイス ハー ド ウ ェ ア リ ソース を 時 分 割 で き る と い う こ と です。 デバイ スでハー ド ウ ェ アの タ ス ク が<br />

切 り 替 えられるので、 ソフトウェア イ ンプ リ メ ンテーシ ョ ンの 柔 軟 性 と ハー ド ウ ェ ア インプリ メンテーションのパ<br />

フ ォーマン スの 両 方 において 利 点 があ り ます。 このテ ク ノ ロ ジの 利 点 を、 い く つかのシナ リ オを 示 し ながら 説 明 し<br />

ます。<br />

ネ ッ ト ワーク 接 続 された 複 数 のイ ン タ ーフ ェ イ ス<br />

パーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ンは、 サ イ ズ、 重 量 、 消 費 電 力 、 コ ス ト を 削 減 し なが ら、 従 来 の FPGA アプ リ<br />

ケーシ ョ ン を 最 適 化 し ます。 時 間 に 依 存 し ない 機 能 を 特 定 し て 分 離 し、 リ コ ン フ ィ ギ ャ ラ ブル モジュールと してイ<br />

ンプ リ メ ン トする こ とによ り、 1 つのデバイ ス 内 で 必 要 に 応 じ て 機 能 を 入 れ 替 え ます。 40G OTN マックスポンダー<br />

アプ リ ケーシ ョ ンがその 典 型 的 な 例 です。 マ ッ ク スポンダーの ク ラ イ アン ト 側 のポー ト は、 複 数 のイ ン ターフ ェ イ<br />

ス プロ ト コルをサポートできますが、 FPGA がコンフィギュレート されるまで、 どのプロ トコルが 使 用 されるかシ<br />

ス テムで 予 測 する こ と は 不 可 能 です。 FPGA を リコンフィギュレーションする 必 要 がないようにし、すべてのポート<br />

がデ ィ スエーブルにな ら ないよ う にするには、 15 ページの 図 2-1 に 示 すよ う に、 すべてのイ ン ターフ ェ イ ス プロ ト<br />

コルをすべてのポー ト に イ ンプ リ メ ン ト する 必 要 があ り ます。<br />

パーシ ャル リコンフィギュレーション 14<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 2 章 : 一 般 的 なアプ リ ケーシ ョ ン<br />

X-Ref Target - Figure 2-1<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

図 2-1: パーシャル リ コ ン フ ィ ギュ レーシ ョ ン を 使 用 し ない 場 合 のネ ッ ト ワークの 切 り 替 え<br />

各 ポー ト で 使 用 でき るのは 1 つの 規 格 のみなので、 こ のデザ イ ンは 非 効 率 的 です。 パーシ ャル リコンフィギュレー<br />

シ ョ ン を 使 用 する と 、 図 2-2 に 示 すよ う に 各 ポー ト インターフェイスをリコンフィギャラブル モジュールにする こ<br />

と に よ り 、 よ り 効 率 的 なデザ イ ン を 作 成 で き ます。 複 数 のプ ロ ト コル エンジンを 1 つのポー ト に 接 続 する ために 必<br />

要 だった MUX エレ メ ン ト も 不 要 にな り ます。<br />

X-Ref Target - Figure 2-2<br />

Config Memory<br />

Storage<br />

10GigE<br />

tx/rx<br />

FPGA<br />

10GigE<br />

tx/rx<br />

Port 1<br />

OC192<br />

tx/rx<br />

OC192<br />

tx/rx<br />

Port 2<br />

OTU2<br />

tx/rx<br />

Switch<br />

Fabric<br />

OTU2<br />

tx/rx<br />

Port 3<br />

OC192<br />

tx/rx<br />

Port 4<br />

X12003<br />

図 2-2: パーシャル リ コ ン フ ィ ギュ レーシ ョ ン を 使 用 し た 場 合 のネ ッ ト ワークの 切 り 替 え<br />

こ の 基 本 的 な 概 念 を さ ま ざ ま なデザ イ ンで 利 用 で き ます。 ソ フ ト ウ ェ ア 無 線 (SDR) は、 相 互 に 排 他 的 な 機 能 を 持 ち、<br />

こ の 機 能 を 時 分 割 する こ と で 柔 軟 性 および リ ソース 使 用 率 が 大 幅 に 向 上 する 多 数 のアプ リ ケーシ ョ ンの 1 つです。<br />

パーシ ャル リコンフィギュレーション 15<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 2 章 : 一 般 的 なアプ リ ケーシ ョ ン<br />

パーシ ャル リコンフィギュレーション デザ イ ンには、 効 率 性 以 外 に も 利 点 があ り ます。 15 ページの 図 2-2 の 例 で<br />

は、 ス タ テ ィ ッ ク ロジック (Switch Fabric) に 影 響 を 与 え る こ と な く 、 新 しいプロ ト コルをいつで もサポー ト でき ま<br />

す。 1 つのポー ト に 新 し い 規 格 を 読 み 込 んで も、 ほかの 既 存 ポー ト には 影 響 はあ り ません。 追 加 の 規 格 を 作 成 し て コ<br />

ンフィギュレーショ ン メモリ ラ イ ブ ラ リ に 追 加 で き る ので、 デザ イ ン 全 体 を 再 設 計 する 必 要 はあ り ません。 こ れに<br />

より、Switch Fabric およびポートのダウン タ イ ム を 削 減 で き、 柔 軟 性 および 信 頼 性 が 向 上 し ます。 デバ ッ グ モ<br />

ジ ュールを 作 成 する こ と も で き、 ポー ト でエ ラーが 発 生 し た 場 合 に 未 使 用 のポー ト を 解 析 / 修 正 ロ ジ ッ ク と 共 に 読 み<br />

込 んで、 リ アルタ イ ムに 問 題 を 対 処 で き ます。<br />

15 ページの 図 2-2 の 例 では、 各 プロ ト コルのターゲッ ト となる 各 物 理 ロケーションに 対 し、 パーシャル BIT ファイ<br />

ルを 生 成 する 必 要 があ り ます。 パーシ ャル BIT フ ァ イルは、 デバイ ス 上 の 物 理 的 な 領 域 に 関 連 付 け ら れます。 こ の<br />

例 では、 4 つのロ ケーシ ョ ンでそれぞれ 4 つのプ ロ ト コルに 対 応 する ため、 16 個 のパーシ ャル BIT ファイルがあり<br />

ます。<br />

標 準 バス インターフェイスを 使 用 したコンフィギュ<br />

レーシ ョ ン<br />

パーシ ャル リコンフィギュレーションは、システム アーキテ ク チャ と よ り 互 換 性 のあ る イ ン ターフ ェ イ ス 規 格 を 使<br />

用 して、 新 しいコンフ ィギュレーシ ョ ン ポー ト を 作 成 で き ます。 た と えば、 FPGA を PCIe バスのペリ フェラルと<br />

し、 システム ホス トで PCIe® 接 続 を 介 し て FPGA をコンフィギュレーションできます。 パワーオン リセットの 後 、<br />

FPGA はフル BIT フ ァ イルで コ ン フ ィ ギ ュ レーシ ョ ンする 必 要 があ り ますが、 フル BIT ファイルには PCIe インター<br />

フェイスと 内 部 コンフィギュレーション アクセス ポー ト (ICAP) への 接 続 のみが 含 まれている 場 合 があ り ます。<br />

ビ ッ ト ス ト リ ーム を 圧 縮 する と サ イ ズが 削 減 さ れ、 こ の 初 期 デバイ ス 読 み 込 みの コ ン フ ィ ギ ュ レーシ ョ ン 時 間 も 短<br />

縮 されるので、 FPGA コンフィギュレーションで PCIe のエニュ メ レーシ ョ ン 仕 様 を 満 たすこ とができ る よ う にな り<br />

ます。<br />

その 後 、 図 2-3 に 示 すよ う に、 システム ホス トで PCIe ポー ト を 介 してパーシャル BIT ファイルをダウンロードする<br />

と、 FPGA の 大 部 分 の 機 能 を コ ンフ ィ ギュ レーシ ョ ンでき ます。<br />

X-Ref Target - Figure 2-3<br />

ICAP<br />

Full<br />

Bit File<br />

PCle<br />

Static<br />

Partial<br />

Bit File<br />

<br />

図 2-3: PCIe インターフェイスを 使 用 したコンフィギュレーション<br />

パーシ ャル リコンフィギュレーション 16<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 2 章 : 一 般 的 なアプ リ ケーシ ョ ン<br />

PCIe 規 格 では、 要 求 をサービ スで き ない 場 合 に も 要 求 に 応 答 する ため、 ペ リ フ ェ ラ ル (こ の 例 の 場 合 は FPGA) が 必<br />

要 です。 FPGA 全 体 を リ コ ン フ ィ ギ ュ レーシ ョ ンする と 、 こ の 要 件 に 違 反 し ます。 PCIe インターフェイスはスタ<br />

ティック ロジ ッ クの 一 部 なので、 パーシャル リコンフィギュレーションのプロセス 中 も 常 にアクティブであり、<br />

FPGA はリコンフィギュレーション 中 でも PCIe コマンドに 応 答 できます。<br />

Tandem コ ン フ ィ ギ ュ レーシ ョ ンは 関 連 し た ソ リ ューシ ョ ンであ り 、 一 見 こ こ に 示 す 例 と 同 じ であ る よ う に 見 え ます<br />

が、 パーシ ャル リコンフィギュレーションを 使 用 したソリューションは、Tandem コンフィギュレーションとは 次 の<br />

2 つの 点 が 異 な り ます。<br />

• まず、 PR でのコンフ ィ ギュ レーシ ョ ン プロセスはフル デバイ ス コンフィギュレーションで、 圧 縮 により 小 型<br />

化 および 高 速 化 さ れています。 こ の 後 、 ブ ラ ッ ク ボッ クス 領 域 を 上 書 きするパーシャル ビッ トスト リームが 続<br />

き、 コ ン フ ィ ギ ュ レーシ ョ ンが 完 了 し ます。 Tandem コンフィギュレーションは 2 段 階 の コ ン フ ィ ギ ュ レーシ ョ<br />

ンで、 各 コンフ ィギュレーシ ョ ン フレームがプログラムされるのは 1 回 だけです。<br />

• 2 つ 目 の 違 いは、 7 シリーズ デバイ スの Tandem コンフィギュレーションでは、 <strong>ユーザー</strong> アプ リ ケーシ ョ ンのダ<br />

イナミ ッ ク リ コ ンフ ィ ギュ レーシ ョ ンはできない とい う こ と です。 パーシャル リコンフィギュレーションを 使<br />

用 する と 、 ダ イナ ミ ッ ク 領 域 に 異 な る <strong>ユーザー</strong> アプ リ ケーシ ョ ンまたはフ ィールド ア ッ プデー ト を 読 み 込 み 直<br />

すこ とができます。 UltraScale デバイ スの Tandem コンフィギュレーションでは、 フィールド アップデートが 可<br />

能 であ り 、 パーシャル リ コ ン フ ィ ギ ュ レーシ ョ ン と 互 換 性 があ り ます。 全 体 的 な フ ローは、 Tandem コンフィ<br />

ギュレーションで 2 段 階 の 初 期 読 み 込 みを 実 行 し た 後 、 <strong>ユーザー</strong> アプ リ ケーシ ョ ン をダ イ ナ ミ ッ ク に 修 正 する<br />

パーシ ャル リコンフィギュレーションを 実 行 します。<br />

Tandem コ ン フ ィ ギ ュ レーシ ョ ンは、 エニ ュ メ レーシ ョ ン 要 件 を 満 たすため、 PCIe エンドポイン ト を 高 速 コンフ ィ<br />

ギ ュ レーシ ョ ンする ソ リ ューシ ョ ン と し て 設 計 さ れています。 詳 細 は、 次 の 資 料 を 参 照 し て く だ さ い。<br />

• 『7 Series FPGAs Integrated Block for PCI Express 製 品 ガ イ ド 』 (PG054) [ 参 照 13]<br />

• 『Virtex-7 FPGA Gen3 PCIe Integrated Block for PCI Express 製 品 ガ イ ド 』 (PG023) [ 参 照 14]<br />

• 『LogiCORE IP UltraScale FPGAs Gen3 Integrated Block for PCI Express 製 品 ガ イ ド 』 (PG156) [ 参 照 15]<br />

パーシ ャル リコンフィギュレーション 17<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 2 章 : 一 般 的 なアプ リ ケーシ ョ ン<br />

ダイナミ ック リコンフィギュレーション 可 能 なパケッ<br />

ト プロセッサ<br />

パケッ ト プロセッサでは、 受 信 したパケッ ト タ イ プに 基 づいてすばや く プロ セ ッ シング 機 能 を 変 更 する ため、 パー<br />

シャル リコンフィギュレーションを 使 用 します。 図 2-4 では、 パケ ッ ト にパーシャル BIT ファイルを 含 むヘッダー、<br />

またはパーシャル BIT フ ァ イルを 含 む 特 殊 パケ ッ ト があ り ます。 パーシ ャル BIT ファイルは、 処 理 された 後 、 FPGA<br />

のコプロセッサを リ コンフィギュレーシ ョ ンするために 使 用 されます。 この 例 では、 パーシャル BIT ファイルの 定 義<br />

済 みラ イ ブ ラ リ に 依 存 せずに、 受 信 データ パケ ッ ト に 基 づいて FPGA 自 体 を リ コ ン フ ィ ギ ュ レーシ ョ ン し ています。<br />

X-Ref Target - Figure 2-4<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

図 2-4: ダイナミ ック リ コ ン フ ィ ギュ レーシ ョ ン 可 能 なパケ ッ ト プロセッサ<br />

パーシ ャル リコンフィギュレーション 18<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 2 章 : 一 般 的 なアプ リ ケーシ ョ ン<br />

非 対 称 キー 暗 号 化<br />

パーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ンな し では 不 可 能 な 新 し いアプ リ ケーシ ョ ンがあ り ます。 パーシ ャル リコン<br />

フ ィ ギ ュ レーシ ョ ン と 非 対 称 暗 号 化 を 組 み 合 わせる と 、 FPGA コンフィギュレーション ファイルをより 確 実 に 保 護<br />

で き る よ う にな り ます。 非 対 称 暗 号 化 の 詳 細 は、 ウ ィ キペデ ィ アの 「 公 開 鍵 暗 号 」 トピックを 参 照 してください。<br />

図 2-5 では、 グ レーで 示 さ れた 部 分 の 機 能 を FPGA の 物 理 パッ ケージ 内 にイ ンプ リ メ ン ト でき ます。 cleartext の 情 報<br />

および 秘 密 キーが 保 護 さ れた コ ンテナーの 外 に 漏 洩 する こ と はあ り ません。<br />

X-Ref Target - Figure 2-5<br />

<br />

<br />

<br />

f<br />

<br />

<br />

f<br />

<br />

<br />

図 2-5: 非 対 称 キー 暗 号 化<br />

このデザインの 実 際 のインプリ メンテーションでは、 初 期 BIT フ ァ イルは 暗 号 化 さ れていないデザ イ ンで、 機 密 情<br />

報 は 含 まれていません。 初 期 デザ イ ンには、 公 開 キー と 秘 密 キーのペアを 生 成 する アルゴ リ ズム と 、 ホ ス ト 、<br />

FPGA、 および ICAP を 接 続 する イ ン ターフ ェ イ スのみが 含 まれます。<br />

初 期 BIT フ ァ イルが 読 み 込 まれた 後 、 FPGA で 公 開 キー と 秘 密 キーのペアが 生 成 さ れます。 公 開 キーはホ ス ト に 送 信<br />

さ れ、 ホ ス ト は こ れを 使 用 し てパーシ ャル BIT フ ァ イルを 暗 号 化 し ます。 暗 号 化 さ れたパーシ ャル BIT ファイルは<br />

FPGA にダウンロード され、 その FPGA 内 で 復 号 化 さ れ、 ICAP に 送 信 されて、 FPGA がパーシャル リコンフィギュ<br />

レーシ ョ ンされます (20 ページの 図 2-6)。<br />

パーシ ャル リコンフィギュレーション 19<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 2 章 : 一 般 的 なアプ リ ケーシ ョ ン<br />

X-Ref Target - Figure 2-6<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

<br />

パーシ ャル BIT ファイルが FPGA デザ イ ンの 大 部 分 を 占 め、 ス タ テ ィ ッ ク デザイ ンのロ ジ ッ クは FPGA リソースの<br />

ほんの 一 部 し か 使 用 し ない 場 合 があ り ます。<br />

こ れには、 次 の よ う な 利 点 があ り ます。<br />

図 2-6: 暗 号 化 されたパーシ ャル BIT フ ァ イルの 読 み 込 み<br />

• 公 開 キー と 秘 密 キーのペアをいつで も 再 生 成 で き ます。 ホ ス ト か ら 新 し い コ ン フ ィ ギ ュ レーシ ョ ンがダ ウ ン<br />

ロー ド さ れた と き に、 別 の 公 開 キーで 暗 号 化 で き ます。 パワーオン リセットの 後 など FPGA が 同 じパーシ ャル<br />

BIT フ ァ イルで コ ン フ ィ ギ ュ レーシ ョ ン さ れる 場 合 で も、 別 の 公 開 キーが 使 用 さ れます。<br />

• 秘 密 キーは SRAM に 格 納 されます。 FPGA の 電 源 が 切 れる と、 秘 密 キーも 失 われます。<br />

• システムが 盗 難 に 遭 い、 FPGA の 電 源 がオンのま まで も、 秘 密 キーは 汎 用 FPGA プログラマブル ロジックに 格<br />

納 さ れてい る ので、 そのキーを 発 見 する のは 非 常 に 困 難 です。 特 殊 レ ジ ス タ には 格 納 さ れません。 秘 密 キーを<br />

格 納 する 各 レ ジ ス タ ビ ッ ト を、 物 理 的 に リ モー ト で 無 関 係 の 領 域 に 配 置 で き ます。<br />

まとめ<br />

パーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ンは、 サ イ ズ、 重 量 、 消 費 電 力 、 コ ス ト を 削 減 する だけでな く 、 新 し い タ イ プ<br />

の FPGA デザイ ンを 可 能 にし ます。<br />

パーシ ャル リコンフィギュレーション 20<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 3 章<br />

<strong>Vivado</strong> ツール フロー<br />

概 要<br />

<strong>Vivado</strong> ® パーシ ャル リコンフィギュレーション (PR) フローには、 標 準 デザイン フローとは 異 なる 点 がいくつかあ<br />

ります。 インプリメンテーション ツールで、 シ リ コ ンの 要 件 を 満 たすために 下 位 レベルの 詳 細 が 自 動 管 理 さ れる た<br />

め、 <strong>ユーザー</strong>が、 デザイ ンの 構 造 と フ ロ アプラ ンを 定 義 する 必 要 があ り ます。 PR デザイ ンの 処 理 手 順 は、 次 の と<br />

おりです。<br />

1. スタティック モジュールと リ コンフ ィ ギャ ラブル モジ ュールを 別 々に 合 成 し ます。<br />

2. 物 理 制 約 (Pblock) を 作 成 し て リ コ ン フ ィ ギ ャ ラ ブル 領 域 を 定 義 し ます。<br />

3. 各 リコンフィギャラブル パーテ ィ シ ョ ンに HD.RECONFIGURABLE プ ロパテ ィ を 設 定 し ます。<br />

4. 完 全 なデザ イ ン (スタティック モジュールと、 リ コンフ ィ ギャ ラブル パーテ ィ シ ョ ンご と に 1 つの リ コ ンフ ィ<br />

ギャラブル モジュール) をコンテキストでインプリ メント します。<br />

5. 完 全 に 配 線 済 みのデザ イ ンのデザ イ ン チェッ クポイン ト を 保 存 します。<br />

6. このデザインから リ コンフィギャラブル モジ ュールを 削 除 し、 ス タ テ ィ ッ ク 部 分 のみのデザ イ ン チェッ クポイ<br />

ントを 保 存 します。<br />

7. ス タ テ ィ ッ ク 部 分 の 配 置 と 配 線 を 固 定 し ます。<br />

8. スタティック デザイ ンに 新 しい リ コ ン フ ィ ギ ャ ラ ブル モジ ュールを 追 加 し、 新 し い コ ン フ ィ ギ ュ レーシ ョ ン を<br />

イ ンプ リ メ ン ト し て、 配 線 済 みデザ イ ン 全 体 のチェ ッ ク ポ イ ン ト を 保 存 し ます。<br />

9. すべての リ コ ン フ ィ ギ ャ ラ ブル モジュールがインプ リ メ ン ト されるまで 手 順 8 を 繰 り 返 し ます。<br />

10. すべての コ ン フ ィ ギ ュ レーシ ョ ンに 対 し て 検 証 ユーテ ィ リ テ ィ (pr_verify) を 実 行 します。<br />

11. 各 コ ン フ ィ ギ ュ レーシ ョ ンのビ ッ ト ス ト リ ーム を 作 成 し ます。<br />

パーシ ャル リコンフィギュレーション 21<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 3 章 : <strong>Vivado</strong> ツール フロー<br />

パーシ ャル リコンフィギュレーション コマンド<br />

PR フ ローは、 現 在 の と こ ろ、 非 プロ ジェ ク ト バッチ モード/Tcl インターフェイス ( 非 プロ ジェ ク ト ベースの コ マン<br />

ド ) でのみサポー ト さ れています。 ス ク リ プ ト 例 およびフ ローの 詳 細 な 設 定 方 法 は、 『<strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> チュート リ<br />

アル: パーシ ャル リコンフィギュレーション』 (UG947) [ 参 照 1] を 参 照 し て く だ さ い。 詳 細 は、 こ のチュー ト リ アル<br />

を 参 照 して く ださい。<br />

次 のセ ク シ ョ ンでは、 PR フ ローに 必 要 な 特 定 の コ マン ド およびオプシ ョ ンについて 説 明 し ます。 PR フローを 実 行<br />

する ための コ マン ド の 使 用 例 も 示 し ます。 各 コ マン ド の 詳 細 は、 『<strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> Tcl コマンド リファレンス ガイ<br />

ド』 (UG835) [ 参 照 16] を 参 照 して く ださい。<br />

合 成<br />

パーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ン 可 能 なデザ イ ン を 合 成 する のに 特 別 な コ マン ド は 必 要 あ り ませんが、 ボ ト ム<br />

ア ッ プ 合 成 を 実 行 する 必 要 があ り ます。 現 在 の と こ ろ、 合 成 、 最 適 化 、 ま たはイ ンプ リ メ ンテーシ ョ ンでサポー ト<br />

されないコマンドはあり ません。<br />

次 の 合 成 ツールがサポー ト さ れています。<br />

• XST (7 シリーズ デバイ スのみサポー ト )<br />

• Synplify<br />

• <strong>Vivado</strong> 合 成<br />

重 要 : <strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> では、 UltraScale デバイ スに 対 し て NGC フォーマッ トのファイルはサポート されていませ<br />

ん。 <strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> で IP を 再 生 成 し、 ネ イ テ ィ ブ 出 力 フ ァ イルを 使 用 する こ と をお 勧 め し ます。 NGC ファイル<br />

は NGC2EDIF コマンドで EDIF に 変 換 し て イ ンポー ト する こ と も でき ますが、 今 後 は XST で 生 成 された NGC フォー<br />

マッ トではなくネイティブ <strong>Vivado</strong> IP を 使 用 する こ と をお 勧 め し ます。<br />

重 要 : ボ ト ムア ッ プ 合 成 は、 各 モジ ュールにそれぞれ 合 成 プ ロ ジ ェ ク ト があ る 合 成 フ ローです。 通 常 は、 下 位 モ<br />

ジュールの 自 動 I/O バ ッ フ ァー 挿 入 をオフに し ます。<br />

こ の 資 料 では、 <strong>Vivado</strong> 合 成 フ ローのみについて 説 明 し ます。<br />

最 上 位 モジ ュールの 合 成<br />

各 リコンフィギャラブル パーテ ィ シ ョ ン (RP) のブ ラ ッ ク ボ ッ ク ス を 含 む 最 上 位 ネ ッ ト リ ス ト が 必 要 です。 こ れに<br />

は、 最 上 位 合 成 にパーテ ィ シ ョ ン インスタンスのモジュールまたはエンティティ 宣 言 を 含 め、 ロジックが 含 まれな<br />

いよ う (モジュールは 空 ) にする 必 要 があ り ます。<br />

最 上 位 合 成 は、 通 常 すべての 最 上 位 ポー ト で I/O バ ッ フ ァーを 推 論 ま たは イ ン ス タ ンシエー ト し ます。 バ ッ フ ァー 挿<br />

入 の 制 御 については、 『<strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> <strong>ユーザー</strong> <strong>ガイド</strong>: 合 成 』 (UG901) [ 参 照 17] の こ のセ ク シ ョ ン を 参 照 して く<br />

ださい。<br />

synth_design -flatten_hierarchy rebuilt -top -part <br />

パーシ ャル リコンフィギュレーション 22<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 3 章 : <strong>Vivado</strong> ツール フロー<br />

リコンフィギャラブル モジ ュールの 合 成<br />

各 リコンフィギャラブル モジュールをスタテ ィ ッ ク デザイ ンの 同 じブ ラ ッ ク ボックスにインスタンシエートする 必<br />

要 があ る ので、 それら のモジ ュールの イ ン ターフ ェ イ スが 同 一 であ る 必 要 があ り ます。 ブ ロ ッ ク の 名 前 も 各 イ ン ス<br />

タ ン スですべて 同 じ に し、 イ ン ターフ ェ イ スのプ ロパテ ィ もすべて 同 一 にする 必 要 があ り ます。 デザ イ ンの 各 コ ン<br />

フィギュレーションは、 フラット デザイ ンのよ う にアセンブルされます。<br />

リコンフィギャラブル モジ ュールを 合 成 する には、 すべてのバ ッ フ ァー 挿 入 をオフにする 必 要 があ り ます。 こ れに<br />

は、 synth_design コマンドを -mode out_of_context オプシ ョ ン を 使 用 し て 実 行 し ます。<br />

synth_design -mode out_of_context -flatten_hierarchy rebuilt -top<br />

-part <br />

表 3-1: synth_design コマンドのオプション<br />

コマンド オプシ ョ ン<br />

-mode out_of_context<br />

-flatten_hierarchy rebuilt<br />

-top<br />

-part<br />

synth_design コマンドは、 デザインを 合 成 して、 その 結 果 をメモリに 格 納 します。 結 果 をファイルに 書 き 出 すに<br />

は、 次 のコ マン ド を 使 用 し ます。<br />

write_checkpoint .dcp<br />

合 成 後 に メ モ リ 内 のデザ イ ン を 閉 じ、 イ ンプ リ メ ンテーシ ョ ン を 合 成 と は 別 に 実 行 する こ と をお 勧 め し ます。<br />

デザイ ン モジ ュールの 読 み 込 み<br />

メ モ リ にデザ イ ンが 読 み 込 まれていない 場 合 は、 デザ イ ン を 読 み 込 む 必 要 があ り ます。 ス タ テ ィ ッ ク デザイ ン も リ<br />

コンフィギャラブル モジ ュール も、 さ ま ざ ま な 方 法 で 読 み 込 むこ と がで き ます。 コ ン フ ィ ギ ュ レーシ ョ ン を イ ンプ<br />

リ メ ン ト し た 後 は、 チェ ッ ク ポ イ ン ト を 使 用 し て 配 置 配 線 済 みモジ ュール データベース を 読 み 込 みます。<br />

説 明<br />

合 成 およびダ ウ ン ス ト リ ーム ツールの I/O 挿 入 がオフにな り ます。<br />

out_of_context モード は、 write_checkpoint を 実 行 したと き<br />

にチェ ッ ク ポ イ ン ト に 保 存 さ れます。<br />

-flatten_hierarchy に 使 用 でき る 値 は 複 数 あ り ますが、 PR フ<br />

ローでは rebuilt が 推 奨 されます。<br />

合 成 されるモジュールのモジュール/エンティティ 名 を 指 定 します。<br />

ターゲッ トにするザイ リ ンクス パーツ ( 例 : xc7k325tffg900-3) を<br />

指 定 し ます。<br />

パーシ ャル リコンフィギュレーション 23<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 3 章 : <strong>Vivado</strong> ツール フロー<br />

方 法 1: ネッ ト リスト デザイ ンの 読 み 込 み<br />

この 方 法 は、 <strong>Vivado</strong> 合 成 以 外 のツールでモジ ュールを 合 成 し てい る 場 合 に 使 用 し ます。<br />

read_edif .edf/edn/ngc<br />

read_edif .edf/edn/ngc<br />

read_edif .edf/edn/ngc<br />

link_design -top -part <br />

表 3-2: link_design コマンドのオプション<br />

-part<br />

-top<br />

コマンド オプシ ョ ン<br />

説 明<br />

ターゲッ トにするザイ リ ンクス パーツ ( 例 : xc7k325tffg900-3) を<br />

指 定 し ます。<br />

インプ リ メ ン ト されるモジュールのモジュール/エンティティ 名 を 指<br />

定 します。 link_design の 前 に set_property -top<br />

[current_fileset] を 実 行 した 場 合 は、 こ<br />

のオプシ ョ ン を 使 用 する 必 要 はあ り ません。<br />

方 法 2: チェックポイントを 開 く/ 読 み 出 し<br />

スタティック ( 最 上 位 ) デザインの 合 成 またはインプリ メンテーション 結 果 がチェックポイント として 保 存 されてい<br />

る 場 合 は、 open_checkpoint コ マン ド を 使 用 し て 読 み 込 むこ と がで き ます。 こ の コ マン ド はス タ テ ィ ッ ク デザイ<br />

ン チェ ッ ク ポ イ ン ト を 読 み 込 み、 ア ク テ ィ ブ メモリで 開 きます。<br />

open_checkpoint <br />

チェックポイントがスタティックのものではなく リコンフィギャラブル モジ ュールの も のであ る 場 合 、 イ ン ス タ ン<br />

ス 名 を read_checkpoint -cell を 使 用 し て 指 定 する 必 要 があ り ます。 チェ ッ ク ポ イ ン ト が イ ンプ リ メ ンテー<br />

ション 後 のチェックポイントである 場 合 は、-strict オプシ ョ ン も 使 用 する 必 要 があ り ます。 こ のオプシ ョ ンは、<br />

合 成 後 のチェ ッ ク ポ イ ン ト で 使 用 し て、 ポー ト が 確 実 に 一 致 する よ う にする こ と も で き ます。 リ コ ン フ ィ ギ ャ ラ ブ<br />

ル モジ ュールのチェ ッ ク ポ イ ン ト を 読 み 込 むには、 指 定 のセルのブ ラ ッ ク ボ ッ ク スが 含 まれてい る 最 上 位 デザ イ ン<br />

が 開 いてい る 必 要 があ り ます。 その 後 、 次 の コ マン ド を 実 行 し ます。<br />

read_checkpoint -cell [-strict]<br />

表 3-3: read_checkpoint オプシ ョ ン<br />

オプシ ョ ン 名<br />

-cell<br />

リコンフィギャラブル モジ ュールの 完 全 な 階 層 名 を 指 定 し ます。<br />

-strict セルを 置 き 換 えるのにポート が 完 全 に 一 致 している 必 要 があ り、 パー<br />

ツ、 パッケージ、 スピード グ レー ド 値 が 同 一 であ る こ と を 確 認 し ま<br />

す。 イ ンプ リ メ ンテーシ ョ ン データ を 復 元 する 際 には 使 用 する 必 要<br />

があ り ます。<br />

<br />

読 み 込 むチェ ッ ク ポ イ ン ト (DCP) の 完 全 パス または 相 対 パス を 指 定 し<br />

ます。<br />

説 明<br />

パーシ ャル リコンフィギュレーション 24<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 3 章 : <strong>Vivado</strong> ツール フロー<br />

方 法 3: チェックポイントを 開 く/デザイ ンをア ッ プデー ト<br />

こ の 方 法 は、 合 成 結 果 がネ ッ ト リ ス ト 形 式 (EDF または EDN) であ り、 スタティ ッ ク 部 分 が 既 にインプ リ メ ン ト され<br />

てい る 場 合 に 便 利 です。 次 の 例 に、 こ の 状 況 が 発 生 する 2 番 目 のコンフィギュレーショ ンのコマンドを 示 します。<br />

open_checkpoint .dcp<br />

lock_design -level routing<br />

update_design -cells -from_file .{edf/edn}<br />

update_design -cells -from_file .{edf/edn}<br />

複 数 のサブモジ ュール ネッ ト リストが 含 まれるリコンフィギャラブル モジュー<br />

ルの 追 加<br />

リコンフィギャラブル モジュールに 複 数 のサブモジュール ネッ ト リストが 含 まれていると、 <strong>Vivado</strong> ツールでサブモ<br />

ジュール ネット リストを 処 理 するのが 困 難 になることがあります。 これは、PR フローでは RM ネッ ト リストがメモ<br />

リ で 既 に 開 いているデザ イ ンに 追 加 されるか ら です。 こ の 場 合 、 update_design -cells コマンドを 使 用 する 必 要<br />

があ り ますが、 このコマン ドには 各 EDIF フ ァ イルのセル 名 が 必 要 で、 セル 名 を 取 得 するのが 難 しい こ と があ り ます。<br />

<strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> で RM サブモジュール ネ ッ ト リ ス ト を 読 み 込 みやす く する には、 次 の 2 つの 方 法 があ り ます。<br />

方 法 1: 1 つの RM チェックポイント (DCP) を 作 成<br />

すべてのネ ッ ト リ ス ト を 含 む RM チェッ ク リ ス ト (DCP) を 作 成 し ます。 こ の 場 合 、 add_files コマンドを 使 用 して<br />

すべての EDIF (または NGC) ファイルを 追 加 し、 link_design コマンドを 使 用 して EDIF ファイルからそれぞれの<br />

セルへの 対 応 を 解 決 し ます。 次 に、 こ のプ ロ セスに 使 用 する コ マン ド 例 を 示 し ます。<br />

add_files [list rm.edf ip_1.edf … ip_n.edf]<br />

# Run if RM XDC exists<br />

add_files rm.xdc<br />

link_design -top -part <br />

write_checkpoint rm_v#.dcp<br />

close_project<br />

重 要 : 1 つま たは 複 数 の NGC ソース ファイルも 含 む RM の 処 理 には、 こ の 方 法 を 使 用 し てネ ッ ト リ ス ト を DCP に 結<br />

合 ま たは 変 換 する こ と をお 勧 め し ます。<br />

その 後 、 この 新 し く 作 成 した RM チェッ クポイン ト を PR フ ローで 使 用 し ます。 次 の コ マン ド 例 では、 多 数 の<br />

update_design -cell コマンドを 1 つの read_checkpoint -cell コマンドで 置 き 換 えています。<br />

add_files static.dcp<br />

link_design -top part <br />

lock_design -level routing<br />

read_checkpoint -cell rm_v#.dcp<br />

パーシ ャル リコンフィギュレーション 25<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 3 章 : <strong>Vivado</strong> ツール フロー<br />

方 法 2: サブモジュール ネッ ト リストを RM の 最 上 位 ネ ッ ト リ ス ト と 同 じ デ ィ レ ク ト リ に 配 置<br />

update_design -cell コマンドを 使 用 して PR デザ イ ンに 最 上 位 RM ネ ッ ト リ ス ト を 読 み 込 む と き に、 すべての<br />

サブモジュール ネッ ト リス トを RM の 最 上 位 ネ ッ ト リ ス ト と 同 じデ ィ レ ク ト リ に 配 置 し ておき ます。 こ の 場 合 、 下<br />

位 ネ ッ ト リ ス ト を 指 定 する 必 要 はな く 、 update_design -cells コ マン ド で 自 動 的 に 読 み 込 まれます。 こ の 方 法<br />

は 方 法 1 ほど 明 示 的 ではあ り ませんが、 手 順 は 少 な く てすみます。 こ の 場 合 に RM ネ ッ ト リ ス ト を 読 み 込 むコ マン<br />

ドは、 次 のよ うになります。<br />

add_files static.dcp<br />

link_design -top part <br />

lock_design -level routing<br />

update_design -cells -from_file rm_v#.edf<br />

上 記 の 最 後 の コ マン ド (update_design) に よ り 、 下 位 ネ ッ ト リ ス ト が rm_v#.edf と 同 じディレク ト リにあれば 自<br />

動 的 に 読 み 込 まれます。<br />

デザイ ン 制 約 の 読 み 込 み<br />

デザイ ン フ ローのさ まざまな 段 階 で、 各 コ ンフ ィ ギュ レーシ ョ ンに 新 しい 制 約 を 適 用 でき ます。 RM を DCP として<br />

読 み 込 む と 、 DCP に 保 存 さ れてい る 制 約 が 自 動 的 に 適 用 さ れます。 さ ら に、 read_xdc コマンドを 使 用 して、 最 上<br />

位 または -cell オプシ ョ ンで 指 定 したセルに 制 約 を 適 用 でき ます。 制 約 が RM に 直 接 ま たは 間 接 的 に 影 響 する 場 合<br />

は、 新 し い 制 約 を 読 み 込 む 前 に、 RM をブラ ッ ク ボ ッ ク ス と し て 残 し てお く のではな く 、 解 決 し てお く 必 要 があ り<br />

ます。 そ う し ない と 、 制 約 が 適 用 さ れなかった り 、 制 約 シ ス テムに 正 し く 伝 搬 さ れない 可 能 性 があ り ます。 ス タ<br />

テ ィ ッ ク 部 分 は 初 期 コ ン フ ィ ギ ュ レーシ ョ ンでのみ 配 置 配 線 さ れる ので、 ス タ テ ィ ッ ク 部 分 が 固 定 さ れてい る その<br />

後 の コ ン フ ィ ギ ュ レーシ ョ ンのすべての 制 約 は、 イ ンプ リ メ ン ト さ れる RP 領 域 にのみ 適 用 さ れる よ う にする 必 要 が<br />

あります。<br />

インプリメンテーション<br />

PR フ ローでは、 ハー ド ウ ェ アに さ ま ざ ま な コ ン フ ィ ギ ュ レーシ ョ ン を 使 用 で き るので、 複 数 の イ ンプ リ メ ンテー<br />

ション run が 必 要 です。 PR デザインの 各 インプリ メンテーションはコンフィギュレーションと 呼 ばれます。 デザイン<br />

の 各 モジ ュール (スタティックまたはリコンフィギャラブル モジュール) は、 イ ンプ リ メ ン ト するか、 既 にイ ンプ リ メ<br />

ントされている 場 合 はインポートします。デザインは 1 つのコ ンフ ィ ギ ュ レーシ ョ ンでイ ンプ リ メ ン ト し、 後 のコ ン<br />

フィギュレーションでインポートされるように、 スタティック デザイ ンのイ ンプ リ メ ンテーシ ョ ン 結 果 は 各 コ ンフ ィ<br />

ギ ュ レーシ ョ ンで 一 貫 し ている 必 要 があ り ます。 追 加 のコ ン フ ィ ギ ュ レーシ ョ ンは、 ス タ テ ィ ッ ク 部 分 を イ ンポー ト<br />

し、 各 リ コンフ ィギャラブル モジュールをインプリ メントまたはインポートすることにより 作 成 できます。<br />

PR でのインプ リ メ ンテーシ ョ ン コマン ドおよびオプシ ョ ンのサポー ト に 制 限 はあ り ませんが、 パーシャル リコン<br />

フ ィ ギ ュ レーシ ョ ンの 基 本 要 件 に 従 っていない と 、 一 部 の 最 適 化 およびサブルーチンが 実 行 さ れない こ と があ り ま<br />

す。 link_design または open_checkpoint コ マン ド を 使 用 し て 論 理 デザ イ ン を 読 み 込 む と 、 次 の コ マン ド を 実<br />

行 できるようになります。<br />

# Run if all constraints are not already loaded<br />

read_xdc<br />

# Optional command<br />

opt_design<br />

place_design<br />

# Optional command<br />

phys_opt_design<br />

route_design<br />

パーシ ャル リコンフィギュレーション 26<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 3 章 : <strong>Vivado</strong> ツール フロー<br />

インプリメンテーション データの 保 持<br />

PR フ ローでは、 最 初 の コ ン フ ィ ギ ュ レーシ ョ ンか ら のス タ テ ィ ッ ク ロ ジ ッ ク の 配 置 配 線 結 果 を、 その 後 の コ ン フ ィ<br />

ギュ レーシ ョ ン 用 に 固 定 する 必 要 があ り ます。 最 初 のコ ンフ ィ ギュ レーシ ョ ンのス タ テ ィ ッ ク インプリ メンテー<br />

シ ョ ンは、 チェ ッ ク ポ イ ン ト と し て 保 存 し ます。 その 後 の コ ン フ ィ ギ ュ レーシ ョ ンでチェ ッ ク ポ イ ン ト を 読 み 込 む<br />

際 、 配 置 配 線 を 固 定 し て、 異 な る コ ン フ ィ ギ ュ レーシ ョ ン 間 でス タ テ ィ ッ ク デザ イ ンが 完 全 に 同 一 にな る よ う に し<br />

ます。 インポート したチェ ッ クポイン ト (スタティックまたはリコンフィギャラブル) の 配 置 配 線 を 固 定 する には、<br />

lock_design コマンドを 使 用 します。<br />

lock_design -level [logical|placement|routing] [cell_name]<br />

上 記 の コ マン ド を 使 用 し て ス タ テ ィ ッ ク ロ ジ ッ ク を 固 定 する 場 合 は、 [cell_name] の 指 定 はオプシ ョ ンです。<br />

lock_design -level routing<br />

インポート された RM の 結 果 を 固 定 する には、 イ ンプ リ メ ンテーシ ョ ン 後 のチェ ッ ク ポ イ ン ト 内 で 完 全 な 階 層 名 を<br />

指 定 する 必 要 があ り ます。<br />

lock_design -level routing u0_RM_instance<br />

パーシ ャル リコンフィギュレーションでは、サポートされる 保 持 レベルは routing のみです。 こ のコマン ド には<br />

ほかの 保 持 レベル も あ り ますが、 ほかの 階 層 デザ イ ン フ ローでのみ 使 用 する よ う に し て く だ さ い。<br />

パーシ ャル リ コ ン フ ィ ギュ レーシ ョ ンの 制 約 と プロパ<br />

ティ<br />

パーシ ャル リコンフィギュレーション フ ロー 特 定 のプ ロパテ ィ と 制 約 があ り ます。 こ れらは PR 特 定 の イ ンプ リ メ<br />

ンテーシ ョ ン プロセスを 開 始 し、 パーシャル ビ ッ ト ス ト リ ームに 具 体 的 な 特 性 を 適 用 し ます。 パーシ ャル リコン<br />

フィギュレーション 用 には、 次 の 4 種 類 の 制 約 およびプ ロパテ ィ があ り ます。<br />

制 約 およびプ ロパテ ィ<br />

モジュールを リ コンフ ィ ギャ ラブルと 定 義<br />

リ コ ン フ ィ ギ ャ ラ ブル 領 域 のフ ロ アプ ラ ン を 作 成<br />

必 須<br />

必 須<br />

必 須 /オプシ ョ ン<br />

リコンフィギュレーション 後 にリセットを 適 用 オプション ( 強 く 推 奨 )<br />

表 示 ス ク リ プ ト をオン<br />

オプシ ョ ン<br />

モジュールをリ コンフ ィギャラブルと 定 義<br />

PR デザイ ンを イ ンプ リ メ ン ト するには、 各 リ コ ン フ ィ ギ ャ ラブル モジ ュールを リ コ ン フ ィ ギ ャ ラ ブル と し て 指 定 す<br />

る 必 要 があ り ます。 こ れには、 リ コ ン フ ィ ギ ュ レーシ ョ ンする 各 階 層 セルの 最 上 位 にプ ロパテ ィ を 設 定 し ます。 た<br />

とえば、 inst_count という 名 前 のリ コンフィギャラブル パーテ ィ シ ョ ンがあ り 、 こ のパーテ ィ シ ョ ンに 2 つの リ<br />

コンフィギャラブル モジュール count_up および count_down があるデザインがある と します。 最 初 のコンフ ィ<br />

ギュレーシ ョ ンをインプ リ メ ン トする 前 に 次 のコマンドを 実 行 する 必 要 があ り ます。<br />

set_property HD.RECONFIGURABLE TRUE [get_cells inst_count]<br />

パーシ ャル リコンフィギュレーション 27<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 3 章 : <strong>Vivado</strong> ツール フロー<br />

これによ り、 PR デザインを 正 しくインプリ メントするために 必 要 なツールのパーシャル リコンフィギュレーション<br />

機 能 がアクテ ィブにな り ます。 HD.RECONFIGURABLE プ ロパテ ィ に よ り 、 い く つかの 制 約 およびタ ス ク が 適 用 さ れ<br />

ます。<br />

• 指 定 のセルおよびインターフェイス ネッ トに DONT_TOUCH を 設 定 し ます。 これによ り、 モジュールの 境 界 を 越<br />

えた 最 適 化 は 実 行 さ れな く な り ます。<br />

• セルの Pblock に EXCLUDE_PLACEMENT を 設 定 します。 これによ り、 スタテ ィ ッ ク ロジックがリ コンフィギャ<br />

ラ ブル 領 域 に 配 置 さ れる のを 防 ぎ ます。<br />

• セルの Pblock に CONTAIN_ROUTING を 設 定 し ます。 これによ り、 リ コンフ ィ ギャ ラブル モジ ュールのすべて<br />

の 配 線 が 境 界 内 に 収 め ら れます。<br />

• DRC、 ク ロ ッ ク 配 線 などで 特 別 の コー ド を イ ネーブルに し ます。<br />

リ コ ン フ ィ ギャ ラ ブル 領 域 のフ ロアプ ラ ン を 作 成<br />

各 リコンフィギャラブル パーテ ィ シ ョ ンには、 リ コ ン フ ィ ギ ャ ラ ブル モジ ュールに 使 用 可 能 な 物 理 リ ソース を 定 義<br />

するため Pblock が 必 要 です。 こ の Pblock はリコンフィギャラブル パーテ ィ シ ョ ンに 設 定 する ので、 次 の 制 限 および<br />

要 件 が 適 用 さ れます。<br />

• Pblock には、 有 効 な リ コ ンフ ィ ギャ ラブル エレ メン ト タ イプのみを 含 めます。 領 域 がほかのサイ ト タイプと 重<br />

な る 可 能 性 も あ り ますが、 こ れら のほかのサ イ ト は resize_pblock コマンドに 含 めないよ うにする 必 要 があ<br />

ります。<br />

• 各 コンポーネン ト タ イ プの 複 数 の Pblock 矩 形 を 使 用 し て リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン 領 域 を 作 成 でき<br />

ますが、 配 線 性 が 高 く な る よ う 、 こ れら の 矩 形 は 連 続 し てい る 必 要 があ り ます。 リ コ ン フ ィ ギ ャ ラ ブルでない<br />

リ ソース 用 のギャ ッ プを 含 める こ と は 可 能 ですが、 一 般 的 には、 全 体 の 形 をシンプルにし た 方 がデザイ ンを 配<br />

置 配 線 しやす く な り ます。<br />

• 7 シリーズ デバイ スで RESET_AFTER_RECONFIG プ ロパテ ィ を 使 用 する 場 合 は、 Pblock の 高 さ を ク ロ ッ ク 領 域 の<br />

境 界 に 揃 え る 必 要 があ り ます。 詳 細 は、 「リコンフィギュレーション 後 にリセッ トを 適 用 」 を 参 照 して く ださい。<br />

• 7 シリーズ デバイ スでは、 Pblock の 幅 および 構 成 ために イ ン ターコ ネ ク ト 列 が 分 割 さ れない よ う にする 必 要 が<br />

あります。 詳 細 は、 第 6 章 の 「7 シリーズ デバイ スでの Pblock の 作 成 」 を 参 照 して く ださい。<br />

• SVD パーツの Pblock を 定 義 する 際 は、 最 大 の RM を 考 慮 する 必 要 があ り ます。 そ う し ない と デザ イ ンが 過 剰 に<br />

使 用 さ れ、 write_bitstream コマンドを 実 行 したときにエラーがレポート されます。<br />

• Pblock がデザインのほかの Pblock と 重 ならないよ うにする 必 要 があります。<br />

• リコンフィギャラブル パーテ ィ シ ョ ンのネス ト (コンフィギャラブル パーテ ィ シ ョ ン 内 に 別 の リ コ ン フ ィ ギ ャ<br />

ラブル パーテ ィ シ ョ ン を 含 める) は 現 在 の と こ ろサポー ト されていません。 リ コ ンフ ィ ギャ ラブル パーテ ィ<br />

ション 内 のフロアプラン ロジックの 標 準 Pblock およびネス ト された Pblock はサポー ト されています。<br />

パーシ ャル リコンフィギュレーション 28<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 3 章 : <strong>Vivado</strong> ツール フロー<br />

表 3-4: Pblock のコマンド とプロパテ ィ<br />

コマンド/プロパティ 名<br />

create_pblock<br />

add_cells_to_pblock<br />

resize_pblock<br />

RESET_AFTER_RECONFIG<br />

CONTAIN_ROUTING<br />

EXCLUDE_PLACEMENT<br />

PARTPIN_SPREADING<br />

説 明<br />

各 リコンフィギャラブル パーテ ィ シ ョ ン インスタンスの 初 期 Pblock を 作 成 し<br />

ます。<br />

Pblock に 含 まれる イ ン ス タ ン ス を 指 定 し ます。 通 常 、 ボ ト ムア ッ プ 合 成 で 定 義<br />

される 階 層 レベルを 指 定 します。<br />

Pblock のサイ ト タイプ (SLICE、 RAMB36 など) と サ イ ト 位 置 を 定 義 し ます。<br />

リ コ ン フ ィ ギ ャ ラ ブル 領 域 での 専 用 GSR イベン ト の 使 用 を 制 御 する Pblock プ<br />

ロパテ ィ です。 こ のプ ロパテ ィ を 使 用 する こ と を 強 く お 勧 め し ます。 ただ し、<br />

7 シリーズおよび Zynq デバイ スの 場 合 は、 Pblock の 高 さが ク ロ ッ ク 領 域 の 境<br />

界 に 揃 え られている 必 要 があ り ます。<br />

Pblock に 含 まれない 配 線 リ ソースが 使 用 されないよ う にする Pblock プロパ<br />

ティです。 このプロパティは PR では 必 須 で、 リ コ ンフ ィ ギャ ラブル パーテ ィ<br />

シ ョ ンに 対 し て 自 動 的 に TRUE に 設 定 さ れます。 ス タ テ ィ ッ ク 配 線 に Pblock<br />

内 の リ ソース を 使 用 する こ と は 可 能 です。<br />

定 義 さ れた Pblock 範 囲 内 に Pblock に 含 まれないロ ジ ッ ク が 配 置 されないよ う<br />

にする Pblock プロパティです。 このプロパティは PR では 必 須 で、 リ コ ン フ ィ<br />

ギャラブル パーテ ィ シ ョ ンに 対 し て 自 動 的 に TRUE に 設 定 されます。<br />

RESET_AFTER_RECONFIG が 使 用 されない 場 合 は、 LOC プ ロパテ ィ を 使 用 し<br />

てスタティ ック ロジックをリ コンフィギャラブル パーテ ィ シ ョ ン 内 に 配 置 で<br />

きます。<br />

INT タイルごとの PartPin の 最 大 数 を 制 御 し ます。 デフ ォル ト は 5 です。<br />

値 を 小 さ くする と (3 など)、 パーティション ピ ンの 配 置 が 分 散 さ れます。 こ れ<br />

によ り PartPin が 多 く 配 置 さ れてい る エ リ アの 配 線 密 集 を 緩 和 で き ますが、 RP<br />

イ ン ターフ ェ イ スの タ イ ミ ングに 悪 影 響 を 与 え る 可 能 性 があ り ます。<br />

次 に、 リ コ ンフ ィ ギ ャ ラブル パーテ ィ シ ョ ンの 制 約 例 を 示 し ます。<br />

#define a new pblock<br />

create_pblock pblock_count<br />

#add a hierarchical module to the pblock<br />

add_cells_to_pblock [get_pblocks pblock_count] [get_cells [list inst_count]]<br />

#define the size and components within the pblock<br />

resize_pblock [get_pblocks pblock_count] -add {SLICE_X136Y50:SLICE_X145Y99}<br />

resize_pblock [get_pblocks pblock_count] -add {RAMB18_X6Y20:RAMB18_X6Y39}<br />

resize_pblock [get_pblocks pblock_count] -add {RAMB36_X6Y10:RAMB36_X6Y19}<br />

パーシ ャル リコンフィギュレーション 29<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 3 章 : <strong>Vivado</strong> ツール フロー<br />

<strong>Vivado</strong> IDE でのフロアプラン<br />

<strong>Vivado</strong> IDE は、 プ ラ ンニン グおよび 表 示 タ ス ク に 使 用 で き ます。 [Device] ウ ィ ン ド ウ を 使 用 し て、 フ ロ アプ ラ ン 用 に<br />

Pblock 制 約 を 作 成 および 変 更 する のが、 その よ い 例 です。<br />

1. 合 成 済 みス タ テ ィ ッ ク デザイ ン と 最 大 の リ コ ン フ ィ ギ ャ ラ ブル モジュールを 開 きます。 次 に、 『<strong>Vivado</strong> <strong>Design</strong><br />

<strong>Suite</strong> チュート リ アル: パーシ ャル リコンフィギュレーション』 (UG947) [ 参 照 1] のチュー ト リ アル デザイ ンを 使<br />

用 したコマンド 例 を 示 します。<br />

open_checkpoint synth/Static/top_synth.dcp<br />

set_property HD.RECONFIGURABLE true [get_cells inst_count]<br />

read_checkpoint -cell [get_cells inst_count] synth/count_up/count_synth.dcp<br />

set_property HD.RECONFIGURABLE true [get_cells inst_shift]<br />

read_checkpoint -cell [get_cells inst_shift] synth/shift_right/shift_synth.dcp<br />

これでフル コンフィギュレーションがメモリに 読 み 込 まれ、 リコンフィギャラブル パーテ ィ シ ョ ンが 定 義 され<br />

ます。<br />

2. リコンフィギャラブル パーテ ィ シ ョ ン 用 の Pblock 制 約 を 作 成 する には、 [Netlist] ウ ィンドウでインスタンス ( こ<br />

の 場 合 は inst_count または inst_shift) を 右 ク リ ッ ク し、[Draw Pblock] をクリ ックします。[Device] ウ ィンドウで 矩<br />

形 を 作 成 し、 この リ コンフ ィ ギャ ラブル パーテ ィ シ ョ ンの リ ソース を 選 択 し ます。<br />

3. この Pblock を 選 択 する と 、 [Properties] ウ ィ ン ド ウ に 使 用 可 能 な リ ソース 数 と 必 要 な リ ソース 数 が 表 示 さ れます。<br />

必 要 な 数 は 現 在 読 み 込 まれてい る リ コ ン フ ィ ギ ャ ラ ブル モジュールによって 異 な り、 ほかのモジュールの 要 件<br />

は 異 な る 場 合 があ る こ と に 注 意 し て く だ さ い。 適 切 な 形 状 (L 字 型 など) を 作 成 する ために 矩 形 を 追 加 する 必 要<br />

がある 場 合 は、 [Device] ウ ィンドウで Pblock を 右 ク リ ッ ク し、 [Add Pblock Rectangle] をクリ ックします。<br />

4. デザイ ン ルール チェッ ク (DRC) を 実 行 して、 メモ リ 内 のコンフ ィ ギュレーシ ョ ンでフロアプランおよびその 他<br />

の 項 目 を 検 証 し ます。 DRC を 実 行 する には、 [Tools] → [Report] → [Report DRC] をクリ ックし、 [Partial<br />

Reconfiguration] がオンになってい る こ と を 確 認 し ます (31 ページの 図 3-1)。 Pblock に HD.RECONFIGURABLE が<br />

設 定 さ れていない 場 合 、 1 つの DRC のみが 実 行 可 能 で、 次 の 図 に 示 さ れてい る よ う にすべては 表 示 さ れません。<br />

パーシ ャル リコンフィギュレーション 30<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 3 章 : <strong>Vivado</strong> ツール フロー<br />

X-Ref Target - Figure 3-1<br />

図 3-1: <strong>Vivado</strong> IDE でのパーシャル リコンフィギュレーション DRC<br />

パーシ ャル リコンフィギュレーション 31<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 3 章 : <strong>Vivado</strong> ツール フロー<br />

これらの DRC は、 Tcl コンソールまたはスク リプトで report_drc コマンドを 使 用 して 実 行 します。 パーシャル リ<br />

コ ン フ ィ ギ ュ レーシ ョ ン 用 のチェ ッ ク のみを 実 行 する 場 合 は、 次 の コ マン ド を 使 用 し ます。<br />

report_drc -checks [get_drc_checks HDPR*]<br />

デザイ ン プ ロ セスの 特 定 の 段 階 に 対 するチェ ッ ク を 実 行 する には、 -ruledeck オプシ ョ ン を 使 用 で き ます。 た と<br />

えば、 配 置 配 線 済 みのデザ イ ンに 対 し て 次 の コ マン ド を 実 行 で き ます。<br />

report_drc -ruledeck bitstream_checks<br />

フ ロ アプ ラ ン 制 約 を 保 存 する には、 [Tcl Console] ウィンドウに 次 のコマンドを 入 力 します。<br />

write_xdc top_fplan.xdc<br />

この 制 約 ファイルに 保 存 された Pblock 制 約 は、 直 接 使 用 する か、 別 の 最 上 位 デザ イ ン 制 約 フ ァ イルに コ ピーし て 使<br />

用 でき ます。 この XDC フ ァ イルには、 新 し く 追 加 し た 制 約 だけでな く 、 メ モ リ に 読 み 込 まれてい る 現 在 のデザ イ ン<br />

の 制 約 すべてが 含 まれます。<br />

注 意 : <strong>Vivado</strong> IDE の [File] → [Save Checkpoint] ま たは 同 等 のボ タ ン を 使 用 し てデザ イ ン 全 体 を 保 存 し ないで く だ さ い。<br />

読 み 込 まれてい るデザ イ ン を こ の 方 法 で 保 存 する と 、 合 成 済 みス タ テ ィ ッ ク デザイ ン チェッ クポイン トがリ コン<br />

フィギャラブル モジ ュール と 追 加 制 約 を 含 む 新 し いバージ ョ ンで 上 書 き さ れます。<br />

タイミング 制 約<br />

パーシ ャル リコンフィギュレーション デザ イ ンの タ イ ミ ング 制 約 は、 従 来 のフ ラ ッ ト デザ イ ンの タ イ ミ ン グ 制 約 と<br />

似 ています。 プ ラ イ マ リ クロックと I/O には、 対 応 する 制 約 を 設 定 する 必 要 があ り ます。 こ れら の 制 約 については、<br />

『<strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> <strong>ユーザー</strong> <strong>ガイド</strong>: 制 約 の 使 用 』 (UG903) [ 参 照 18] の こ のセ ク シ ョ ンおよびこ のセ ク シ ョ ン を 参 照<br />

してください。<br />

デザ イ ンに 正 し い 制 約 を 適 用 し た ら、 ス タ テ ィ ッ ク タイミング 解 析 を 実 行 してデザインのパフォーマンスを 検 証 し<br />

ます。 この 検 証 は、 各 リ コンフ ィ ギャ ラブル モジュールに 対 し てデザイ ン 全 体 のコ ンテキス ト で 実 行 する 必 要 があ<br />

り ます。 デザ イ ンの 解 析 方 法 の 詳 細 は、 『<strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> <strong>ユーザー</strong> <strong>ガイド</strong>: デザ イ ン 解 析 および ク ロージ ャ テク<br />

ニック』 (UG906) [ 参 照 19] を 参 照 して く ださい。<br />

<strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> には、 セル レベルのタ イ ミ ング レポートを 実 行 する 機 能 があります。 特 定 のフィコンフィギャラ<br />

ブル モジ ュールで タ イ ミ ン グ 解 析 を 実 行 する には、 report_timing または report_timing_summary で -cell<br />

オプシ ョ ン を 使 用 し ます。 こ れは、 以 前 の コ ン フ ィ ギ ュ レーシ ョ ンか ら イ ンポー ト さ れ 固 定 さ れてい る ス タ テ ィ ッ<br />

ク デザイ ンのコ ンフ ィ ギ ュ レーシ ョ ンで 特 に 便 利 です。<br />

現 在 の 制 約 セ ッ ト では、 リ コ ン フ ィ ギ ャ ラ ブル モジ ュールの 境 界 にあ る イ ン ターコ ネ ク ト タ イルに 制 約 を 適 用 し た<br />

り、 タイ ミング 解 析 を 実 行 したりすることはできません。 インターコネク ト タ イルの 制 約 および 解 析 については、<br />

今 後 の リ リ ースのために 調 査 中 です。<br />

パーシ ャル リコンフィギュレーション 32<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 3 章 : <strong>Vivado</strong> ツール フロー<br />

パーテ ィ シ ョ ン ピン<br />

リコンフィギャラブル パーテ ィ シ ョ ンに 定 義 さ れた Pblock 領 域 内 には、 パーテ ィ シ ョ ン ピンと 呼 ばれるインター<br />

フェイス ポ イ ン ト が 自 動 的 に 作 成 さ れます。 こ れら の 仮 想 I/O はインターコネク ト タイル 内 にアンカー ポイント と<br />

し て 作 成 さ れ、 モジ ュール 間 で 一 定 に 保 たれます。 こ れら のアンカー ポ イ ン ト を 作 成 する のに、 LUT やフ リ ップフ<br />

ロ ッ プなどの 物 理 リ ソースは 不 要 で、 遅 延 が 追 加 さ れる こ と も あ り ません。<br />

ツールに よ り ソース、 ロー ド 、 およびタ イ ミ ング 要 件 に 基 づいて 位 置 が 選 択 さ れますが、 <strong>ユーザー</strong>が こ れら の 位 置<br />

を 指 定 する こ と も で き ます。 次 の 制 約 を 適 用 する と 、 パーテ ィ シ ョ ン ピ ンの 配 置 を 制 御 で き ます。<br />

表 3-5: パーテ ィ シ ョ ン ピ ンの 配 置 を 制 御 する プロパテ ィ<br />

コマンド/プロパティ 名<br />

HD.PARTPIN_LOCS<br />

HD.PARTPIN_RANGE<br />

説 明<br />

配 線 さ れる 指 定 ポー ト に イ ン ターコ ネ ク ト タイル (INT) を 指 定 します。<br />

HD.PARTPIN_RANGE よりも 優 先 されます。 このプロパティは、 リコン<br />

フィギャラブル パーテ ィ シ ョ ンの 境 界 の 両 側 にあ る ロ ジ ッ ク の 配 置 およ<br />

び 配 線 に 影 響 し ます。<br />

クロック ポー ト には 使 用 しないで く ださい。 ク ロ ッ ク ポー ト に 使 用 す<br />

る と 、 ク ロ ッ ク に ローカル 配 線 が 使 用 さ れます。<br />

こ のプ ロパテ ィ を 専 用 接 続 には 使 用 し ないで く だ さ い。<br />

指 定 し たポー ト を 配 線 する ために 使 用 可 能 な コ ンポーネン ト サイ ト<br />

(SLICE、 DSP、 BRAM) またはインターコネク ト タイル (INT) の 範 囲 を 定<br />

義 します。<br />

<strong>ユーザー</strong> 定 義 の HD.PARTPIN_RANGE 値 が 存 在 し ない 場 合 は、 Pblock の<br />

範 囲 に 基 づいて 値 が 自 動 的 に 算 出 さ れます。<br />

注 記 : 29 ページの 表 3-4 の PARTPIN_SPREADING プ ロパテ ィ を 使 用 し てパーテ ィ シ ョ ン ピンに 影 響 を 与 えることは<br />

できますが、 これは Pblock レベルで 適 用 さ れます。<br />

パーテ ィ シ ョ ン ピ ンの 配 置 を 制 御 するプロパテ ィ の 例<br />

• set_property HD.PARTPIN_LOCS INT_R_X4Y153 [get_ports ]<br />

• set_property HD.PARTPIN_RANGE SLICE_X4Y153:SLICE_X5Y157 [get_ports ]<br />

インターコネク ト タイル サイ トのインスタンス 名 は、[Device] ウ ィンドウで [Routing Resources] をイネーブルにする<br />

と 表 示 できます。<br />

注 記 : <strong>ユーザー</strong> 定 義 値 がない 場 合 、 HD.PARTPIN_RANGE は place_design の 実 行 中 に 自 動 的 に 設 定 さ れます。 値<br />

が 設 定 さ れた ら、 イ ン タ ラ ク テ ィ ブ 配 置 配 線 中 (RP Pblock の 試 行 のための 変 更 、 place_design -unplace の 実 行<br />

など) には リ セ ッ ト されません。 Pblock を 変 更 した 場 合 は、 HD.PARTPIN_RANGE および HD.PARTPIN_LOCS を 手 動<br />

で リ セッ ト する 必 要 があ り ます。 これらのプロパテ ィ は、 ほかのほとんどのプロパテ ィ と 同 様 に リ セッ ト でき ます。<br />

パーシ ャル リコンフィギュレーション 33<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 3 章 : <strong>Vivado</strong> ツール フロー<br />

次 の Tcl プロシージャは、 PR デザインにこのようなインタラクティブ フ ロ アプ ラ ン を 実 行 する 場 合 に 便 利 です。<br />

##################################################<br />

Proc to unroute, uplace, and reset HD.PARTPIN_*<br />

#################################################<br />

proc pr_unplace {} {<br />

route_design -unroute<br />

place_design -unplace<br />

set cells [get_cells -quiet -hier -filter HD.RECONFIGURABLE]<br />

foreach cell $cells {<br />

reset_property HD.PARTPIN_LOCS [get_pins $cell/*]<br />

reset_property HD.PARTPIN_RANGE [get_pins $cell/*]<br />

}<br />

}<br />

リコンフィギュレーション 後 にリセットを 適 用<br />

リ コ ン フ ィ ギ ュ レーシ ョ ン 後 に リ セ ッ ト を 適 用 する 機 能 を 使 用 する と 、 パーシ ャル リコンフィギュレーション 中 に<br />

リ コ ン フ ィ ギ ュ レーシ ョ ン さ れる 領 域 が 一 定 し た 状 態 に 保 持 さ れ、 新 し い リ コ ン フ ィ ギ ャ ラ ブル モジュールのすべ<br />

ての ロ ジ ッ ク が 開 始 値 に 初 期 化 さ れます。 パーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ン 中 、 ス タ テ ィ ッ ク 配 線 は 影 響 を 受<br />

けずにその 領 域 を 通 過 でき、 デバイ スのほかの 部 分 にあ る ス タ テ ィ ッ ク ロジック (およびほかの PR 領 域 ) は 通 常 ど<br />

お り 動 作 し 続 け ます。 こ の 機 能 を 使 用 するパーシ ャル リコンフィギュレーションは、FPGA の 初 期 コ ンフ ィ ギ ュ<br />

レーシ ョ ン と 同 様 に 動 作 し、 同 期 エレ メ ン ト が 既 知 の 初 期 ステー ト にな り ます。<br />

重 要 : GSR (グローバル セッ ト/ リセット) や GWE (グローバル ライト イネーブル) などのグ ローバル 信 号 の 解 放 は、<br />

チ ッ プ 全 体 で 同 期 する と は 限 り ません。 モジ ュール 内 の 機 能 が 初 期 化 さ れた 順 次 エレ メ ン ト の 開 始 が 同 期 し てい る<br />

こ と に 依 存 し てい る 場 合 は、 そのモジ ュール 内 の ロ ジ ッ ク を 駆 動 する ク ロ ッ ク ま たはそれら のエレ メ ン ト の ク ロ ッ<br />

ク イ ネーブルを リ コ ン フ ィ ギ ュ レーシ ョ ン 中 デ ィ スエーブルに し、 リ コ ン フ ィ ギ ュ レーシ ョ ンの 完 了 後 に 再 びイ<br />

ネーブルにします。 詳 細 は、「スタートアップ 後 にフリップフロップおよび SRL を 正 し く 同 期 化 させる ためのデザ イ<br />

ン アドバイザリ」 (ザイ リ ンクス アンサー 44174) [ 参 照 34] を 参 照 して ください。<br />

次 に、 RESET_AFTER_RECONFIG プ ロパテ ィ の 構 文 を 示 し ます。<br />

set_property RESET_AFTER_RECONFIG true [get_pblocks ]<br />

7 シリーズの XADC コンポーネントの DRP イ ン ターフ ェ イ スがデザ イ ンで 使 用 さ れる 場 合 、<br />

RESET_AFTER_RECONFIG がイネーブルのとき、 パーシャル リコンフィギュレーション 中 にこのインターフェイス<br />

はブロ ッ ク されます ( リセットに 保 持 される)。 イ ン ターフ ェ イ スは 応 答 せず (ビジー 状 態 )、 このパーシャル リコン<br />

フ ィ ギ ュ レーシ ョ ン 中 はア ク セスがない 状 態 にな り ます。 パーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ンが 完 了 する と 、 イ<br />

ン ターフ ェ イ スは 再 びア ク セス 可 能 にな り ます。<br />

7 シリーズおよび Zynq-7000 AP SoC デバイ スで リ コ ン フ ィ ギ ュ レーシ ョ ン 後 に リ セ ッ ト を 適 用 する 機 能 を 使 用 するに<br />

は、 Pblock 制 約 が リ コ ン フ ィ ギ ュ レーシ ョ ン フ レームに 揃 え られてい る 必 要 があ り ます。 GSR は 領 域 内 のすべての 同<br />

期 エレ メ ン ト に 影 響 するので、 リ コ ンフ ィ ギャ ラブル フレームのみを 使 用 する 必 要 があります。 これらのリ コンフィ<br />

ギャラブル フレーム 内 でにはスタティ ック ロ ジ ッ クは 配 置 でき ません (スタティック 配 線 は 可 能 )。 Pblock の 高 さ が ク<br />

ロ ッ ク 領 域 の 境 界 に 揃 え られている 必 要 があ り ます。 これは、 これが リ コ ン フ ィ ギ ャ ラ ブル フレームの 基 本 領 域 に 一<br />

致 しているからです。 RESET_AFTER_RECONFIG を 使 用 する 場 合 、 Pblock の 幅 に 関 する 要 件 はあ り ません。<br />

UltraScale デバイ スには こ の ク ロ ッ ク 領 域 を 揃 え る 要 件 はな く 、 GSR の 適 用 を 詳 細 に 制 御 で き ます。 そのため、<br />

UltraScale アーキテクチャでは RESET_AFTER_RECONFIG がすべての リ コ ンフ ィ ギャ ラブル パーテ ィ シ ョ ンに 自 動<br />

的 に 適 用 さ れます。<br />

パーシ ャル リコンフィギュレーション 34<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 3 章 : <strong>Vivado</strong> ツール フロー<br />

図 3-2 では、 左 側 の Pblock (pblock_shift) は Pblock の 上 辺 と 下 辺 が ク ロ ッ ク 領 域 X1Y3 の 高 さに 揃 っているので、<br />

フ レームに 揃 っています。 右 側 の Pblock (pblock_count) はフレームに 揃 っていません。<br />

° 7 シリーズ デバイ ス: モジュールがパーシャル リコンフィギュレーションされた 後 、Pblock とその 上 のク<br />

ロ ッ ク 領 域 境 界 の 間 に 配 置 さ れてい る ス タ テ ィ ッ ク ロジックが GSR の 影 響 を 受 けるので、 フレームに 揃 え<br />

られていない Pblock ( 図 の pblock_count など) には RESET_AFTER_RECONFIG を 設 定 でき ません。<br />

° UltraScale デバイ ス: GSR 制 御 が 改 善 さ れてい る ので、 両 方 の Pblock に 自 動 的 に RESET_AFTER_RECONFIG<br />

が 使 用 されます。<br />

SNAPPING_MODE 制 約 を 使 用 する と 、 有 効 な リ コ ン フ ィ ギ ャ ラ ブル Pblock が 自 動 的 に 作 成 さ れます。 詳 細 は、<br />

第 6 章 の 「 リ コ ンフ ィ ギャ ラブル パーテ ィ シ ョ ンの 自 動 調 整 」 (7 シリーズ デバイ ス) または 第 7 章 の 「Pblock の PU<br />

の 自 動 調 整 」 (UltraScale デバイ ス) を 参 照 して く ださい。<br />

X-Ref Target - Figure 3-2<br />

図 3-2: RESET_AFTER_RECONFIG を 使 用 可 能 な Pblock ( 左 ) と 使 用 不 可 能 な Pblock ( 右 )<br />

GSR の 機 能 はパーシ ャル ビ ッ ト ス ト リ ーム 内 に 組 み 込 まれてい る ので、 リ コ ン フ ィ ギ ュ レーシ ョ ン 中 に こ の 機 能 を<br />

含 めるための 操 作 は 必 要 あ り ません。 ただし、 こ のプロセスは SHUTDOWN シーケンス ( リコンフィギュレーションす<br />

る 領 域 のみにマス ク) を 使 用 する ので、 リ コ ン フ ィ ギ ュ レーシ ョ ン を 開 始 し た と き に 外 部 DONE ピンを Low にし、 正<br />

し く 完 了 し た ら High にする 必 要 があ り ます。 ボードをセッ ト アップする 際 に、 この 動 作 を 考 慮 する 必 要 があ り ま<br />

す。 STARTUP ブロ ッ クはシ ャ ッ ト ダウ ン 中 デ ィ スエーブルにな るので、 STARTUP ブロックの DONEO を DONE ピン<br />

のス テー ト が 変 化 し ない よ う にする ために 使 用 する こ と はで き ません。 ま た、 RESET_AFTER_RECONFIG を 使 用 し<br />

てい る 場 合 、 STARTUP をパーシャル リ コンフィギュレーショ ンのコンフィギュレーショ ン ク ロ ッ ク を 生 成 する な<br />

どのほかの 目 的 で 使 用 する こ と はで き ません。<br />

パーシ ャル リコンフィギュレーション 35<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 3 章 : <strong>Vivado</strong> ツール フロー<br />

別 のアプ ローチ と し て、 こ のプ ロパテ ィ を 使 用 せず、 リ コ ン フ ィ ギ ュ レーシ ョ ン さ れた ロ ジ ッ ク で 正 し く 機 能 さ せ<br />

る ために 初 期 化 が 必 要 な も のに ローカル リ セ ッ ト を 適 用 する 方 法 があ り ます。 こ の 方 法 では、 Pblock の 高 さ を ク<br />

ロ ッ ク 領 域 の 境 界 に 揃 え る 必 要 はあ り ません。 GSR またはローカル リ セ ッ ト を 使 用 し ない 場 合 、 リ コ ン フ ィ ギ ャ ラ<br />

ブル モジ ュール 内 の 同 期 エレ メ ン ト が 初 期 の 開 始 値 にな ら ない 可 能 性 があ り ます。<br />

表 示 ス ク リ プ ト をオン<br />

パーシ ャル ビットスト リームの 一 部 であるコンフィギュレーション タイルを、<strong>Vivado</strong> IDE の [Device] ウ ィンドウに<br />

表 示 で き ます。<br />

パーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ンの 場 合 、 すべてのパーシ ャル リコンフィギュレーション Pblock に 対 する ス ク<br />

リプトが hd_visual ディレク ト リ (run ス ク リ プ ト を 起 動 する と デ ィ レ ク ト リ 内 に 作 成 ) に 自 動 的 に 作 成 さ れます。<br />

こ れ ら のス ク リ プ ト を 使 用 する には、 <strong>Vivado</strong> IDE に 配 線 済 みデザ イ ン チェ ッ ク ポ イ ン ト を 読 み 込 み、 ス ク リ プ ト の<br />

いずれかを 実 行 し ます。 これらのデザイ ン 特 定 のス ク リ プ ト では、 <strong>ユーザー</strong>が 定 義 し た コ ンフ ィ ギ ュ レーシ ョ ン タ<br />

イルをハイライ ト、 パーシャル BIT フ ァ イルを 作 成 する のに 使 用 さ れた コ ン フ ィ ギ ュ レーシ ョ ン フ レーム を 表 示 、<br />

PR フ ロ アプ ラ ンか ら 除 外 さ れたサ イ ト を 示 し ます。 モジ ュール 解 析 や Tandem コンフィギュレーションなどのほか<br />

のフ ロー 用 にも ス ク リ プ ト が 作 成 されますが、 これらは PR では 使 用 されません。<br />

ツール フロー<br />

こ のセ ク シ ョ ンでは 基 本 フ ローを 説 明 し、 こ のフ ローを 実 行 する ための コ マン ド 例 を 示 し ます。<br />

合 成<br />

スタティック モジ ュールを 含 む 各 モジ ュールは、 それぞれにネ ッ ト リ ス ト ま たはチェ ッ ク ポ イ ン ト が 作 成 さ れる よ<br />

う に、 ボ ト ムア ッ プ 合 成 する 必 要 があ り ます。<br />

1. 最 上 位 モジ ュールを 合 成 し ます。<br />

read_verilog top.v (および、 リ コンフ ィギャラブル モジュールのブラ ッ ク ボックス モジュールの 定<br />

義 など、 ス タテ ィ ッ ク デザ イ ンに 関 連 付 け ら れたその 他 の HDL)<br />

こ の 後 次 を 実 行 し ます。<br />

read_xdc top_synth.xdc<br />

synth_design -top top -part xc7k70tfbg676-2<br />

write_checkpoint top_synth.dcp<br />

2. リコンフィギャラブル モジ ュールを 合 成 し ます。<br />

read_verilog rp1_a.v<br />

synth_design -top rp1 -part xc7k70tfbg676-2 -mode out_of_context<br />

write_checkpoint rp1_a_synth.dcp<br />

3. 残 りの 各 リコンフィギャラブル モジ ュールを 合 成 し ます。<br />

read_verilog rp1_b.v<br />

synth_design -top rp1 -part xc7k70tfbg676-2 -mode out_of_context<br />

write_checkpoint rp1_b_synth.dcp<br />

パーシ ャル リコンフィギュレーション 36<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 3 章 : <strong>Vivado</strong> ツール フロー<br />

インプリメンテーション<br />

すべての リ コ ン フ ィ ギ ャ ラ ブル モジュールを 少 な く と も 1 回 イ ンプ リ メ ン ト する のに 必 要 な 数 のコ ン フ ィ ギ ュ レー<br />

シ ョ ン を 作 成 し ます。 最 初 の コ ン フ ィ ギ ュ レーシ ョ ンで、 最 上 位 と 最 初 の リ コ ン フ ィ ギ ャ ラ ブル モジュールの 合 成<br />

結 果 を 読 み 込 みます。 モジ ュールを リ コ ン フ ィ ギ ャ ラ ブル と マー ク し、 イ ンプ リ メ ンテーシ ョ ン を 実 行 し ます。 完<br />

全 な 配 線 済 みコ ン フ ィ ギ ュ レーシ ョ ンのチェ ッ ク ポ イ ン ト を 保 存 し、 リ コ ン フ ィ ギ ャ ラ ブル モジュールを 必 要 に 応<br />

じ て 再 利 用 で き る よ う に リ コ ン フ ィ ギ ャ ラ ブル モジュールのチェックポイントを 保 存 します。 最 後 に、デザインか<br />

らリコンフィギャラブル モジ ュールを 削 除 し (update_design -cell -black_box)、 スタティック デザイ ンの<br />

みのチェ ッ ク ポイ ン ト を 保 存 し ます。<br />

コンフィギュレーション 1:<br />

open_checkpoint top_synth.dcp<br />

read_xdc top_impl.xdc<br />

set_property HD.RECONFIGURABLE true [get_cells rp1]<br />

read_checkpoint -cell rp1 rp1_a_synth.dcp<br />

opt_design<br />

place_design<br />

route_design<br />

write_checkpoint config1_routed.dcp<br />

write_checkpoint -cell rp1 rp1_a_route_design.dcp<br />

update_design -cell rp1 -black_box<br />

lock_design -level routing<br />

write_checkpoint static_routed.dcp<br />

2 番 目 のコ ン フ ィ ギ ュ レーシ ョ ンでは、 リ コ ン フ ィ ギ ャ ラ ブル モジュールがブラ ッ ク ボックスとして 含 まれている<br />

ス タ テ ィ ッ ク 部 分 の 配 置 配 線 済 みチェ ッ ク ポ イ ン ト を 読 み 込 みます ( 閉 じている 場 合 )。 その 後 2 番 目 の リ コ ン フ ィ<br />

ギャラブル モジ ュールの 合 成 結 果 を 読 み 込 み、 デザ イ ン を イ ンプ リ メ ン ト し ます。 最 後 に、 リ コ ン フ ィ ギ ャ ラ ブル<br />

モジュールの 2 番 目 のバージ ョ ンの イ ンプ リ メ ンテーシ ョ ン チェッ クポイン ト を 保 存 します。<br />

コンフィギュレーション 2:<br />

open_checkpoint static_routed.dcp<br />

read_checkpoint -cell rp1 rp1_b_synth.dcp<br />

opt_design<br />

place_design<br />

route_design<br />

write_checkpoint config2_routed.dcp<br />

write_checkpoint -cell rp1 rp1_b_route_design.dcp<br />

ヒント: 各 コ ン フ ィ ギ ュ レーシ ョ ン を 別 のフ ォルダーに 分 け、 すべての 中 間 チェ ッ ク ポ イ ン ト 、 ロ グおよびレポー ト<br />

ファイル、 BIT フ ァ イル、 その 他 のデザイ ン 出 力 が 個 別 に 保 存 される よ う にし ます。<br />

複 数 の リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンがあ る 場 合 は、 ほかのコ ン フ ィ ギ ュ レーシ ョ ン も 必 要 です。 追 加 の コ<br />

ン フ ィ ギ ュ レーシ ョ ンは、 以 前 に イ ンプ リ メ ン ト 済 みの リ コ ン フ ィ ギ ャ ラ ブル モジュールをインポー ト して、 ハー<br />

ド ウ ェ アに 読 み 込 むフル デザ イ ン を 作 成 する こ と に よ り 、 作 成 する こ と も 可 能 です。 こ れは、 電 源 投 入 用 の 適 切 な<br />

組 み 合 わせのフル ビ ッ ト ス ト リ ーム を 作 成 する 場 合 や、 ス タ テ ィ ッ ク タ イ ミ ング 解 析 、 消 費 電 力 解 析 、 シ ミ ュ レー<br />

シ ョ ン を 実 行 する 場 合 に 便 利 です。<br />

パーシ ャル リコンフィギュレーション 37<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 3 章 : <strong>Vivado</strong> ツール フロー<br />

<strong>Vivado</strong> 2016.1 からは、 各 リ コンフィギャラブル モジュール チェ ッ ク ポ イ ン ト の 完 全 な 配 置 配 線 結 果 が 保 持 さ れるの<br />

で、 新 し い コ ン フ ィ ギ ュ レーシ ョ ン を 作 成 する のが、 配 線 済 みチェ ッ ク ポ イ ン ト の コ レ ク シ ョ ン を 読 み 込 むの と 同<br />

じ よ う に 単 純 になっています。 ただ し、 こ のフ ローを 使 用 する 場 合 には 制 限 も あ る こ と に 注 意 し て く だ さ い。 リ コ<br />

ンフィギャラブル モジ ュールの イ ンプ リ メ ンテーシ ョ ン を 保 存 する のに write_checkpoint -cell を 使 用 した 場<br />

合 、 こ のモジ ュールに 対 し て ローカルの 制 約 は 保 持 さ れません。 内 部 ク ロ ッ ク 制 約 、 ま たは RM 内 で 開 始 または 終<br />

了 する (ま たは 開 始 し て 終 了 する) タイミング 例 外 を 含 むリコンフィギャラブル モジ ュールの 場 合 、 新 し い コ ン フ ィ<br />

ギ ュ レーシ ョ ン を 作 成 し た 後 、 こ れら の 制 約 を タ イ ミ ング 解 析 用 に 適 用 し 直 す 必 要 があ り ます。 ザ イ リ ン ク ス IP ま<br />

たはサードパーテ ィ IP を 含 む リ コンフ ィ ギャ ラブル モジ ュールは、 こ の 制 限 を 示 す 良 いモジ ュール 例 です。<br />

レポート<br />

インプリ メンテーション フ ローの 各 段 階 では、 パーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ン 特 定 のデザ イ ン ルール<br />

チェッ ク (DRC) が 実 行 さ れます。 イ ンプ リ メ ンテーシ ョ ンで 表 示 さ れる メ ッ セージに 注 意 し、 ク リ テ ィ カル 警 告 が<br />

発 生 し ていないかを 確 認 し ます。 こ れら の メ ッ セージは、 モジ ュール インターフェイス、 フロアプランなど、 PR デ<br />

ザ イ ンの 重 要 な 部 分 を 最 適 化 する のに 役 立 ち ます。<br />

生 成 さ れる ほ と んどのレポー ト には、 PR 特 定 のセ ク シ ョ ンはあ り ませんが、 有 益 な 情 報 が 含 まれています。 た と え<br />

ば、 report_utilization コマンドで -pblocks オプシ ョ ン を 使 用 する と 、 リ ソ ース 使 用 率 を 取 得 で き ます。 こ<br />

の コ マン ド を 実 行 する と 、 指 定 し た リ コ ン フ ィ ギ ャ ラ ブル モジ ュール 内 で 使 用 可 能 な リ ソ ース 数 と 使 用 さ れてい る<br />

リ ソース 数 が 示 されます。 次 に、 『<strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> チュート リ アル: パーシ ャル リコンフィギュレーション』<br />

(UG947) [ 参 照 1] のデザ イ ン を 使 用 し た コ マン ド 例 を 示 し ます。<br />

report_utilization -pblocks [get_pblocks pblock_count]<br />

report_clock_utilization では、 パーシャル リコンフィギュレーション インプリ メンテーションで 反 転 され<br />

るクロックが 示 されます。<br />

コ ン フ ィ ギュ レーシ ョ ンの 検 証<br />

すべての コ ン フ ィ ギ ュ レーシ ョ ン を 完 全 に 配 置 配 線 し た ら、 pr_verify を 使 用 し て 最 終 検 証 チェ ッ ク を 実 行 し、 コ<br />

ン フ ィ ギ ュ レーシ ョ ン 間 の 一 貫 性 を 確 認 で き ます。 こ の コ マン ド では、 複 数 の 配 線 済 みチェ ッ ク ポ イ ン ト (DCP) を<br />

引 数 と し て 指 定 し、 それら のチェ ッ ク ポ イ ン ト 間 でのス タ テ ィ ッ ク インプリメンテーションとパーティション ピン<br />

配 置 の 違 いを レポー ト でき ます。 この 比 較 では、 RM 内 の 配 置 配 線 は 無 視 さ れます。<br />

2 つの コ ン フ ィ ギ ュ レーシ ョ ンのみを 比 較 する 場 合 は、 2 つの 配 線 済 みチェ ッ ク リ ス ト を および <br />

としてリストします。pr_verify コ マン ド に よ り 両 方 が メ モ リ に 読 み 込 まれ、 比 較 さ れます。<br />

3 つ 以 上 のコ ン フ ィ ギ ュ レーシ ョ ン を 比 較 する 場 合 は、 -initial オプシ ョ ンを 使 用 してマス ター コンフィギュ<br />

レーシ ョ ン を 指 定 し てか ら、 -additional オプシ ョ ン を 使 用 し て 複 数 の コ ン フ ィ ギ ュ レーシ ョ ン を 中 かっ こ { } で<br />

囲 んで リ ス ト し ます。 マス ター コンフィギュレーションがメモリに 保 持 され、 残 りのコンフィギュレーションがそ<br />

れ と 比 較 さ れます。 PR 検 証 チェ ッ ク で 問 題 が 検 出 さ れた コ ン フ ィ ギ ュ レーシ ョ ンがあ る 場 合 は、 どの コ ン フ ィ ギ ュ<br />

レーシ ョ ンに 対 してもビッ ト ス ト リームを 生 成 しないでください。<br />

pr_verify [-full_check] [-file ] [-initial ] [-additional ] [-quiet]<br />

[-verbose] [] []<br />

パーシ ャル リコンフィギュレーション 38<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 3 章 : <strong>Vivado</strong> ツール フロー<br />

表 3-6: pr_verify コマンドのオプション<br />

コマンド オプシ ョ ン<br />

-full_check<br />

-file<br />

-initial<br />

-additional<br />

-quiet<br />

-verbose<br />

説 明<br />

デフ ォル ト では、 最 初 の 差 異 のみがレポー ト されます。 このオプシ ョ<br />

ン を 選 択 する と 、 pr_verify に よ り 配 置 ま たは 配 線 の 差 異 がすべて<br />

レポート されます。<br />

結 果 を 保 存 する フ ァ イルの 名 前 を 指 定 し ます。 こ のオプシ ョ ン を 使 用<br />

し ない 場 合 、 出 力 はコ ン ソールに 表 示 さ れます。<br />

すべてのチェ ッ ク ポ イ ン ト の 比 較 基 準 と な る 1 つの 配 線 済 みデザ イ ン<br />

チェッ クポイン ト を 指 定 します。<br />

-initial で 指 定 し たチェ ッ ク ポ イ ン ト と 比 較 する 配 線 済 みデザ イ ン<br />

チェッ クポイン ト を 1 つま たは 複 数 指 定 し ます。 複 数 のチェ ッ ク ポ イ<br />

ン ト を リ ス ト する 場 合 は、 次 の よ う に 中 かっ こ で 囲 みます。<br />

{config2.dcp config3.dcp config4.dcp}<br />

コマンド エ ラーを 表 示 し ません。<br />

プ ロ グ ラ ム 実 行 中 メ ッ セージの 制 限 を 解 除 し、 すべての メ ッ セージを<br />

表 示 し ます。<br />

次 に、 2 つの コ ン フ ィ ギ ュ レーシ ョ ン を 比 較 する コ マン ド ライン 例 を 示 します。<br />

pr_verify -full_check config1_routed.dcp config2_routed.dcp -file pr_verify_c1_c2.log<br />

次 に、 3 つの コ ン フ ィ ギ ュ レーシ ョ ン を 検 証 する 例 を 示 し ます。<br />

pr_verify -full_check -initial config1.dcp -additional {config2.dcp config3.dcp} -file<br />

three_config.log<br />

『<strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> チュート リアル: パーシ ャル リコンフィギュレーション』 (UG947) [ 参 照 1] で 提 供 される ス ク リ プ<br />

トには、verify_configs という Tcl プロシージャが 含 まれてお り 、 存 在 するすべてのコ ンフ ィ ギュ レーシ ョ ンに<br />

対 して pr_verify が 実 行 され、 DCP が 一 貫 し ているかがレポー ト されます。<br />

ビットストリーム 生 成<br />

ビ ッ ト ス ト リ ーム を 生 成 する には、 フ ラ ッ ト フ ロー と 同 様 、 write_bitstream コマンドを 使 用 します。 各 デザイ<br />

ン コンフィギュレーションに 対 して write_bitstream を 実 行 し、 フル 標 準 コンフ ィ ギュレーシ ョ ン ファイルと、<br />

そのコンフ ィ ギュレーシ ョ ン 内 の 各 リ コンフ ィ ギャ ラブル モジュールに 対 してパーシャル BIT ファイルを 1 つずつ<br />

作 成 し ます。<br />

write_bitstream コマンドの -file オプションでコンフィギュレーション 名 とリコンフィギャラブル モジュー<br />

ル 名 を 指 定 する こ と をお 勧 め し ます。 ベース BIT フ ァ イル 名 のみが 変 更 可 能 なので、 各 コ ン フ ィ ギ ュ レーシ ョ ンに<br />

どのリ コンフ ィギャラブル モジ ュールを 選 択 し てい る かを 記 録 し てお く こ と が 重 要 です。<br />

次 に、 上 記 のデザ イ ン を 使 用 し て、 配 線 済 みチェ ッ ク ポ イ ン ト (コンフィギュレーション) を 読 み 込 み、 すべての イ<br />

ンプ リ メ ン ト 済 みリ コンフ ィ ギャ ラブル モジ ュールのビ ッ ト ス ト リ ーム を 作 成 する 例 を 示 し ます。<br />

open_checkpoint config1_routed.dcp<br />

write_bitstream config1<br />

パーシ ャル リコンフィギュレーション 39<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 3 章 : <strong>Vivado</strong> ツール フロー<br />

こ の コ マン ド では、 こ の 特 定 の コ ン フ ィ ギ ュ レーシ ョ ンに 対 し て 可 能 性 のあ るすべてのビ ッ ト ス ト リ ームが 生 成 さ<br />

れ、 config1.bit というフル デザイ ン ビ ッ ト ス ト リ ームが 作 成 さ れます。 電 源 を 投 入 し た と き に、 こ のビ ッ ト ス<br />

トリームを 使 用 してデバイスをプログラムします。このビットストリームには、 リコンフィギャラブル モジュール<br />

の 機 能 も 含 まれています。 このコマン ド は、 FPGA の 動 作 中 に こ れ ら のモジ ュールを リ コ ン フ ィ ギ ュ レーシ ョ ンする<br />

パーシ ャル BIT ファイル config1_pblock_rp1_partial.bit および config1_pblock_rp2_partial.bit も<br />

作 成 し ます。 UltraScale デバイ スの 場 合 、 各 パーシ ャル ビットスト リームとペアになったクリア ビッ トスト リーム<br />

が 作 成 されるので、 次 のパーシ ャル イ メージ 用 のパーティションが 準 備 できるようになります。 各 コンフィギュ<br />

レーシ ョ ンに 対 してこれらの 手 順 を 繰 り 返 します。<br />

ヒント: 各 パーシ ャル BIT ファイルの 名 前 をリコンフィギャラブル モジュール イ ン ス タ ン ス と 一 致 する よ う に 変 更<br />

し、 モジ ュールを 識 別 で き る よ う に し ます。 現 在 の ソ リ ューシ ョ ンでは、 コ ン フ ィ ギ ュ レーシ ョ ンのベース 名 およ<br />

び Pblock 名 に 基 づいて、パーシ ャル BIT ファイルに __partial.bit という 名 前 が<br />

付 けられます。<br />

各 パーシ ャル ビッ トスト リームのサイズは、 write_bitstream コマンドからの 出 力 にレポート されます。 このコ<br />

マン ド を 実 行 する と 、 各 パーシ ャル ビッ トスト リーム ファイルおよびクリア ビッ トスト リーム ファイルに 対 して<br />

次 の メ ッ セージがレポー ト されます。<br />

Creating bitmap...<br />

Creating bitstream...<br />

Partial bitstream contains 3441952 bits.<br />

Writing bitstream ./Bitstreams/right_up_pblock_inst_shift_partial.bit...<br />

ビ ッ ト ス ト リ ームの 圧 縮 、 暗 号 化 、 その 他 のア ド バン ス 機 能 も 使 用 で き ます。 UltraScale デバイ スでサポー ト されて<br />

いない 使 用 ケースは、 120 ページの 「 既 知 の 制 限 」 を 参 照 して ください。<br />

パーシャル ビ ッ ト ス ト リ ームのみの 生 成<br />

完 全 なデザ イ ン コンフィギュレーション ファイルが 不 要 な 場 合 は、 1 つのパーシ ャル ビッ トスト リームをそれだけ<br />

作 成 で き ます。 完 全 なデザ イ ン コンフィギュレーション チェ ッ ク ポ イ ン ト を メ モ リ に 読 み 込 んでい る 場 合 は、<br />

-cell オプシ ョ ン を 使 用 し てパーシ ャル ビ ッ ト ス ト リ ームが 必 要 なイ ンス タ ンスを 指 定 し ます。 このパーシャル<br />

ビッ トスト リームの 名 前 は、 Pblock 名 か ら 自 動 的 に 作 成 さ れないので、 指 定 で き ます。<br />

write_bitstream -cell rp1 RM_count_down_partial.bit<br />

これによ り、 指 定 したリ コンフィギャラブル パーテ ィ シ ョ ンのパーシ ャル ビ ッ ト ス ト リ ームのみが 作 成 されます。<br />

注 意 : リコンフィギャラブル モジュール チェッ クポイン トに 対 して 直 接 write_bitstream コマンドを 実 行 しない<br />

で く だ さ い。 デザ イ ン 全 体 のチェ ッ ク ポ イ ン ト のみを 使 用 し て く だ さ い。 リ コ ン フ ィ ギ ャ ラ ブル モジュール チェッ<br />

ク ポ イ ン ト は 配 置 配 線 済 みのサブモジ ュールであ り 、 最 上 位 デザ イ ンの イ ンプ リ メ ンテーシ ョ ン 情 報 は 含 まれてい<br />

ないので、 適 切 なパーシャル BIT ファイルは 作 成 されません。<br />

パーシ ャル リコンフィギュレーション 40<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 3 章 : <strong>Vivado</strong> ツール フロー<br />

フル コンフィギュレーション ビ ッ ト ス ト リ ームのみの 生 成<br />

パワー オン デザイ ンのビ ッ ト ス ト リ ームのみが 必 要 な 場 合 は、 -no_partial_bitfile オプシ ョ ン を 使 用 する と 、<br />

パーシ ャル ビットスト リームの 作 成 をバイパスできます。<br />

write_bitstream -no_partial_bitfile config3<br />

こ のオプシ ョ ン を 使 用 する と 、 パーシ ャル ビッ トスト リームおよびクリア ビ ッ ト ス ト リ ーム を 作 成 する 段 階 が 飛 ば<br />

されます。 パーシャル リコンフィギュレーションなしでフル デザ イ ンのみをテ ス ト する 場 合 やパーシ ャル ビッ トス<br />

ト リ ームが 既 に 存 在 し ている 場 合 などに、 write_bitstream ラ ン タ イ ムが 節 約 で き ます。<br />

ス タ テ ィ ッ ク 部 分 のみのビ ッ ト ス ト リ ームの 生 成<br />

スタティック デザイ ンのみのパワーオン コ ン フ ィ ギ ュ レーシ ョ ンが 必 要 な 場 合 は、update_design -black_box<br />

および update_design -buffer_ports を 実 行 後 、 空 の リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン を 含 むチェ ッ ク ポ<br />

イントに 対 して write_bitstream コマンドを 実 行 します。 このブラッ ク ボックス コンフィギュレーションを 圧<br />

縮 し、 BIT ファ イルのサイズおよびコンフ ィギュレーシ ョ ン 時 間 を 削 減 できます。<br />

Tcl スクリプト<br />

こ のフ ローを 実 行 する ためのス ク リ プ ト は、 『<strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> チュート リ アル: 階 層 デザ イ ン』 (UG947) [ 参 照 1] を<br />

参 照 し て く だ さ い。 こ れら のサンプル スクリプトの 詳 細 は、 チュート リアルおよびサンプル デザイ ン ZIP ファイル<br />

に 含 まれている readme.txt を 参 照 して く ださい。<br />

パーシ ャル リコンフィギュレーション 41<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 4 章<br />

<strong>Vivado</strong> プロジェク ト フロー<br />

概 要<br />

ザイ リ ンクス FPGA および SoC におけるパーシ ャル リコンフィギュレーション (PR) には、 従 来 のソ リ ューシ ョ ンに<br />

はない 新 し いデザ イ ン 要 件 があ り ます。 ボ ト ムア ッ プ 合 成 および 複 数 イ ンプ リ メ ンテーシ ョ ンの 両 方 が 必 要 にな る<br />

ため、 ソースおよび run の 管 理 に 新 しい 要 件 が 設 け られています。 これまでは、 <strong>Vivado</strong> で 使 用 可 能 なのは 非 プ ロ<br />

ジェク トの Tcl ベースの ソ リ ューシ ョ ンのみで し たが、 <strong>Vivado</strong> 2016.3 からプロジェク ト ベースの 環 境 が 導 入 さ れて<br />

います。<br />

フ ローの 概 要<br />

パーシ ャル リコンフィギュレーションのプロジェクト フ ローには、 既 存 の <strong>Vivado</strong> プロジェク ト ソ リューションに<br />

パーシ ャル リ コンフ ィ ギュ レーシ ョ ンの 主 な 要 件 が 追 加 されてお り 、 <strong>Vivado</strong> IDE と Tcl コマンドの 両 方 からアクセ<br />

スで き ます。 主 な 要 件 には、 次 の も のがあ り ます。<br />

• デザ イ ン 階 層 内 で リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン を 定 義<br />

• 各 リコンフィギャラブル パーテ ィ シ ョ ンに リ コ ン フ ィ ギ ャ ラ ブル モジュールのセッ ト を 配 置<br />

• 最 上 位 およびモジ ュール レベルの 合 成 run のセッ ト を 作 成<br />

• 関 連 イ ンプ リ メ ンテーシ ョ ン run のセッ ト を 作 成<br />

• ソース、 制 約 、 オプシ ョ ンの 変 更 に 応 じ て 依 存 関 係 を 管 理<br />

• ルールおよび 結 果 をチェ ッ ク<br />

• コンフィギュレーションを 検 証<br />

• フル ビットスト リームとパーシャル ビ ッ ト ス ト リ ームの 互 換 性 のあ る セ ッ ト を 生 成<br />

こ れ ら の 基 本 機 能 が こ の リ リ ースに 導 入 さ れてお り 、 RTL ベース デザイ ンのイ ンプ リ メ ンテーシ ョ ンのサポー ト 、<br />

IP、 ブ ロ ッ ク 図 、 サー ド パーテ ィ 合 成 を 含 むよ り 複 雑 なデザ イ ンのサポー ト が 含 まれます。<br />

パーシ ャル リコンフィギュレーション 42<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 4 章 : <strong>Vivado</strong> プロジェク ト フロー<br />

Tcl コマンド<br />

パーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ンの 機 能 およびタ ス ク は、 <strong>Vivado</strong> IDE 環 境 のほかの 機 能 およびタ ス ク と 同 様 に、<br />

バックグランドで Tcl コマンドを 使 用 して 実 行 されます。 PR プロジェク ト サポー ト の 主 な 目 標 の 1 つは、 同 じ プロ<br />

ジェク トで GUI、 スクリプト、コマンド ラ イ ン を 切 り 替 えて 使 用 し て も、 ス ムーズに 作 業 で き る よ う にする こ と で<br />

す。 実 際 に 実 行 さ れた Tcl コ マン ド を 確 認 する には、 プ ロ ジ ェ ク ト の <strong>Vivado</strong> ジャーナル ファイルを 開 きます。[File]<br />

→ [Open Journal File] をク リ ックすると、 このファイルを 開 くことができます。 これらの Tcl コマンドに 関 しては、<br />

この<strong>ユーザー</strong> <strong>ガイド</strong>では 説 明 されていません。 各 コマンドの 詳 細 は、 コマンドの -help オプシ ョ ンを 使 用 して く だ<br />

さい。<br />

パーシ ャル リコンフィギュレーション プロジェク トの<br />

作 成 および 使 用 手 順<br />

このセクショ ンでは、 パーシャル リコンフィギュレーション デザイ ン フ ローの 一 般 的 な フ ロー と 、 <strong>Vivado</strong> IDE 内 で<br />

の 機 能 について 説 明 し ます。 ザイ リ ン ク ス 評 価 プラ ッ ト フ ォームを ターゲ ッ ト にし たデザイ ンを 使 用 し たチュー ト<br />

リアルは、『<strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> チュート リアル: パーシ ャル リコンフィギュレーション』 (UG947) [ 参 照 1] の 演 習 3 を<br />

参 照 し て く だ さ い。<br />

パーシ ャル リコンフィギュレーション プ ロジ ェ ク ト の 作 成<br />

PR プ ロ ジ ェ ク ト 作 成 の 初 期 段 階 は、 標 準 デザ イ ン フ ロー と 同 じ です。 New Project ウ ィ ザー ド を 使 用 し て、 ターゲ ッ<br />

ト デバイ ス、 デザイ ン ソースおよび 制 約 フ ァ イルを 選 択 し、 プ ロ ジ ェ ク ト の 詳 細 を 設 定 し ます。 新 規 プ ロ ジ ェ ク ト<br />

を 作 成 する 際 、 デザ イ ンのス タ テ ィ ッ ク 部 分 の ソース フ ァ イルおよび 制 約 をすべて 追 加 する 必 要 があ り ます。 各 リ<br />

コンフィギャラブル パーテ ィ シ ョ ンの 最 初 の リ コ ン フ ィ ギ ャ ラ ブル モジュールの RTL デザイ ン ソースを 含 めるこ<br />

ともできますが、 とりあえずブラック ボックスとして 残 すこともできます。<br />

注 記 : 最 初 にプ ロ ジ ェ ク ト を 作 成 する と きは、 1 つの RM のソースのみを 追 加 してください。 プロジェク トにさらに<br />

RM を 追 加 する には、 Partial Reconfiguration ウ ィ ザー ド を 使 用 し ます。 こ の 詳 細 は、 こ の 章 の 後 の 方 で 説 明 し ます。<br />

プロジェク ト を 作 成 したら、 それをパーシャル リコンフィギュレーション プロジェク ト として 定 義 します。 これに<br />

は、 [Tools] → [Enable Partial Reconfiguration] をクリックします。 これでプロジェクトが PR デザイ ン フ ロー 用 にな り<br />

ます。 こ の 設 定 は、 いったん 定 義 する と 元 に 戻 す こ と はで き ません。 こ のオプシ ョ ン を 選 択 する 前 に、 プ ロ ジ ェ ク<br />

ト をアーカ イ ブする こ と をお 勧 め し ます。 こ のオプシ ョ ンが 表 示 さ れない 場 合 は、 有 効 なパーシ ャル リコンフィ<br />

ギュレーション ライセンスが <strong>Vivado</strong> ツールで 認 識 さ れてい る こ と を 確 認 し て く だ さ い。<br />

パーシ ャル リコンフィギュレーション 43<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 4 章 : <strong>Vivado</strong> プロジェク ト フロー<br />

X-Ref Target - Figure 4-1<br />

ダイアログ ボ ッ ク スが 開 き、 こ の 設 定 は 元 に 戻 すこ と ができ ないこ と を 知 らせる メ ッ セージが 表 示 されます。<br />

[Convert] をクリ ックすると、 プロジェク トに PR 用 の メ ニュー オプシ ョ ンおよびウ ィ ン ド ウ が 追 加 さ れます。 その<br />

一 部 を 次 に 示 し ます。<br />

• Flow Navigator に Partial Reconfiguration ウ ィザードへのリンク<br />

• [Sources] ウ ィンドウに [Partition Definitions] ビュー<br />

• [Configurations] ウ ィンドウ<br />

リコンフィギャラブル パーテ ィ シ ョ ンの 定 義<br />

プロジェク ト を PR プ ロ ジ ェ ク ト に 設 定 する と 、 RTL ソ ース 階 層 内 で リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンを 定 義<br />

でき る よ う にな り ます。 デザイ ン 階 層 内 の 次 のよ う な イ ン ス タ ン スが、 リ コ ンフ ィ ギ ャ ラブル パーテ ィ シ ョ ンに 適<br />

しています。<br />

• RTL、 DCP、 または EDIF ソースで 定 義 さ れてい る<br />

図 4-1: パーシ ャル リコンフィギュレーションのイネーブル<br />

• XCI ソ ース と し て 入 力 さ れたエンベデ ッ ド IP が 含 まれていない<br />

• 基 になる RTL にアウ ト オブ コンテキス ト (OOC) モジュールが 含 まれていない<br />

• 合 成 時 に 評 価 さ れる ポー ト リストのパラメーターやジェネリックスが 含 まれていない<br />

モジュールを 右 ク リ ッ ク して [Create Partition Definition] をクリ ックし、 リコンフィギャラブル パーテ ィ シ ョ ン を 作<br />

成 します。 デザイン ソースがまだない 場 合 は、 このモジュールをブラ ッ ク ボックスにしておく ことができます。<br />

パーシ ャル リコンフィギュレーション 44<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 4 章 : <strong>Vivado</strong> プロジェク ト フロー<br />

X-Ref Target - Figure 4-2<br />

図 4-2: リコンフィギャラブル パーテ ィ シ ョ ンの 作 成<br />

こ の 後 表 示 さ れる ダ イ ア ロ グ ボ ッ ク スで、 こ のパーテ ィ シ ョ ン 定 義 の 名 前 を 指 定 し ます。 最 初 の リ コ ンフ ィ ギ ャ ラ<br />

ブルモジュール (RM) の 名 前 も 指 定 し ます。 こ の RM は、 同 じ 階 層 にあ る RTL またはネッ ト リ ス ト ソースから 作 成<br />

されます。 フ ローの 後 の 段 階 で さ らに RM を 追 加 および 作 成 で き ます。<br />

重 要 : 選 択 し たモジ ュールの イ ン ス タ ン スはすべて リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンにな り ます。 1 つのイ ン ス<br />

タンスをリコンフィギャラブル、 も う 1 つのイ ン ス タ ン ス を ス タ テ ィ ッ ク にするには、 これら 2 つのイ ン ス タ ン ス<br />

に 別 の 名 前 を 付 けます。<br />

パーシ ャル リコンフィギュレーション 45<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 4 章 : <strong>Vivado</strong> プロジェク ト フロー<br />

X-Ref Target - Figure 4-3<br />

図 4-3: リコンフィギャラブル パーテ ィ シ ョ ンおよび 最 初 の リ コ ン フ ィ ギャ ラ ブル モジ ュールの 定 義<br />

[OK] をクリ ックすると、 <strong>Vivado</strong> IDE での こ のモジ ュールの 表 示 は 異 な る も のにな り ます。 [Hierarchy] ビューで、 リ<br />

コンフィギャラブル パーテ ィ シ ョ ンのモジ ュールのイ ン ス タ ン スに 菱 形 マーク が 付 き、 デザイ ン ソースが [Partition<br />

Definitions] ビ ューに 移 動 さ れて 別 に 管 理 さ れます。 デザ イ ン 内 の リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンすべてに 対<br />

し、 こ の 手 順 を 繰 り 返 し ます。<br />

X-Ref Target - Figure 4-4<br />

図 4-4: [Sources] ウ ィ ン ド ウに 表 示 される [Partition Definitions] ビュー<br />

パーシ ャル リコンフィギュレーション 46<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 4 章 : <strong>Vivado</strong> プロジェク ト フロー<br />

パーシ ャル リコンフィギュレーション プ ロジ ェ ク ト 構 造 を 完 成<br />

リコンフィギャラブル パーテ ィ シ ョ ン を 定 義 し た ら、 プ ロ ジ ェ ク ト の 詳 細 を 入 力 し ます。 こ れには、 各 リ コ ン フ ィ<br />

ギャラブル パーテ ィ シ ョ ンの リ コ ン フ ィ ギ ャ ラ ブル モジュール (RM) の 追 加 、 RM とスタティック デザイ ンを 組 み<br />

合 わせた コ ンフ ィ ギュ レーシ ョ ンのフル セ ッ ト の 定 義 、 すべてのコ ン フ ィ ギ ュ レーシ ョ ン を イ ンプ リ メ ン ト する た<br />

めの run のセ ッ ト の 設 定 などがあ り ます。 これらの 設 定 は、 すべて Partial Reconfiguration ウ ィ ザー ド で 実 行 し ます。<br />

後 で 変 更 や 追 加 が 必 要 な 場 合 は、 こ のウ ィ ザー ド に 戻 って 設 定 し 直 し ます。<br />

ヒント: Partial Reconfiguration ウ ィザードでの 変 更 は、[Finish] ボ タ ン を ク リ ッ ク する まで 反 映 さ れません。 すべて 設<br />

定 し 終 え る まで、 ウ ィ ザー ド の 前 のページに 戻 った り 先 に 進 んだ り する こ と がで き、 変 更 を キ ャ ンセルする こ と も<br />

できます。<br />

Flow navigator または [Tools] メニューから [Partial Reconfiguration Wizard] をクリックし、 Partial Reconfiguration ウ ィ<br />

ザー ド を 開 き ます。<br />

X-Ref Target - Figure 4-5<br />

Partial Reconfiguration ウ ィ ザー ド が 開 いた ら、 各 ページで PR プロジェク ト を 設 定 していきます。<br />

[Edit Reconfigurable Modules] ページ<br />

図 4-5: Flow Navigator の [Partial Reconfiguration Wizard]<br />

[Next] をクリ ックすると、 定 義 されたパーティション 定 義 (PD) に 対 し て 新 しい リ コ ン フ ィ ギ ャ ラブル モジュールを<br />

定 義 するページが 表 示 さ れます。 PD を 作 成 した と きに RTL/ネッ ト リスト ソース を 追 加 し た 場 合 、 各 PD の 最 初 の<br />

RM が こ こ に 含 まれています。 緑 色 の + アイコンをク リ ックして 新 しい RM を 作 成 し、 名 前 を 付 けます。デザインに<br />

複 数 の PD がある 場 合 は、 正 しいものを 選 択 します。 ネッ ト リ ス ト ソースが 選 択 さ れてい る 場 合 は、 [Sources are<br />

already synthesized] チェッ ク ボ ッ ク ス をオンに し、 ネ ッ ト リ ス ト の 最 上 位 モジ ュールを 指 定 し ます。<br />

パーシ ャル リコンフィギュレーション 47<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 4 章 : <strong>Vivado</strong> プロジェク ト フロー<br />

X-Ref Target - Figure 4-6<br />

図 4-6: リコンフィギャラブル モジ ュールの 新 規 作 成<br />

各 パーテ ィ シ ョ ン 定 義 の 既 存 の RM すべてに 対 し て、 こ の 作 業 を 繰 り 返 し ます。 グ レーボ ッ ク ス モジュールが 必 要<br />

な 場 合 は、 設 定 は 必 要 あ り ません。 RM は、 鉛 筆 ア イ コ ン を ク リ ッ ク する と 編 集 で き、 赤 色 の - アイコンをク リ ック<br />

する と 削 除 で き ます。 すべての RM を 設 定 したら、 [Next] をクリ ックします。<br />

X-Ref Target - Figure 4-7<br />

図 4-7: リコンフィギャラブル モジ ュールのセ ッ ト を 定 義<br />

パーシ ャル リコンフィギュレーション 48<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 4 章 : <strong>Vivado</strong> プロジェク ト フロー<br />

[Edit Configurations] ページ<br />

リコンフィギャラブル モジ ュールのセ ッ ト を 定 義 し た ら、 コ ン フ ィ ギ ュ レーシ ョ ン を 宣 言 し ます。 各 コ ン フ ィ ギ ュ<br />

レーシ ョ ンは、 スタテ ィ ッ ク ロジックと、 RP ごとに 1 つの RM を 組 み 合 わせた も ので、 フル デザイ ン イ メージです。<br />

各 コ ン フ ィ ギ ュ レーシ ョ ンは 手 動 で 作 成 で き ますが、 最 低 限 の コ ン フ ィ ギ ュ レーシ ョ ン セッ トを<strong>Vivado</strong> で 自 動 的 に<br />

作 成 する のが 簡 単 です。 次 の 図 に 示 すページの 中 央 にあ る [automatically create configurations] リンクをクリックする<br />

と 、 自 動 的 に 作 成 さ れます。 こ の リ ン ク を ク リ ッ ク する と 、 すべての RM が 少 な く と も 1 回 含 まれる よ う 、 コ ン<br />

フ ィ ギ ュ レーシ ョ ンが 必 要 な 数 だけ 作 成 さ れます。 こ のオプシ ョ ンは、 コ ン フ ィ ギ ュ レーシ ョ ンがまだ 定 義 さ れて<br />

いない 場 合 にのみ 使 用 で き ます。<br />

X-Ref Target - Figure 4-8<br />

図 4-8: コ ン フ ィ ギュ レーシ ョ ン を 作 成 する 前 の [Edit Configurations] ページ<br />

あ るパーテ ィ シ ョ ン 定 義 にほかのパーテ ィ シ ョ ン 定 義 よ り も 多 く の RM が 含 まれている 場 合 、 すべての RM が 前 の<br />

コ ン フ ィ ギ ュ レーシ ョ ンで 定 義 さ れてい る RP に 対 し、 グレーボ ッ ク ス RM が 自 動 的 に 使 用 さ れます。 こ れら のデ<br />

フォルト コ ン フ ィ ギ ュ レーシ ョ ンは、 その 内 容 および 名 前 を 変 更 で き、 必 要 に 応 じ て 追 加 のコ ン フ ィ ギ ュ レーシ ョ<br />

ン を 作 成 する こ と も で き ます。<br />

ヒント: グレーボックス モジュールは、 完 全 に 空 ではないので、 ブラ ッ ク ボックス モジュールとは 異 な り ます。 グ<br />

レーボッ ク ス RM には、 RM がな く ても 有 効 なデザイ ン 接 続 を 完 全 なものにするために LUT が 含 まれてお り 、 動 作<br />

中 に 出 力 が 未 接 続 にな ら ない よ う に し ます。 <strong>Vivado</strong> では、 選 択 したモジュールに update_design<br />

-buffer_ports を 実 行 する こ と に よ り 、 こ れ ら が 作 成 さ れます。<br />

パーシ ャル リコンフィギュレーション 49<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 4 章 : <strong>Vivado</strong> プロジェク ト フロー<br />

X-Ref Target - Figure 4-9<br />

図 4-9: コ ン フ ィ ギュ レーシ ョ ン を 自 動 生 成 し た 後 の [Edit Configurations] ページ<br />

1 つの RM が 複 数 の コ ン フ ィ ギ ュ レーシ ョ ンで 使 用 さ れてい る 場 合 、 配 置 配 線 は 毎 回 実 行 さ れる ため、 イ ンプ リ メ ン<br />

テーシ ョ ン 結 果 が 異 な る こ と があ り ますが、 これは 最 初 に RM が 子 run でインプリ メン ト されている 場 合 のみです。<br />

親 コンフ ィギュレーシ ョ ンで 実 行 されている 場 合 は、 RM イ ンプ リ メ ンテーシ ョ ン 結 果 は 再 利 用 さ れます。 こ れに よ<br />

り、 <strong>Vivado</strong> プ ロ ジ ェ ク ト で 親 と 子 の 間 の 依 存 関 係 が 管 理 さ れます。<br />

パーシ ャル リコンフィギュレーション 50<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 4 章 : <strong>Vivado</strong> プロジェク ト フロー<br />

[Edit Configuration Runs] ページ<br />

すべての コ ン フ ィ ギ ュ レーシ ョ ン を 定 義 し た ら、 コ ン フ ィ ギ ュ レーシ ョ ンに 関 連 付 け ら れてい る コ ン フ ィ ギ ュ レー<br />

ション run を 管 理 し ます。 コ ン フ ィ ギ ュ レーシ ョ ン と 同 様 、 コ ン フ ィ ギ ュ レーシ ョ ン run のセッ ト も <strong>Vivado</strong> で 自 動 的<br />

に 作 成 で き ます。 リ ス ト の 最 初 の コ ン フ ィ ギ ュ レーシ ョ ンは 親 コ ン フ ィ ギ ュ レーシ ョ ン と し て 定 義 さ れ、 その 他 の<br />

コ ン フ ィ ギ ュ レーシ ョ ンはすべてその 親 に 対 する 子 と し て 設 定 さ れます。<br />

X-Ref Target - Figure 4-10<br />

図 4-10: 自 動 生 成 された コ ン フ ィ ギュ レーシ ョ ン run<br />

こ の 構 造 は、 最 初 の コ ン フ ィ ギ ュ レーシ ョ ンが 最 も ク リ テ ィ カルで 困 難 な も のであ る と 想 定 し ています。 こ の 親 子<br />

関 係 は、 [Parent] 列 の 値 を 設 定 する こ と に よ り 変 更 で き ます。 合 成 run の 親 ( この 例 では synth_1) は、 コ ン フ ィ ギ ュ<br />

レーシ ョ ン ( 特 にス タ テ ィ ッ ク 部 分 ) が 合 成 済 みネ ッ ト リ ス ト か ら イ ンプ リ メ ン ト さ れる こ と を 示 し てお り 、 イ ンプ<br />

リメンテーション run の 親 ( この 例 では impl_1) は、 親 のロ ッ ク されたス タ テ ィ ッ ク インプリ メンテーション 結 果 が<br />

開 始 点 と し て 再 利 用 さ れる こ と を 示 し ています。<br />

PR デザ イ ンで さ ま ざ ま な 配 置 配 線 オプシ ョ ン、 タ イ ミ ン グ クロージャ テクニッ クを 試 しながら、 複 数 の 独 立 した<br />

親 run を 試 すこ とができます。 複 数 の 親 run を 並 行 して 実 行 し、 親 run が 完 了 し た ら 子 run を 実 行 で き ます。 配 線 済<br />

みの 親 run のス タ テ ィ ッ ク のみのチェ ッ ク ポ イ ン ト な ど、 中 間 チェ ッ ク ポ イ ン ト の 作 成 や 保 存 に 関 するすべての PR<br />

設 定 が <strong>Vivado</strong> プ ロ ジ ェ ク ト 管 理 機 能 で 処 理 さ れます。 最 終 的 には、 すべての コ ン フ ィ ギ ュ レーシ ョ ンのベース と な<br />

るスタティック イ ンプ リ メ ンテーシ ョ ン 結 果 を 確 立 する ため、 親 run を 1 つ 選 択 しする 必 要 があ り ます。<br />

重 要 : シ リ コ ンでの 安 全 な 動 作 環 境 を 確 実 にする ため、 ロ ッ ク さ れた ス タ テ ィ ッ ク イ メージがすべてのコ ンフ ィ ギュ<br />

レーシ ョ ンで 一 貫 し た も のにな る よ う に し、 ビ ッ ト ス ト リ ーム 生 成 で 互 換 性 のあ る フルおよびパーシ ャル ビッ トス<br />

ト リ ームが 生 成 される よ う にする 必 要 があ り ます。 これは、 <strong>Vivado</strong> PR プロジェク ト フローで 関 連 しているコンフィ<br />

ギ ュ レーシ ョ ンの 親 子 関 係 を 確 立 させる こ と に よ り 管 理 さ れます。<br />

緑 色 の + アイコンをク リ ックして、 新 しいコンフィギュレーション run を 追 加 し ます。 コンフ ィ ギュレーシ ョ ン run<br />

をすべて 作 成 したら、 [Next] を ク リ ッ ク し ます。 最 終 ページに 新 し いエレ メ ン ト の 数 が 表 示 さ れます。 [Finish] をク<br />

リ ッ ク する と 、 プ ロ ジ ェ ク ト への 変 更 がすべて 実 行 さ れます。<br />

パーシ ャル リコンフィギュレーション 51<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 4 章 : <strong>Vivado</strong> プロジェク ト フロー<br />

[<strong>Design</strong> Runs] ウ ィンドウに、 各 リコンフィギャラブル モジュールのアウ ト オブ コンテキス ト 合 成 run が 作 成 され、<br />

コンフィギュレーション run がすべて 生 成 さ れます。 親 子 の 関 係 は、 イ ンデン ト のレベルに よ り 示 さ れます。<br />

X-Ref Target - Figure 4-11<br />

図 4-11: 合 成 およびイ ン プ リ メ ンテーシ ョ ンのデザイ ン run<br />

さらに [Configurations] ウ ィ ン ド ウ に、 プ ロ ジ ェ ク ト で 使 用 可 能 な 各 コ ン フ ィ ギ ュ レーシ ョ ンの 構 成 の 詳 細 が 表 示 さ<br />

れます。<br />

X-Ref Target - Figure 4-12<br />

図 4-12: プ ロジ ェ ク ト で 使 用 可 能 な コ ン フ ィ ギュ レーシ ョ ン<br />

パーシ ャル リコンフィギュレーション 52<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 4 章 : <strong>Vivado</strong> プロジェク ト フロー<br />

リコンフィギャラブル モジュールまたはコンフ ィギュレーシ ョ ンの<br />

追 加 および 変 更<br />

Partial Reconfiguration ウ ィザードは、 リコンフィギャラブル モジ ュールおよびコ ン フ ィ ギ ュ レーシ ョ ン を 変 更 する た<br />

めのツールです。 新 し い RM の 追 加 、 RM のソース リストの 変 更 、 新 しいコンフィギュレーションまたは run の 作 成<br />

および 削 除 を 実 行 で き ます。 こ のウ ィ ザー ド 内 で 作 業 し てい る と き、 [Finish] ボタンをク リ ックするまでは 変 更 は 保<br />

存 も 反 映 も さ れないので、 ページを 前 後 に 自 由 に 移 動 し て、 必 要 に 応 じ て 設 定 を 変 更 で き ます。<br />

RTL ソ ース 自 体 を 変 更 する 必 要 があ る 場 合 は、 [Sources] ウ ィンドウの [Partition Definitions] ビューから 開 く ことがで<br />

きます。 このビューには 各 リコンフィギャラブル モジュールが [Hierarchy] ビューのフル デザイ ン と 同 じ よ う に 表 示<br />

されますが、 その RM の 階 層 と その 下 位 階 層 のみが 表 示 さ れます。 各 RM に 関 連 付 け ら れてい る ソ ースおよび 制 約<br />

すべてが 表 示 さ れます。<br />

X-Ref Target - Figure 4-13<br />

図 4-13: [Partition Definitions] ビューに 表 示 されるソース<br />

PR デザインのインプリ メンテーショ ン<br />

必 要 な コ ン フ ィ ギ ュ レーシ ョ ン run をすべて 定 義 し た ら、 デザ イ ン を 合 成 およびイ ンプ リ メ ン ト で き ます。 Flow<br />

Navigator を 使 用 し、 合 成 、 配 置 配 線 、 ビ ッ ト ス ト リ ーム 生 成 を 実 行 で き ます。 Flow Navigator は、 標 準 フ ローの 場 合<br />

と 同 様 、 ア ク テ ィ ブ run に 対 し て 実 行 されますが、 ア ク テ ィ ブな 親 run だけでな く 子 run もすべて 実 行 さ れます。<br />

パーシ ャル リコンフィギュレーション デザイ ンには、 各 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンに Pblock が 1 つ 必 要<br />

です。 Pblock が 定 義 されていない と 、 place_design で 次 のよ う なエラー メ ッ セージが 表 示 さ れます。<br />

ERROR: [DRC 23-20] Rule violation (HDPR-30) Missing PBLOCK On Reconfigurable Cell<br />

こ の 必 要 な Pblock が 最 上 位 デザ イ ン 制 約 フ ァ イルに 含 まれる 場 合 は、 合 成 か ら ビ ッ ト ス ト リ ーム 生 成 までを 実 行 で<br />

きます。 Pblock が 含 まれない 場 合 は、 最 上 位 合 成 の 後 にデザ イ ン を 開 いて 作 成 する のが 簡 単 です。 ネ ッ ト リ ス ト の<br />

階 層 ビ ューで リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンに 対 応 する モジ ュールを 右 ク リ ッ ク し、 [Floorplanning] → [Draw<br />

Pblock] をクリ ックします。<br />

リコンフィギャラブル パーテ ィ シ ョ ンの Pblock を 描 画 し 終 える と、 そのプロパテ ィが [Pblock Properties] ウ ィンドウ<br />

の [Properties] ビ ューに 表 示 さ れます。 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン 用 の RESET_AFTER_RECONFIG (7 シ<br />

リーズのみ) および SNAPPING_MODE という 2 つのオプシ ョ ンがあ り ます。 [Statistics] ビ ューには、 現 在 読 み 込 まれ<br />

ている リ コンフ ィ ギャ ラブル パーテ ィ シ ョ ンに 使 用 可 能 な リ ソ ースおよび 使 用 さ れてい る リ ソ ースがレポー ト さ れ<br />

ます。 ほかの RM のニーズを 考 慮 する こ と も 重 要 です。<br />

パーシ ャル リコンフィギュレーション 53<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 4 章 : <strong>Vivado</strong> プロジェク ト フロー<br />

X-Ref Target - Figure 4-14<br />

図 4-14: Pblock のパーシ ャル リコンフィギュレーション プロパティ (7 シリーズ)<br />

各 リコンフィギャラブル パーテ ィ シ ョ ンの Pblock を 作 成 したら、 各 コンフ ィ ギュレーシ ョ ンをインプ リ メ ン ト でき<br />

ます。 Flow Navigator で [Run Implementation] ボタンをク リ ックすると、 まずアクティブな 親 run に 対 し て 配 置 配 線 が<br />

実 行 さ れます。 それが 完 了 する と 、 親 run のスタティ ッ ク デザ イ ン 結 果 を 使 用 し て、 すべての 子 run が 並 行 し て 実 行<br />

されます。<br />

PR ソリューションの 詳 細 は、<strong>Vivado</strong> プ ロ ジ ェ ク ト で 管 理 さ れます。 データベース 管 理 は 詳 細 の 1 つです。 親 run が<br />

完 了 する と 、 デザ イ ン 全 体 の 配 線 済 みデータベース と 、 各 リ コ ン フ ィ ギ ャ ラ ブル モジュールのセル レベルのチェ ッ<br />

ク ポ イ ン ト が 保 存 さ れます。 こ の 後 、 update_design -black_box が 実 行 されて 各 RM がブラ ッ ク ボックスに 変<br />

更 さ れ、 すべての 子 run のベース と な る ス タ テ ィ ッ ク 部 分 のみのデザイ ン チェッ クポイン トが 作 成 されます。 子 イ<br />

ンプ リ メ ンテーシ ョ ン run が 実 行 さ れる と 、 ス タ テ ィ ッ ク 部 分 のみの 配 線 済 み 親 チェ ッ ク ポ イ ン ト と 、 各 リ コ ン フ ィ<br />

ギャラブル モジ ュールの 合 成 後 チェ ッ ク ポ イ ン ト がコ ン フ ィ ギ ュ レーシ ョ ンに 統 合 さ れます。 こ の 時 点 では、 親 run<br />

の 配 線 済 みモジ ュール チェ ッ ク ポ イ ン ト のみが 子 コ ン フ ィ ギ ュ レーシ ョ ンで 再 利 用 でき ます。 複 数 の 子 run で 1 つ<br />

の RP に 対 し て 同 じ RM が 選 択 さ れてい る 場 合 、 結 果 は 異 な り ます。<br />

X-Ref Target - Figure 4-15<br />

図 4-15: 複 数 のコ ン フ ィ ギュ レーシ ョ ン を 並 行 し て イ ンプ リ メ ン ト<br />

パーシ ャル リコンフィギュレーション 54<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 4 章 : <strong>Vivado</strong> プロジェク ト フロー<br />

標 準 プ ロ ジ ェ ク ト の 場 合 と 同 様 に、 <strong>Vivado</strong> で run の 間 の 依 存 関 係 が 管 理 さ れます。 デザ イ ン ソース、 制 約 、 オプ<br />

シ ョ ン ま たは 設 定 を 変 更 する と 、 依 存 関 係 のあ る 合 成 ま たはイ ンプ リ メ ンテーシ ョ ン run が 最 新 でな く なった こ と が<br />

マー ク さ れます。 た と えば、 あ る RM の RTL デザイ ン ソースをアップデートする と、 アウ ト オブ コンテキスト モ<br />

ジュール run が 最 新 でなくなり、 その RM を 含 むコンフ ィ ギュレーシ ョ ン run も 最 新 ではな く な り ます。 ま た、 親 コ<br />

ンフィギュレーショ ン run のインプリ メンテーショ ン オプシ ョ ン を 変 更 する と 、 その run とすべての 子 run が 最 新 で<br />

なくなります。<br />

ア ク テ ィ ブに 設 定 で き る のは 親 コ ン フ ィ ギ ュ レーシ ョ ン run のみです。 Flow Navigator はアクテ ィブ run に 対 し て 実<br />

行 されますが、 PR フ ローでは、 すべての 操 作 は 子 run に 対 し て も 実 行 されます。 run の 完 了 やエ ラーを 表 示 する ポ ッ<br />

プアップ メッセージは、 親 run に 対 し てのみ 表 示 さ れます。 各 ウ ィ ン ド ウ ( 上 の 図 ) に 表 示 される 内 容 は、 ア ク テ ィ<br />

ブな 親 run に 関 連 し ています。 子 イ ンプ リ メ ンテーシ ョ ン run の 詳 細 を 確 認 する には、 その run を 選 択 し、<br />

[Implementation Run Properties] ウ ィンドウで 選 択 した run のすべての 入 力 (プロパティ、 オプショ ン) および 出 力 (ロ<br />

グ、 レポー ト 、 メ ッセージ) を 確 認 できます。<br />

X-Ref Target - Figure 4-16<br />

ビ ッ ト ス ト リ ームの 生 成<br />

図 4-16: 子 run の [Implementation Run Properties] ウィンドウ<br />

必 要 な コ ン フ ィ ギ ュ レーシ ョ ン をすべて 配 置 配 線 し た ら、 ビ ッ ト ス ト リ ーム を 生 成 で き ます。 イ ンプ リ メ ンテー<br />

ションと 同 じように、Flow Navigator の [Generate Bitstream] ボ タ ン を 使 用 で き ます。 こ のボ タ ン を ク リ ッ ク する と 、<br />

アクティブな 親 と 子 run すべてに 対 し て write_bitstream が 実 行 されます。 コ ン フ ィ ギ ュ レーシ ョ ンを 右 ク リ ッ<br />

クして、write_bitstream を 実 行 する こ と も で き ます。<br />

各 子 コ ン フ ィ ギ ュ レーシ ョ ン run に 対 し て write_bitstream を 実 行 する 前 に、 pr_verify というユーティ リティ<br />

が 自 動 的 に 実 行 さ れます。 こ のユーテ ィ リ テ ィ は 配 線 済 みデータベース と 親 データベース を 比 較 し、 すべての PR 規<br />

則 に 従 ってい る こ と を 確 認 し ます。 こ のチェ ッ ク の 結 果 は、 run ディレク ト リに _pr_verify.log<br />

と い う 名 前 で 保 存 さ れます。<br />

デフォル ト では、 親 コンフ ィ ギュレーシ ョ ンに 対 して、 フル デザイ ン ビ ッ ト ス ト リ ーム とすべてのパーシャル ビッ<br />

トストリーム (および UltraScale の 場 合 はク リ ア ビッ トスト リーム) が 生 成 さ れます。 子 run に 対 し ては、パーシ ャル<br />

(およびク リ ア) ビ ッ ト ス ト リ ームのみが 生 成 されます。 FPGA の 電 源 投 入 時 の コ ン フ ィ ギ ュ レーシ ョ ンが 子 run の 1<br />

つであ る 場 合 は、 その run のフル デザイ ン ビ ッ ト ス ト リ ームが 必 要 です。 こ れには、 Tcl コンソールで 次 のコマンド<br />

を 実 行 し ます。<br />

set_property GEN_FULL_BITSTREAM 1 [get_runs ]<br />

パーシ ャル リコンフィギュレーション 55<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 4 章 : <strong>Vivado</strong> プロジェク ト フロー<br />

サポー ト される 機 能 とサポー ト されない 機 能<br />

これは PR プロジェク ト ソ リ ューシ ョ ンの 初 期 リ リ ースであ り 、 すべての 機 能 が 含 まれてい る わけではあ り ません。<br />

このセクショ ンでは、 PR プロジェ ク ト で 現 在 サポー ト されている 機 能 と サポー ト されていない 機 能 を 示 し ます。<br />

サポー ト される 機 能<br />

• デバイ ス サポー ト : すべての 7 シリーズ、Zynq、 および UltraScale デバイ ス<br />

• インプリ メンテーション ツールの 実 行 には、 パーシャル リコンフィギュレーション ラ イ セン スが 必 要 。<br />

• リコンフィギャラブル モジュールのソース タイプ: RTL、 DCP、 EDIF、 XDC<br />

• モジュール レベルの 制 約 は、 その 階 層 イ ン ス タ ン スに 適 用 する 必 要 あ り 。<br />

• グレーボックス (LUT 接 続 を 含 むブ ラ ッ ク ボックス) インプリ メンテーションが 可 能<br />

• プ ロ ジ ェ ク ト 環 境 か ら 広 範 なデザ イ ン ルール チェ ッ ク を 実 行 可 能 。<br />

• すべての 合 成 およびイ ンプ リ メ ンテーシ ョ ン デザイ ン オプシ ョ ン を 使 用 可 能 。<br />

• すべての コ ン フ ィ ギ ュ レーシ ョ ンに 対 し て、 ビ ッ ト ス ト リ ーム 生 成 前 にPR 検 証 を 自 動 的 に 実 行 。<br />

サポー ト されない 機 能<br />

次 の 機 能 は 現 時 点 では 使 用 で き ません。<br />

• IP インテグレーターはサポート されていません。 ブロック 図 を RM として、 または RM 内 に 含 める こ と はでき<br />

ません。 ブ ロ ッ ク 図 内 のモジ ュールを RM と し て 設 定 する こ と はで き ません。<br />

• RM 内 では IP はサポー ト されておらず、 1 つの PR プロジェク ト 内 で 管 理 できるようになっていません。 IP はす<br />

べて 外 部 の Manage IP プロジェク トで 管 理 し、 RTL または DCP と し て 取 り 込 む 必 要 があ り ます。 ま たは、 IP を<br />

含 む RM を PR プロジェク ト 外 で 合 成 し、 OOC DCP として 取 り 込 みます。<br />

° IP は RM に 追 加 可 能 で、 グ ローバル 合 成 に 設 定 で き ますが、 変 更 やア ッ プデー ト はで き ません。 今 後 の<br />

<strong>Vivado</strong> リリースで、[Partition Definitions] ウ ィンドウで IP をカスタマイズできるよ うにする 予 定 です。<br />

• RM 内 の RTL サブモジュールは OOC 合 成 に 設 定 でき ません。 OOC 合 成 run を 含 めないで く ださい。<br />

• RM インスタンスにはパラメーター、 ジェネリ ック、 その 他 ランタイム 時 に 評 価 される 変 数 を 指 定 できません。<br />

各 RM の 最 上 位 のポー ト リ ス ト は 固 定 し、 一 貫 し た も のにする 必 要 があ り ます。<br />

• ビ ッ ト ス ト リ ーム 生 成 は PR 用 には 最 適 化 さ れていません。 ビ ッ ト ス ト リ ームはどの イ ンプ リ メ ン ト 後 の コ ン<br />

フ ィ ギ ュ レーシ ョ ンか ら も 生 成 で き ますが、 親 run には 常 にフル ビッ トスト リームとパーシャル ビッ トスト<br />

リームが 生 成 され、 子 run にはパーシャル ビ ッ ト ス ト リ ームのみが 生 成 さ れます。 将 来 的 には、 各 コ ン フ ィ<br />

ギュ レーシ ョ ンに 対 し、 どのビ ッ ト ス ト リ ームを 生 成 するか<strong>ユーザー</strong>が 選 択 でき る よ う にする 予 定 です。<br />

• プロジェク トの Tcl を 記 述 する [Write Project Tcl] コマンドはサポート されていません。<br />

• プロジェク ト 内 でのシミ ュレーショ ンはサポート されていません。<br />

パーシ ャル リコンフィギュレーション 56<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 4 章 : <strong>Vivado</strong> プロジェク ト フロー<br />

既 知 の 制 限<br />

• パーテ ィ シ ョ ンは 一 度 定 義 する と 、 元 に 戻 す こ と はで き ません。 PR ではないプロジェ ク ト に 戻 すには、 新 しい<br />

プ ロ ジ ェ ク ト を 作 成 する のが 唯 一 の 方 法 です。<br />

• 子 run からインプリ メン ト されたリ コンフィギャラブル モジ ュールの 再 利 用 はサポー ト さ れていません。 親 run<br />

からの RM のインプリ メンテーショ ン 結 果 のみを 子 run で 再 利 用 で き ます。<br />

• 子 インプリ メンテーシ ョ ン run はア ク テ ィ ブに 設 定 でき ません。 Flow Navigator での 操 作 は、 操 作 の 種 類 に よ っ<br />

て、 親 run に 対 し てのみ、 または 親 run と そのすべての 子 run に 対 し てのみ 実 行 さ れます。<br />

パーシ ャル リコンフィギュレーション 57<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 5 章<br />

すべてのザイ リ ン ク ス デバイスでの 設 計 に<br />

関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

概 要<br />

この 章 では、 パーシャル リ コ ン フ ィ ギ ュ レーシ ョ ン 特 定 のデザ イ ン 要 件 と 、 ザ イ リ ン ク ス デザイ ン ツールの PR 機<br />

能 について 説 明 し ます。<br />

ザイ リ ンクス FPGA のパーシャル リ コ ン フ ィ ギ ュ レーシ ョ ン 機 能 を 使 用 する には、 デザ イ ン 仕 様 を 注 意 深 く 解 析 し、<br />

PR デザ イ ンの 要 件 、 特 性 、 制 限 を 考 慮 する 必 要 があ り ます。 こ れに よ り 、 設 計 およびデバ ッ グ プロセスが 簡 潔 にな<br />

り 、 デザ イ ンが 不 正 に 動 作 する リ ス ク を 回 避 で き ます。<br />

この 章 では、 すべてのザイ リンクス 7 シリーズおよび UltraScale デバイスに 適 用 されるデザイン 要 件 を 示 します。<br />

個 々の FPGA および SoC アーキテ ク チャに 特 定 のデザイ ン 要 件 は、 次 の 章 を 参 照 し て く だ さい。<br />

• 第 6 章 「7 シリーズおよび Zynq デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガ イ ド ラ イ ン」<br />

• 第 7 章 「UltraScale および UltraScale+ デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガ イ ド ラ イ ン」<br />

デザイ ン 階 層<br />

適 切 な 階 層 デザ イ ン を 作 成 し てお く と 、 パーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ン 可 能 な FPGA デザイ ンを イ ンプ リ メ<br />

ン ト する と きに 複 雑 になった り 、 困 難 になった り するのを 回 避 でき ます。 デザイ ン イ ン ス タ ン ス 階 層 を 明 確 に 定 義<br />

し てお く と 、 物 理 制 約 およびタ イ ミ ング 制 約 をシンプルにする こ と がで き、 ス タ テ ィ ッ ク ロジックと リ コンフィ<br />

ギャラブル ロジックの 境 界 の 信 号 にレジスタを 付 けると、 タイ ミング クロージャを 達 成 しやすくなり ます。 同 じ 階<br />

層 レベルに 配 置 する ロ ジ ッ ク を グループ 化 する こ と も 必 要 です。<br />

こ れ ら はよ く 知 ら れてい る 設 計 プ ラ ク テ ィ スですが、 一 般 的 な FPGA デザイ ンでは、 これらのガ イ ド ラ イ ンにし た<br />

がっていないこ とがよ く あ り ます。 パーシャル リコンフィギュレーション デザイ ンでは、 こ う し たデザイ ン 規 則 に<br />

厳 密 に 従 う 必 要 はあ り ませんが、 従 わない と 悪 影 響 が 出 る 可 能 性 があ り ます。 パーシ ャル リコンフィギュレーショ<br />

ンの 利 点 は 大 き いですが、 デザ イ ンが よ り 複 雑 にな る ので、 特 にハー ド ウ ェ アでのデバ ッ グが 困 難 にな る こ と があ<br />

ります。<br />

デザイ ン 階 層 の 詳 細 は、『<strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> <strong>ユーザー</strong> <strong>ガイド</strong>: 階 層 デザ イ ン』 (UG905) [ 参 照 11] を 参 照 して ください。<br />

パーシ ャル リコンフィギュレーション 58<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 5 章 : すべてのザイ リ ン ク ス デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

DRP を 使 用 したダイナ ミ ッ ク リコンフィギュレーション<br />

ス タ テ ィ ッ ク 領 域 にあ り 、 パーシ ャル リコンフィギュレーションされないロジックも、ダイナミック リコンフィ<br />

ギュレーション ポー ト (DRP) を 使 用 して 動 的 に リ コンフ ィ ギュレーシ ョ ンできます。 DRP は、 MMCM、 PLL、 シリ<br />

アル トランシーバー (MGT) などのロジッ ク エレ メン ト をコンフ ィギュレーシ ョ ンするのに 使 用 できます。<br />

特 定 のデザ イ ン リソースに 対 する DRP の 使 用 方 法 を 含 む DRP およびダイナミ ッ ク リコンフィギュレーションの 詳<br />

細 は、 次 の 資 料 を 参 照 し て く だ さ い。<br />

• 『7 シリーズ FPGA コンフィギュレーション <strong>ユーザー</strong> <strong>ガイド</strong>』 (UG470) [ 参 照 7]<br />

• 『7 シリーズ FPGA GTX/GTH トランシーバー <strong>ユーザー</strong> <strong>ガイド</strong>』 (UG476) [ 参 照 20]<br />

• 『7 シリーズ FPGA GTP トランシーバー <strong>ユーザー</strong> <strong>ガイド</strong>』 (UG482) [ 参 照 21]<br />

• 『MMCM および PLL のダイナ ミ ッ ク リコンフィギュレーション』 (XAPP888) [ 参 照 22]<br />

• 『UltraScale アーキテクチャ コンフィギュレーション <strong>ユーザー</strong> <strong>ガイド</strong>』 (UG570) [ 参 照 8]<br />

• 『UltraScale アーキテクチャ クロッキング リソース <strong>ユーザー</strong> <strong>ガイド</strong>』 (UG572) [ 参 照 23]<br />

• 『UltraScale アーキテクチャ GTH トランシーバー <strong>ユーザー</strong> <strong>ガイド</strong>』 (UG576) [ 参 照 24]<br />

• 『UltraScale アーキテクチャ GTY トランシーバー <strong>ユーザー</strong> <strong>ガイド</strong>』 (UG578) [ 参 照 25]<br />

ロジックのパック<br />

同 じ 階 層 レベルにパックする 必 要 のあるロジックは、 それがスタティ ックであるかリ コンフィギャラブルであるかに<br />

かかわ らず、 同 じ グループに 配 置 する 必 要 があ り ます。 た と えば、 LUT とフ リ ップフロ ップを 同 じスラ イスに 配 置 す<br />

るには、 同 じパーテ ィ シ ョ ンに 含 める 必 要 があ り ます。 パーテ ィ シ ョ ンの 境 界 を 超 える 最 適 化 は 実 行 されません。<br />

I/O、 クロック、および GT リソースを 含 むリコンフィギャラブル パーテ ィ シ ョ ンでは、 ツールで 自 動 的 に 推 論 さ れ<br />

る I/O バッファーをその RP レベル 内 に イ ン ス タ ンシエー ト する こ と が 必 要 な 場 合 があ り ます。 た と えば、<br />

GT_COMMON が RP に 含 まれてい る 場 合 、 IBUFDS_GTE を イ ン ス タ ンシエー ト する 必 要 があ り ます。 関 連 付 け られて<br />

いる I/O バ ッ フ ァーが 最 上 位 /スタティック 部 分 にある 場 合 は、パックすることはできません。<br />

デザイ ン イ ンス タ ンスの 階 層<br />

リコンフィギャラブル パーテ ィ シ ョ ン を 最 上 位 に イ ン ス タ ンシエー ト する のが 最 も 簡 単 な 方 法 ですが、 必 ずそ う し<br />

なければならないのではな く、 リ コンフ ィ ギャ ラブル パーテ ィ シ ョ ンはどの 階 層 レベルに も 配 置 で き ます。 各 リ コ<br />

ンフィギャラブル パーテ ィ シ ョ ンは 1 つのイ ン ス タ ン スに 対 応 し ている 必 要 があ り ます。 1 つの RP に 複 数 の 最 上 位<br />

を 指 定 する こ と はで き ません。 イ ン ス タ ンシエーシ ョ ンには、 関 連 モジ ュールが 複 数 含 まれます。<br />

デザ イ ン 階 層 を 変 更 し て、 複 数 のモジ ュールおよび 最 下 位 セルを RP 階 層 レベルに 統 合 し た り 、 RP 階 層 レベルか ら<br />

分 離 で き ます。 こ れを 実 行 する のには、 い く つかの 理 由 があ り ます。<br />

• PR 領 域 と ス タ テ ィ ッ ク 領 域 間 のデバイ ス リ ソ ースのバ ラ ン ス を 取 り 、 デザ イ ン を よ り 効 率 的 な も のにする た<br />

め。 た と えば、 ターゲ ッ ト RP がデバイ スのほ と んどの 部 分 を 占 めてお り 、 ス タ テ ィ ッ ク 領 域 にブ ロ ッ ク RAM<br />

を 多 数 必 要 と する モジ ュールがあ り 、 ス タ テ ィ ッ ク 領 域 に 十 分 な 数 のブ ロ ッ ク RAM がない 場 合 、 こ のモジ ュー<br />

ルを PR 領 域 に 移 動 で き ます。<br />

• セルをデバイ スの 同 じ 物 理 エ リ アに 配 置 する 必 要 があ る が、 こ れら のセルが 異 な るデザ イ ン 階 層 に 含 まれる 場<br />

合 。 た と えば、 GT_CHANNELS を 同 じ UltraScale ク ロ ッ ク 領 域 に 配 置 する 必 要 があ る が、 デザ イ ンにはス タ<br />

テ ィ ッ ク 領 域 と RP 領 域 の 両 方 に GT がある 場 合 です。<br />

• IBUFDS_GT から GT_COMMON など、 専 用 の 接 続 を 同 じ 領 域 に 配 置 する ため。<br />

パーシ ャル リコンフィギュレーション 59<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 5 章 : すべてのザイ リ ン ク ス デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

リコンフィギャラブル パーテ ィ シ ョ ンのイ ン ターフ ェ イス<br />

パーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ン 可 能 なデザ イ ンの 基 本 要 件 の 1 つに、リ コ ンフ ィ ギ ャ ラブル モジュール (RM)<br />

間 の 一 貫 性 があ り ます。 1 つのモジュールを 別 のモジュールに 置 き 換 え るので、 ス タ テ ィ ッ ク デザイ ン と リ コ ン<br />

フィギャラブル モジ ュールの 間 の 接 続 が、 論 理 的 および 物 理 的 に 同 一 であ る 必 要 があ り ます。 こ の 一 貫 性 を 得 る た<br />

め、 パーテ ィ シ ョ ン 境 界 を 越 え る 最 適 化 および 境 界 その も のの 最 適 化 は 実 行 で き ません。<br />

推 奨 : RM ポー ト に 接 続 さ れてい る イ ン ターフ ェ イ ス ロジックをすべての RM で 一 貫 した ものにして く ださい。 初 期<br />

デザイ ンのロ ジ ッ ク レベル 数 が 1 で 次 の RM のロジック レベル 数 が 5 など、 RM 間 でロジ ッ ク レベル 数 を 変 更 しな<br />

いで く ださい。 また、 初 期 RM でフ リ ップフロ ップを 使 用 し、 次 の RM でブロ ッ ク RAM を 使 用 する など、 ド ラ イ<br />

バー タ イ プを 変 更 し ないで く だ さ い。 初 期 コ ン フ ィ ギ ュ レーシ ョ ン 後 ス タ テ ィ ッ ク 部 分 の イ ン ターフ ェ イ スは 固 定<br />

さ れるので、 ツールは 後 の コ ン フ ィ ギ ュ レーシ ョ ンでの こ の よ う な 変 更 に 対 応 する こ と はで き ません。 RM のすべて<br />

の 入 力 および 出 力 にレ ジ ス タ を 付 け る こ と をお 勧 め し ます。<br />

効 率 をで き る かぎ り 高 め る ため、 リ コ ン フ ィ ギ ャ ラ ブル パーティションのすべてのポートがスタティック デザイ ン<br />

側 でア ク テ ィ ブに 使 用 さ れる よ う に し ます。 た と えば、 リ コ ン フ ィ ギ ャ ラ ブル パーティションのスタティック ドラ<br />

イバーが 定 数 (0 または 1) で 駆 動 さ れる 場 合 、 LUT イ ン ス タ ン ス を 作 成 し、 ローカルで 定 数 ド ラ イバーに 接 続 し て イ<br />

ンプ リ メ ン ト し、 最 適 化 で 削 除 さ れない よ う に し ます。 同 様 に、 未 接 続 の 出 力 が リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ<br />

シ ョ ンの 出 力 にあ る と 、 デザ イ ン 全 体 に 無 駄 が 出 ます。 こ れら の 方 法 はイ ンプ リ メ ンテーシ ョ ン ツールで 適 用 され<br />

るようにし、 デザイン アセンブ リ の 段 階 ですべての リ コ ン フ ィ ギ ャ ラ ブル モジュールが 同 じポー ト を 持 つよ う にす<br />

る 必 要 があ り ます。<br />

推 奨 : 合 成 後 にすべての リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンの イ ン ターフ ェ イ ス を 確 認 し、 定 数 ポー ト ま たは 未 接<br />

続 のポー ト の 数 をで き る だけ 少 な く し て く だ さ い。 無 駄 な ロ ジ ッ ク をな く す こ と に よ り 、 リ ソ ース 使 用 率 が 下 が り 、<br />

配 線 密 集 やタ イ ミ ング ク ロージ ャ の 問 題 に 対 処 しやす く な り ます。<br />

パーテ ィ シ ョ ン イ ン ターフ ェ イ ス を 使 用 する 場 合 、 次 の 6 つの 状 況 が 考 え ら れます。<br />

1. スタティック 側 と リコンフィギャラブル モジュール 側 の 両 方 がアクテ ィブ ロジック (パーテ ィ シ ョ ンの 入 力 ま<br />

たは 出 力 )<br />

これが 最 適 な 状 況 です。 パーテ ィ シ ョ ン ピンが 挿 入 されます。<br />

推 奨 : パーテ ィ シ ョ ン 入 力 が VCC または GND で 駆 動 さ れる 場 合 は、 こ れら の 制 約 を リ コ ン フ ィ ギ ャ ラ ブル モジュー<br />

ルに 設 定 し て く ださい。 これによ り LUT の 使 用 数 が 削 減 さ れ、 イ ンプ リ メ ンテーシ ョ ン ツールによ り これらの 定 数<br />

を RM ロ ジ ッ ク と 共 に 最 適 化 で き る よ う にな り ます。<br />

2. スタティック 側 にはアクティブ ドライバーがあるが、 リコンフィギャラブル モジュールにはアクテ ィブ ロード<br />

がない (パーテ ィ シ ョ ンの 入 力 )<br />

すべての リ コ ン フ ィ ギ ャ ラ ブル モジュールの I/O 要 件 が 同 じであ る と は 限 ら ないので、 この 状 況 が 認 められま<br />

す。 パーテ ィ シ ョ ン ピ ンが 挿 入 さ れ、 未 使 用 の 入 力 ポー ト は 未 接 続 のま まにな り ます。<br />

たとえば、1 つのモジュールで CLK_A が 必 要 で、 2 番 目 のモジ ュールで CLK_B が 必 要 な 場 合 、 ク ロ ッ ク スパイ<br />

ンは リ コンフ ィ ギャ ラブル パーテ ィ シ ョ ンの ク ロ ッ ク 領 域 にあ ら か じ め 配 線 さ れますが、 モジ ュールは 必 要 な<br />

クロック ソースのみを 使 用 し ます。 ただ し、 パーテ ィ シ ョ ン 入 力 が リ コ ン フ ィ ギ ャ ラ ブル モジ ュールで 使 用 さ<br />

れない 場 合 は、 パーテ ィ シ ョ ン イ ン ス タ ンシエーシ ョ ンか ら 削 除 する 必 要 があ り ます。<br />

パーシ ャル リコンフィギュレーション 60<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 5 章 : すべてのザイ リ ン ク ス デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

3. スタティック 側 にはアクティブ ロードがあるが、 リ コンフ ィギャラブル モジュールにはアクテ ィブ ドライバー<br />

がない (パーテ ィ シ ョ ンの 出 力 )<br />

こ れは 先 ほどの 状 況 と 似 てお り 、 認 め ら れます。 パーテ ィ シ ョ ン ピンが 挿 入 され、 リ コンフィギャラブル モ<br />

ジュール 内 でグランド ( 論 理 0) によ り 駆 動 されます。<br />

4. スタティック 側 にはアクティブ ドライバーがないが、 リコンフィギャラブル モジュールにはアクテ ィブ ロード<br />

がある (パーテ ィ シ ョ ンの 入 力 )<br />

こ の 状 況 ではエ ラーが 発 生 する ので、 パーテ ィ シ ョ ン イ ン ターフ ェ イ ス を 変 更 し て 解 決 する 必 要 があ り ます。<br />

次 に、 表 示 されるエラー メッセージの 例 を 示 します。<br />

ERROR: [Opt 31-65] LUT input is undriven either due to a missing connection from<br />

a design error, or a connection removed during opt_design.<br />

このエラー メッセージの 後 に、 リコンフィギャラブル モジュール 内 の LUT インスタンスが 続 きます。<br />

5. リコンフィギャラブル モジュールにはアクテ ィブ ド ラ イバーがあ るが、 ス タ テ ィ ッ ク 側 にはア ク テ ィ ブ ロード<br />

がない (パーテ ィ シ ョ ンの 出 力 )<br />

こ の 状 況 ではエ ラーは 発 生 し ませんが、 リ コ ン フ ィ ギ ャ ラ ブル モジュール ロジックが 残 るので、 最 適 ではあり<br />

ません。 パーティ シ ョ ン ピ ンは 挿 入 さ れません。 こ れら のパーテ ィ シ ョ ン 出 力 は 削 除 する 必 要 があ り ます。<br />

6. スタティック 側 と リコンフィギャラブル モジュール 側 のどちらにもパーテ ィ シ ョ ン ポー ト 用 の ド ラ イバーまた<br />

はロードがない (パーテ ィ シ ョ ンの 入 力 ま たは 出 力 )<br />

何 も 挿 入 さ れず、 使 用 さ れないので、 イ ンプ リ メ ンテーシ ョ ンが 非 効 率 にな る こ と はあ り ませんが、 イ ン ス タ<br />

ンシエーシ ョ ン ポー ト リ ス ト の 面 では 不 要 です。<br />

パーテ ィ シ ョ ン ピンの 配 置<br />

RP の 各 ピンにはパーテ ィ シ ョ ン ピン (PartPin) があ り ます。 デフォル ト では、 これらの PartPin はツールによ り 自 動<br />

的 に RP Pblock 範 囲 内 に 配 置 さ れます ( 必 須 )。 多 く の 場 合 、 こ の 自 動 配 置 で 十 分 ですが、 タ イ ミ ン グ ク リティカルな<br />

イ ン ターフ ェ イ ス 信 号 や 密 集 度 の 高 いデザ イ ンでは、 PartPin の 配 置 を 制 御 する 必 要 があ る 場 合 があ り ます。 次 に、<br />

こ れを 実 行 する 例 を 示 し ます。<br />

• ピンの 一 部 またはすべての HD.PARTPIN_RANGE 制 約 を 定 義 し ます。<br />

set_property HD.PARTPIN_RANGE {SLICE_Xx0Yx0:SLICE_Xx1Yy1<br />

SLICE_XxNYyN:SLICE_XxMYyM} [get_pins /*]<br />

デフォル ト では、 HD.PARTPIN_RANGE は Pblock 範 囲 全 体 に 設 定 さ れます。 <strong>ユーザー</strong> 範 囲 を 定 義 する こ と に よ<br />

り、 PartPin が 指 定 のエ リ アに 配 置 さ れ、 タ イ ミ ン グ を 向 上 し た り 、 密 集 を 緩 和 し た り で き ます。<br />

重 要 : PartPin の 配 置 を 調 べる 際 は、 境 界 沿 い、 特 に Pblock の 角 では、 配 線 リ ソ ースに 制 限 があ る ので 注 意 し て く だ<br />

さい。 PartPin の 配 置 では、 パーテ ィ シ ョ ン ピ ンが 分 散 さ れ、 境 界 沿 いではイ ン ターコ ネ ク ト ご と のパーテ ィ シ ョ ン<br />

ピンの 数 が 最 小 限 にな り 、 Pblock の 中 央 に 向 かって PartPin の 密 集 度 が 増 加 する よ う 配 置 さ れます。 カ ス タ ム<br />

HD.PARTPIN_RANGE 制 約 を 定 義 する 際 は、 分 散 させる のに 十 分 な 範 囲 を 指 定 し て く だ さ い。 そ う し ない と 、 PartPin<br />

の 周 辺 で 配 線 が 密 集 し ます。<br />

パーシ ャル リコンフィギュレーション 61<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 5 章 : すべてのザイ リ ン ク ス デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

アクティブ Low のリセッ ト とクロック イネーブル<br />

ザイ リ ンクス 7 シリーズ FPGA には、 制 御 信 号 ( リセットまたはクロック イネーブル) にローカル インバーターはあ<br />

り ません。 次 は リ セ ッ ト を 例 と し た 説 明 ですが、 同 じ 説 明 は ク ロ ッ ク イネーブルにも 適 用 されます。<br />

デザイ ンがア ク テ ィ ブ Low リ セ ッ ト を 使 用 する 場 合 、 LUT を 使 用 し て 信 号 を 反 転 する 必 要 があ り ます。 すべての リ<br />

セッ トがアクティブ Low の PR 以 外 のデザ イ ンでは、 複 数 の LUT が 推 論 されますが、1 つの LUT に 組 み 合 わせて I/O<br />

エレ メン トに 挿 入 できます (LUT はな く なる)。 アクティブ High とアクティブ Low の リ セ ッ ト が 使 用 さ れる PR 以 外<br />

のデザイ ンでは、 LUT インバーターを 1 つの LUT に 組 み 合 わせる こ と がで き、 その LUT がデザイ ンに 残 り ますが、<br />

リセット ネ ッ ト の 配 線 およびタ イ ミ ン グにはほ と んど 影 響 あ り ません (LUT の 出 力 はグ ローバル リソースに 配 置 さ<br />

れる)。 パーティションにアクティブ Low リセッ トが 使 用 されるデザインでは、 パーティション 内 でインバーターを<br />

推 論 させる こ と が 可 能 ですが、 取 り 出 し て 組 み 合 わせる こ と はで き ません。 そのため、 リ セ ッ ト を グ ローバル リ<br />

ソースに 配 置 で き な く な り 、 リ セ ッ ト の タ イ ミ ング も 悪 く な り 、 デザ イ ンの 配 線 が 既 に 密 集 し てい る 場 合 は 配 線 の<br />

問 題 が 発 生 する 可 能 性 があ り ます。<br />

こ の 状 況 を 回 避 する には、 ア ク テ ィ ブ Low の 制 御 信 号 を 使 用 し ない よ う に し ます。 ただ し、 AXI インターフェイス<br />

を 含 む IP コ アを 使 用 する 場 合 など、 こ れが 不 可 能 な 場 合 も あ り ます。 その 場 合 、 ア ク テ ィ ブ Low のリセットを 最 上<br />

位 の 信 号 に 割 り 当 て、 その 信 号 をデザ イ ン 全 体 で 使 用 し ます。<br />

次 に 例 を 示 し ます。<br />

reset_n


第 5 章 : すべてのザイ リ ン ク ス デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

Partial Reconfiguration Decoupler IP を 使 用 する と 、 MUX を 挿 入 して AXI4-Lite、 AXI4-Stream、 およびカスタム イン<br />

ターフェイスを 効 率 よ くデカップルできます。 Partial Reconfiguration Decoupler IP の 詳 細 は、 ザイ リ ン ク ス ウェブ サ<br />

イトを 参 照 してください。<br />

ブラック ボックス<br />

RP は 擬 似 ブラ ッ ク ボックスとしてインプリ メントできます。 これには、 RP をスタティ ック デザイ ンでブラ ッ ク<br />

ボックスとする 必 要 があります (ボ ト ムア ッ プ 合 成 結 果 ま たは update_design -black_box の 実 行 から)。 ブラッ<br />

ク ボックス RP セルに 対 して update_design -buffer_ports コマンドを 使 用 して、ブラック ボックスのすべて<br />

の 入 力 および 出 力 に LUT1 バ ッ フ ァーを 配 置 で き ます。<br />

update_design -cell -buffer_ports<br />

これで、 このデザインをインプリ メン ト して LUT1 バッファー (および 既 に 配 置 配 線 さ れていない 場 合 はス タ テ ィ ッ<br />

ク ロジック) を 配 置 配 線 で き ます。<br />

挿 入 さ れたすべての LUT1 出 力 バ ッ フ ァーは、 0 (グランド) に 接 続 されます。 RP 出 力 で 1 (V CC ) に 駆 動 する 必 要 があ<br />

る 場 合 は、 HD.PARTPIN_TIEOFF という RP ピン プ ロパテ ィ で 制 御 で き ます。 こ のプ ロパテ ィ は write_bitstream の<br />

前 までのすべての 段 階 で 設 定 で き、 指 定 のポー ト に 接 続 さ れてい る LUT1 バッファーの LUT 論 理 式 を 制 御 し ます。<br />

デフォル ト 値 は 0 で、 LUT がルー ト スルー ( 出 力 0) としてコンフィギュレーションされます。このプロパティを 1 に<br />

設 定 する と 、 LUT はインバーター ( 出 力 1) としてコンフィギュレーションされます。デザインによっては、 出 力 値<br />

の 変 更 が 必 要 な 場 合 があ り ます。<br />

set_property HD.PARTPIN_TIEOFF 1 [get_pins /]<br />

この 擬 似 ブラック ボックスには、 <strong>ユーザー</strong> ロ ジ ッ ク は 含 まれず、 ツールで 挿 入 さ れた LUT1 バッ フ ァーのみが 含 ま<br />

れます。 ブラ ッ ク ボックス ビッ トスト リームにはこれらの LUT の 情 報 と、 RP フ レーム 内 の リ ソース を 使 用 する ス<br />

タティ ック ロジック/ 配 線 の 情 報 が 含 まれます。 その 領 域 を 通 過 する ス タ テ ィ ッ ク 配 線 (パーテ ィ シ ョ ン ピン ノード<br />

までのインターフェイス ネッ トを 含 む) は、 この 領 域 に 存 在 し ます。 これらの 信 号 のプロ グ ラ ム 情 報 は、 ブラ ッ ク<br />

ボックスのプログラム ビッ トスト リームに 含 まれます。<br />

ブラック ボ ッ ク ス を 使 用 する のは、 フル コンフィギュレーション BIT ファ イルのサイズ 削 減 およびコンフ ィギュ<br />

レーシ ョ ン 時 間 の 短 縮 に 効 果 的 な 方 法 です。 圧 縮 オプシ ョ ン をオンする と 、 BIT ファイルのサイズも 削 減 できる 可<br />

能 性 があ り ます。 こ のオプシ ョ ンでは、 コ ン フ ィ ギ ュ レーシ ョ ン フ レーム 構 造 の 繰 り 返 し を 検 索 し、 BIT ファイル<br />

に 保 存 する 必 要 のあ る コ ン フ ィ ギ ュ レーシ ョ ン データ の 量 を 削 減 し ます。 圧 縮 に よ り 、 コ ン フ ィ ギ ュ レーシ ョ ン 時<br />

間 および リ コ ン フ ィ ギ ュ レーシ ョ ン 時 間 が 短 縮 さ れます。 圧 縮 オプシ ョ ン を 配 線 済 み PR デザ イ ンに 適 用 する と 、 フ<br />

ル BIT ファイルおよびパーシャル BIT フ ァ イルすべてが 圧 縮 BIT フ ァ イル と し て 作 成 さ れます。 圧 縮 をオンにする<br />

には、 write_bitstream を 実 行 する 前 に 次 のプ ロパテ ィ を 設 定 し ます。<br />

set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]<br />

パーシ ャル リコンフィギュレーション 63<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 5 章 : すべてのザイ リ ン ク ス デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

イ ン プ リ メ ン テーシ ョ ンでの 効 果 的 な 方 法<br />

FPGA デザ イ ンの 最 適 化 には、 常 に ト レー ド オフがあ り ます。 パーシ ャル リコンフィギュレーションも 例 外 ではあ<br />

り ません。 パーテ ィ シ ョ ン を 越 え る 最 適 化 は 実 行 さ れず、 リ コ ン フ ィ ギ ャ ラ ブル フ レームには 特 定 のレ イ ア ウ ト 制<br />

約 が 必 要 です。 これらは、 リ コ ンフ ィ ギ ュ レーシ ョ ン デザ イ ン を 構 築 する 際 の 追 加 のコ ス ト です。 タ イ ミ ン グおよ<br />

びエリアの 追 加 のオーバーヘッドは、デザインによって 異 なります。 影 響 を 最 小 限 に 抑 えるには、 この<strong>ガイド</strong>に 示<br />

されているデザイン <strong>ガイド</strong>ラインに 従 います。<br />

リコンフィギャラブル デザ イ ンのコ ン フ ィ ギ ュ レーシ ョ ン を 構 築 する 際 、 最 初 に 最 も 困 難 な コ ン フ ィ ギ ュ レーシ ョ<br />

ン を イ ンプ リ メ ン ト する よ う に し ます。 選 択 し た 物 理 領 域 に、 各 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンの 各 リ コ ン<br />

フィギャラブル モジュール 用 に 十 分 な リ ソース ( 特 にブロ ッ ク RAM、 DSP48 など) があ る こ と を 確 認 し、 各 RP でタ<br />

イ ミ ング ま たはエ リ アに 関 し て 最 も 困 難 な RM を 選 択 し ます。 その 後 のコンフ ィ ギュレーシ ョ ンの RM がすべて 最<br />

初 の RM よ り 小 型 ま たは 低 速 であれば、 要 件 を 満 たすのが 楽 にな り ます。 タ イ ミ ングは、 すべての リ コ ン フ ィ ギ ャ<br />

ラブル モジ ュールの 要 件 が 満 た さ れる よ う に 設 定 する 必 要 があ り ます。<br />

どのリ コンフ ィギャラブル モジ ュールが 最 も 困 難 かが 判 断 し に く い 場 合 は、 各 モジ ュールを ス タ テ ィ ッ ク と 共 に イ<br />

ンプ リ メ ン ト し、 それぞれに 対 し て ス タ テ ィ ッ ク を 配 置 配 線 し ます。 リ ソース 使 用 率 統 計 およびタ イ ミ ング レポー<br />

ト を 確 認 し、 どの コ ン フ ィ ギ ュ レーシ ョ ンがデザ イ ン 要 件 を 最 も 簡 単 に 満 た し てい る か、 許 容 誤 差 が 最 も 厳 し いの<br />

はどれか、 最 も 大 きいマージンでタ イ ミ ングを 満 たしていないのはどれかを 調 べます。<br />

重 要 : 要 件 と の 差 が 最 も 大 きいコ ン フ ィ ギ ュ レーシ ョ ンに 集 中 し、 要 件 が 満 た される までデザイ ン ソース、 制 約 、 お<br />

よびス ト ラ テジを 反 復 実 行 し ます。 あ る 時 点 で、 1 つのコ ンフ ィ ギュ レーシ ョ ンを ス タ テ ィ ッ ク デザ イ ンに 使 用 す<br />

る 結 果 と 決 定 する 必 要 があ り ます。 スタティ ッ ク ロジ ッ クのそのインプ リ メ ンテーシ ョ ンをほかのコンフ ィ ギュ<br />

レーシ ョ ンすべてで 使 用 します。<br />

イ ン プ リ メ ンテーシ ョ ン 要 件 の 作 成<br />

パーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ンの イ ンプ リ メ ンテーシ ョ ンでは、 基 本 的 な 規 則 に 従 う 必 要 があ り ます。 こ れ<br />

ら の 規 則 は、 パーシ ャル ビ ッ ト ス ト リ ーム を 正 し く 作 成 し、 ア ク テ ィ ブ デバイ スに 安 全 に 読 み 込 む こ と がで き る よ<br />

う にする ための も のです。 こ れら の 規 則 では、 次 を 前 提 と し ています。<br />

• リコンフィギャラブル パーテ ィ シ ョ ンの 論 理 および 物 理 イ ン ターフ ェ イ スは、 各 リ コ ン フ ィ ギ ャ ラ ブル モ<br />

ジュールをインプリ メント したときに 一 貫 している 必 要 があります。<br />

• リコンフィギャラブル モジ ュールの ロ ジ ッ ク および 配 線 は、 物 理 領 域 内 に 完 全 に 含 まれてい る 必 要 があ り 、 こ<br />

れがパーシャル ビッ トスト リームに 変 換 されます。<br />

• 専 用 初 期 化 機 能 を 使 用 する 場 合 は、 ス タ テ ィ ッ ク デザ イ ンの ロ ジ ッ ク は リ コ ン フ ィ ギ ャ ラ ブル 領 域 に 含 めない<br />

ようにする 必 要 があります。<br />

こ れ ら の 要 件 には、 最 適 化 、 配 置 、 および 配 線 において 特 定 の イ ンプ リ メ ンテーシ ョ ン 規 則 が 必 要 にな り ます。 こ<br />

れ ら の 規 則 を 適 用 する こ と に よ り 、 タ イ ミ ング ク ロージ ャ を 含 むデザ イ ン 要 件 を 満 たすのが 困 難 にな る 場 合 があ り<br />

ます。 これらの 要 件 を 1 つずつ 設 定 し、 各 ス テ ッ プで 結 果 を 解 析 する よ う に し て く だ さ い。 最 も 困 難 な コ ン フ ィ<br />

ギュレーションとタイ ミング 制 約 のフル セ ッ ト か ら 始 め、 デザ イ ンの イ ンプ リ メ ンテーシ ョ ン を 配 置 配 線 まで 実 行<br />

し て 結 果 を 解 析 し、 次 のス テ ッ プに 進 むための 十 分 な タ イ ミ ング スラックと リソースがあることを 確 認 します。<br />

1. デザイ ンを Pblock な し で イ ンプ リ メ ン ト し ます。 ボ ト ムア ッ プ 合 成 を 使 用 し て、 境 界 にレ ジ ス タ を 付 け る、<br />

ベース ラ イ ン 結 果 を 達 成 する など、 通 常 の 階 層 デザ イ ンの 推 奨 事 項 に 従 います。<br />

パーシ ャル リコンフィギュレーション 64<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 5 章 : すべてのザイ リ ン ク ス デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

2. 後 で リ コ ン フ ィ ギ ャ ラ ブル と し て 指 定 するデザ イ ン パーテ ィ シ ョ ンに Pblock を 追 加 します。 このフロアプラン<br />

は、 手 順 1 のボ ト ムア ッ プ 合 成 の 結 果 に 基 づいて 指 定 でき ます。 リ コ ンフ ィ ギャ ラブル モジュールからのロ<br />

ジックは Pblock に 配 置 する 必 要 があ り ますが、 ス タ テ ィ ッ ク ロジックも Pbock に 配 置 でき ます。<br />

これらの Pblock を 作 成 する 際 、 PR 特 定 のデザ イ ン ルール チェ ッ ク を 実 行 する ため、 HD.RECONFIGURABLE プ<br />

ロパティ (およびオプシ ョ ンで RESET_AFTER_RECONFIG プロパティ ) を 一 時 的 に 追 加 で き ます。 こ れに よ り 、<br />

作 成 し た フ ロ アプ ラ ンが PR のサイズおよびアライメント 要 件 を 満 たしているかを 確 認 できます。<br />

3. フロアプランを 作 成 したら、 Pblock に EXCLUSIVE_PLACEMENT プロパティを 追 加 してスタティ ック デザイ ン<br />

リソースの 配 置 をリコンフィギャラブル リ ソ ースか ら 分 離 し ます。 こ れに よ り 、 ス タ テ ィ ッ ク ロジックが 確 実<br />

に Pblock 外 に 配 置 さ れます。<br />

4. Pblock に CONTAIN_ROUTING プ ロパテ ィ を 適 用 し て、 リ コ ン フ ィ ギ ャ ラ ブル モジ ュールの 配 線 が Pblock 内 に 制<br />

限 さ れる よ う に し ます。 こ のス テ ッ プ と 前 のス テ ッ プのプ ロパテ ィ を 設 定 し た ら、 残 り の 規 則 は 境 界 の 最 適 化<br />

と PR 特 定 のデザ イ ン ルール チェ ッ ク に 関 連 する も ののみです。<br />

5. 最 後 に、 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン Pblock に HD.RECONFIGURABLE を 設 定 して リ コンフ ィ ギャ ラ<br />

ブルに 指 定 し ます。 EXCLUSIVE_PLACEMENT および CONTAIN_ROUTING プ ロパテ ィ は 重 複 と な る ので、 削 除<br />

できます。<br />

こ れ ら のいずれかのス テ ッ プでデザ イ ン 要 件 が 満 た さ れない 場 合 は、 新 たに 適 用 し た イ ンプ リ メ ンテーシ ョ ン 条 件<br />

でデザ イ ン 構 造 と 制 約 を 見 直 す 必 要 があ り ます。<br />

リコンフィギャブル パーテ ィ シ ョ ン 境 界 の 定 義<br />

パーシ ャル リ コンフ ィギュレーシ ョ ンは、 フレームごとに 実 行 されます。 そのため、 作 成 されたパーシャル BIT<br />

ファイルは、 複 数 のコンフィギュレーション フ レームで 構 築 さ れます。 パーシ ャル BIT ファイルのサイズは、 含 ま<br />

れるフレームの 数 およびタイプによ り ます。 サイズは、 write_bitstream -rawbitfile コマンドで 作 成 された<br />

ロー ビット ファイル (.rbt) のヘ ッ ダーで 確 認 で き ます。<br />

パーテ ィ シ ョ ンの 境 界 は リ コ ン フ ィ ギ ャ ラ ブル フレームの 境 界 に 揃 っている 必 要 はありませんが、 揃 っていると、<br />

最 も 効 果 的 な 配 置 配 線 結 果 が 得 ら れます。 次 の 両 方 の 条 件 が 満 た さ れていれば、 リ コ ン フ ィ ギ ュ レーシ ョ ン さ れる<br />

フレームにスタティ ック ロジックを 含 めることができます。<br />

• スタティック ロジックが Pblock で 定 義 されたエ リ ア グループの 外 にある。<br />

• スタティック ロジックにブロック RAM、 分 散 (LUT) RAM、 SRL などのダイナミ ッ ク エレ メン トが 含 まれてい<br />

ない (7 シリーズ デバイ スのみ)。<br />

スタティック ロジックをリ コンフィギャラブル フ レームに 配 置 する 場 合 、 ス タ テ ィ ッ ク ロ ジ ッ ク の 機 能 が 正 確 に 再<br />

書 き 込 み さ れ、 グ リ ッ チは 発 生 し ません。<br />

T 形 や L 形 などの 形 状 のパーテ ィ シ ョ ン も 使 用 で き ますが、 で き る だけ 使 用 し ないで く だ さ い。 配 線 リ ソ ース を 完<br />

全 に こ れら の 領 域 に 収 め る 必 要 があ る ので、 配 置 配 線 が 困 難 にな る 可 能 性 があ り ます。 パーテ ィ シ ョ ンの 境 界 を 接<br />

触 させるこ とはできますが、 これらのパーティシ ョ ンはスタティ ッ ク デザイ ンに 接 続 されるので、 多 少 間 が 空 いて<br />

い る ほ う が、 配 線 制 限 を 回 避 で き ます。 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン をネス ト させた り (コンフィギャラブ<br />

ル パーテ ィ シ ョ ン 内 に 別 の リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン を 含 める)、 重 ねたりすることはできません。デザ<br />

イン ルール チェッ ク ([Tools] → [Report] → [Report DRC]) によ り、PR デザ イ ンのパーテ ィ シ ョ ンおよび 設 定 が 確 認 さ<br />

れます。<br />

物 理 的 な リ コ ン フ ィ ギ ャ ラ ブル フレームごとに 存 在 させることができる リ コンフィギャラブル パーテ ィ シ ョ ンは 1<br />

つだけです。<br />

パーシ ャル リコンフィギュレーション 65<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 5 章 : すべてのザイ リ ン ク ス デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

リコンフィギャラブル フ レームは、 リ コ ン フ ィ ギ ュ レーシ ョ ン 可 能 な 最 小 の 物 理 領 域 で、 その 高 さ は ク ロ ッ ク 領 域<br />

または I/O バン ク の 境 界 に 揃 っています。 リ コ ン フ ィ ギ ャ ラ ブル フレームに 複 数 のリ コンフィギャラブル パーテ ィ<br />

ションからのロジックを 含 めることはできません。 複 数 のリコンフィギャラブル パーテ ィ シ ョ ンか ら のロ ジ ッ ク を<br />

含 める と、 間 違 った リ コ ンフ ィ ギャ ラブル モジュールからの 情 報 で 領 域 が リ コンフ ィ ギュレーシ ョ ンされてしま う<br />

可 能 性 があ り 、 競 合 が 発 生 する 原 因 と な り ます。 ツールは、 こ の よ う な リ ス ク の 高 い 状 況 を 回 避 する よ う に 設 計 さ<br />

れています。<br />

デ ッ ド ロ ッ ク 状 態 の 回 避<br />

RM 境 界 を 越 え る ト ラ ンザ ク シ ョ ンには、 完 了 までに 複 数 サ イ ク ルかか る も のがあ り ます。 ト ラ ンザ ク シ ョ ンが 開 始<br />

し、 完 了 する 前 に RM を 削 除 する と シ ス テムがデ ッ ド ロ ッ ク 状 態 にな り ます。 た と えば、 ト ラ ンザ ク シ ョ ン を 開 始<br />

し たマス ターが 削 除 さ れた ス レーブか ら の 応 答 を 待 機 する 場 合 などです。<br />

また、 RM 自 体 がデ ッ ド ロ ッ ク 状 態 を 発 生 さ せる こ と があ り ます。 た と えば、 ソ フ ト ウ ェ アが RM レジスタの 特 定 の<br />

値 をポー リ ングしている と し ます。 RM を 削 除 する と 、 ソ フ ト ウ ェ アが 待 機 し 続 け る ため、 停 止 する 可 能 性 があ り ま<br />

す。 大 型 のブ ロ ッ ク 転 送 が 完 了 する のを 待 機 し てい る 間 に 停 止 する こ と も あ り ます。<br />

パーシ ャル リコンフィギュレーション デザ イ ンには 何 ら かのハン ド シ ェー ク 機 構 を 組 み 込 み、 リ コ ン フ ィ ギ ャ ラ ブ<br />

ル モジ ュールの 削 除 が 安 全 な と き にのみ 実 行 さ れる よ う にする 必 要 があ り ます。 こ の 要 求 / 肯 定 応 答 のペアはユー<br />

ザー デザ イ ンの 一 部 と し、 どの よ う な 方 法 で も 組 み 込 むこ と がで き ます。<br />

パーシ ャル リコンフィギュレーション 66<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 5 章 : すべてのザイ リ ン ク ス デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

デザイ ン リビジョン チェック<br />

第 8 章 「デバイスのコンフィギュレーション」で 説 明 する よ う に、 パーシ ャル ビッ トスト リームにはプログラム 情<br />

報 以 外 の も のはほ と んど 含 まれません。 BIT フ ァ イルの 一 部 であ る ア ド レ ス 指 定 に よ り ダ イ の 位 置 が 決 ま る ので<br />

ビ ッ ト ス ト リ ームの ターゲ ッ ト 位 置 を 特 定 する 必 要 はあ り ませんが、 パーシ ャル ビ ッ ト ス ト リ ームが 現 在 動 作 中 の<br />

デザ イ ン と 互 換 性 があ る かど う かをチェ ッ ク する 機 能 はハー ド ウ ェ アにはあ り ません。 パーシ ャル ビッ トスト リー<br />

ムをそのリ コンフィギャラブル モジュールの リ ビジ ョ ン と 共 にインプ リ メ ン ト されていないスタテ ィ ッ ク デザイ ン<br />

に 読 み 込 む と 、 予 期 し ない 動 作 が 発 生 する こ と があ り ます。<br />

パーシ ャル ビ ッ ト ス ト リ ームにデザ イ ン、 リ ビジ ョ ン、 モジ ュールを 識 別 する 接 頭 辞 を 付 け る こ と をお 勧 め し ます。<br />

コンフィギュレーション コ ン ト ローラーで こ の 識 別 子 を 読 み 取 り 、 パーシ ャル ビ ッ ト ス ト リ ームが 動 作 中 のデザ イ<br />

ン と 互 換 性 があ る こ と が 確 認 さ れる よ う にする こ と がで き ます。 不 一 致 が 検 出 さ れる と 、 コ ン フ ィ ギ ュ レーシ ョ ン<br />

メ モ リ に 読 み 込 まれる 前 に 互 換 性 のないビ ッ ト ス ト リ ームが 却 下 さ れます。 こ の 機 能 をデザ イ ンの 一 部 と し て 含 め<br />

る 必 要 があ り、 『PRC/EPRC: パーシ ャル リコンフィギュレーションのデータ インテグリティおよびセキュリティ コ<br />

ントローラー』 (XAPP887) [ 参 照 26] に 説 明 さ れてい る 暗 号 化 ま たは CRC チェ ッ ク と 同 様 の も のか、 それ ら と 組 み 合<br />

わせた も のにする こ と ができ ます。<br />

ビッ トスト リーム 機 能 は、 デザイン リ ビジ ョ ンにタグをつけるのに 単 純 な メ カニズムを 提 供 します。<br />

BITSTREAM.CONFIG.USR_ACCESS プ ロパテ ィ を 使 用 する と 、 リ ビジ ョ ン ID を 直 接 ビ ッ ト ス ト リ ームに 入 力 でき<br />

ます。 この ID は USR_ACCESS レジスタに 配 置 され、 FPGA ロジックから 同 じ 名 前 のライブラ リ プリ ミティブを 使<br />

用 し てア ク セスで き ます。 パーシ ャル リコンフィギュレーション デザ イ ンで こ の 値 を 読 み 出 し、 パーシ ャル ビッ ト<br />

ス ト リ ームのヘ ッ ダーに<strong>ユーザー</strong>が 追 加 で き る 情 報 と 比 較 し て、 デザ イ ンの リ ビジ ョ ンが 一 致 し てい る こ と を 確 認<br />

できます。 このオプショ ンの 詳 細 は、 『 <strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> を 使 用 した USER_ACCESS によるビッ ト ス ト リーム 識<br />

別 』 (XAPP1232) [ 参 照 28] を 参 照 して く ださい。<br />

注 意 : TIMESTAMP 機 能 は 使 用 し ないで く だ さ い。 こ の 値 は write_bitstream の 各 実 行 で 一 貫 し ていません。<br />

write_bitstream のすべての 実 行 で、 一 貫 し た 明 示 的 な ID のみを 使 用 し て く ださ い。<br />

シミュレーションおよび 検 証<br />

パーシ ャル リコンフィギュレーション デザイ ンのコンフ ィ ギュ レーシ ョ ンは、 それ 自 体 で 完 全 なデザイ ンです。 す<br />

べての 標 準 シ ミ ュ レーシ ョ ン、 タ イ ミ ング 解 析 、 および 検 証 手 法 が PR デザイ ンでサポー ト されています。 パーシ ャ<br />

ル リコンフィギュレーションのみをシミュレーションすることはできません。 具 体 的 には、パーシャル ビッ トスト<br />

リームを ICAP のよ うなコンフィギュレーショ ン ポー ト に 転 送 し、 リ コ ンフ ィ ギャ ラブル パーテ ィ シ ョ ンでの 変 更<br />

結 果 ( 中 間 状 態 を 含 む) を 確 認 する こ と はで き ません。<br />

パーシ ャル リコンフィギュレーション 67<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 6 章<br />

7 シリーズおよび Zynq デバイスでの 設 計 に<br />

関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

概 要<br />

この 章 では、 7 シリーズおよび Zynq ® -7000 AP SoC デバイ スに 特 定 のパーシ ャル リコンフィギュレーション デザイ<br />

ン 要 件 について 説 明 し ます。<br />

ザイ リ ンクス デバイ スのパーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ン 機 能 を 使 用 する には、 デザ イ ン 仕 様 を 注 意 深 く 解 析<br />

し、 PR デザ イ ンの 要 件 、 特 性 、 制 限 を 考 慮 する 必 要 があ り ます。 こ れに よ り 、 設 計 およびデバ ッ グ プロセスが 簡 潔<br />

にな り 、 デザ イ ンが 不 正 に 動 作 する リ ス ク を 回 避 で き ます。<br />

リコンフィギャラブル モジ ュール 内 のデザイ ン エレメ<br />

ント<br />

すべての ロ ジ ッ ク が リ コ ン フ ィ ギ ュ レーシ ョ ン 可 能 なわけではあ り ません。 グ ローバル ロジックおよびクロック リ<br />

ソースは、 リ コ ン フ ィ ギ ュ レーシ ョ ン 中 も 動 作 する よ う に し、 フル デバイ ス コ ン フ ィ ギ ュ レーシ ョ ン 後 の 初 期 化<br />

シーケ ン スが 適 用 さ れる よ う にする ため、 ス タ テ ィ ッ ク 領 域 に 配 置 する 必 要 があ り ます。<br />

リコンフィギャラブル モジュールには、 次 のロ ジ ッ ク を 配 置 でき ます。<br />

• デバイ スの CLB スライスにマップされているすべてのロジック コンポーネント: LUT (ルックアップ テーブル)、<br />

FF (フリ ップフロップ)、 SRL (シフ ト レジスタ)、 RAM、 ROM など<br />

• ブロック RAM および FIFO:<br />

° RAMB18E1、 RAMB36E1、 BRAM_SDP_MACRO、 BRAM_SINGLE_MACRO、 BRAM_TDP_MACRO<br />

° FIFO18E1、 FIFO36E1、 FIFO_DUALCLOCK_MACRO、 FIFO_SYNC_MACRO<br />

注 記 : IN_FIFO および OUT_FIFO デザイ ン エレメン トは RM には 配 置 でき ません。 これらのデザイ ン エレ メン<br />

トは、 スタティック ロ ジ ッ ク に 配 置 する 必 要 があ り ます。<br />

• DSP ブロッ ク: DSP48E1<br />

• PCIe ® (PCI Express): PCIe IP を 使 用 し て 入 力 。<br />

パーシ ャル リコンフィギュレーション 68<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 6 章 : 7 シリーズおよび Zynq デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

次 を 含 むその 他 のロジックはスタティ ック ロジックに 配 置 し、 RM には 配 置 し ないで く だ さ い。<br />

• ク ロ ッ クおよびク ロ ッ ク 調 整 ロジッ ク: BUFG、 BUFR、 MMCM、 PLL などのコンポーネン ト<br />

• I/O および I/O 関 連 の コ ンポーネン ト : ISERDES、 OSERDES、 IDELAYCTRL など<br />

• シリアル トランシーバー (MGT) および 関 連 の コ ンポーネン ト<br />

• アーキテクチャ 機 能 コンポーネン ト : BSCAN、 STARTUP、 XADC など<br />

グローバル クロックの 規 則<br />

特 定 の リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンの 各 リ コ ン フ ィ ギ ャ ラ ブル モジ ュールの ク ロ ッ ク 情 報 は 最 初 の イ ンプ<br />

リ メ ンテーシ ョ ンの 時 点 では 不 明 なので、 その RP 上 のパーテ ィ シ ョ ン ピ ン を 駆 動 する 各 BUFG 出 力 が Pblock に 含<br />

まれるすべてのク ロ ッ ク 領 域 にあ らかじめ 配 線 されます。 これはつま り 、 その 領 域 で RP にロードがあるかど うかに<br />

かかわらず、 それらのク ロ ッ ク 領 域 のク ロ ッ ク スパインをスタティ ッ ク ロ ジ ッ ク が 使 用 で き ない 可 能 性 があ る と い<br />

うことです。<br />

7 シリーズ デバイ スでは、 最 大 12 個 までのク ロ ッ ク スパイ ン を 各 ク ロ ッ ク 領 域 への 事 前 配 線 に 使 用 で き ます。 ス タ<br />

ティック ロジックおよびリ コンフィギャラブル ロ ジ ッ ク の 両 方 で、 こ の 制 限 数 を 考 慮 する 必 要 があ り ます。 た と え<br />

ば、 ス タ テ ィ ッ ク ロジック 用 にクロック 領 域 に 3 つのグ ローバル ク ロ ッ ク が 配 線 さ れる 場 合 、 こ れ ら の 3 つの 最 上<br />

位 クロックに 加 え、 そのクロック 領 域 を 含 む RP で 9 個 のグ ローバル クロックを 使 用 できるということです。<br />

70 ページの 図 6-1 に 示 す 例 では、 icap_clk が 配 置 前 に ク ロ ッ ク 領 域 X0Y1、 X0Y2、 および X0Y3 に 配 線 され、 ス<br />

タティ ック ロ ジ ッ ク でその 領 域 のその 他 のク ロ ッ ク スパインを 使 用 できます。<br />

パーシ ャル リコンフィギュレーション 69<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 6 章 : 7 シリーズおよび Zynq デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

X-Ref Target - Figure 6-1<br />

図 6-1: グローバル クロックからリコンフィギャラブル パーテ ィ シ ョ ンへの 事 前 配 線<br />

推 奨 : RP を 駆 動 する グ ローバル ク ロ ッ ク の 数 が 多 い 場 合 は、 ク ロ ッ ク 領 域 を 完 全 に 含 むエ リ ア グループを 作 成 し、<br />

スタティック ロ ジ ッ ク を 配 置 および 配 線 しやす く する よ う にする こ と をお 勧 め し ます。 ロー ド の 数 が 少 ないか、 要<br />

件 がそれほど 厳 し く ないグ ローバル クロックは、 リージョナル クロック (BUFR、 BUFH など) に 変 更 で き ます。 ク<br />

ロックをグローバル リソースからローカル リ ソースに 変 更 する と 、 RP で 固 有 の ク ロ ッ ク が 多 数 必 要 な 場 合 に、 さ<br />

ら に 柔 軟 なフ ロ アプ ラ ンが 可 能 にな り ます。<br />

パーシ ャル リコンフィギュレーション 70<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 6 章 : 7 シリーズおよび Zynq デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

7 シリーズ デバイスでの Pblock の 作 成<br />

第 3 章 の 「 リ コ ン フ ィ ギ ュ レーシ ョ ン 後 に リ セ ッ ト を 適 用 」 で 説 明 し た よ う に、 RESET_AFTER_RECONFIG を 使 用<br />

する 場 合 は、 リ コンフ ィギャラブル パーテ ィ シ ョ ンの 高 さ が ク ロ ッ ク 領 域 の 境 界 に 揃 っている こ と が 必 要 です。<br />

RESET_AFTER_RECONFIG を 使 用 しない 場 合 は、 リ コンフ ィ ギャ ラブル パーテ ィ シ ョ ン Pblock の 高 さは 任 意 の 値 に<br />

選 択 で き ます。<br />

リコンフィギャラブル パーテ ィ シ ョ ンの 幅 も、 イ ン ターコ ネ ク ト およびク ロ ッ ク リ ソース を 効 率 的 に 使 用 で き る よ<br />

う に 適 切 に 設 定 する 必 要 があ り ます。 Pblock の 長 方 形 の 左 辺 および 右 辺 は、 イ ン ターコ ネ ク ト 列 (INT-INT) の 間 では<br />

なく、2 つの リ ソース 列 (CLB-CLB、 CLB-BRAM、 CLB-DSP など) の 間 に 配 置 する 必 要 があ り ます。 これによ り 、 配<br />

置 配 線 ツールでス タ テ ィ ッ ク ロジックおよびリ コンフィギャラブル ロ ジ ッ ク の 両 方 にすべての リ ソースが 活 用 され<br />

ます。 この 手 法 に 従 っていない 場 合 、 インプ リ メ ンテーシ ョ ン DRC によ りガイダンスが 提 供 されます。<br />

リコンフィギャラブル パーテ ィ シ ョ ンの 自 動 調 整<br />

Pblock に SNAPPING_MODE プ ロパテ ィ を 設 定 する と 、 7 シリーズ デザ イ ンで 隣 接 違 反 が 発 生 し ない よ う にする ため、<br />

Pblock のサ イ ズが 自 動 的 に 調 整 さ れます。 SNAPPING_MODE の 値 を ON または ROUTING に 設 定 する と 、 Pblock の 範<br />

囲 のセ ッ ト が 新 し く 作 成 さ れ、 イ ンプ リ メ ンテーシ ョ ンで 使 用 さ れます。 こ れら の 範 囲 は メ モ リ に 格 納 さ れ、 XDC<br />

には 記 述 されません。 SNAPPING_MODE プロパテ ィ のみが、 通 常 の Pblock 制 約 と 共 に 記 述 さ れます。<br />

7 シリーズ デバイ スでは、 イ ン ターコ ネ ク ト タ イル と 呼 ばれる 配 線 リ ソ ースが、 近 く にま たは 隣 接 し て 配 置 さ れま<br />

す。 パーシ ャル コ ン フ ィ ギ ュ レーシ ョ ン 用 にフ ロ アプ ラ ン を 実 行 する と きは、 隣 接 し た 境 界 がど こ に 存 在 する のか<br />

を 理 解 し てお く こ と が 重 要 です。 Pblock がこのよ うなペアになって 並 んでいるインターコネク ト タ イルを 分 割 する<br />

場 合 、 隣 接 違 反 と 呼 ばれます。 隣 接 する イ ン ターコ ネ ク ト の 詳 細 は、 74 ページの 「 リ コ ン フ ィ ギ ャ ブル パーテ ィ<br />

ション Pblock の 手 動 作 成 」 を 参 照 して く ださい。<br />

SNAPPING_MODE を 使 用 し た 場 合 、 元 の Pblock の 長 方 形 は 変 更 さ れませんが、 サ イ ズが 変 更 さ れ、 移 動 さ れ、 追 加<br />

の 長 方 形 で 拡 張 さ れる こ と があ り ます。 元 の Pblock の 長 方 形 が 変 更 さ れる と 、 それか ら 作 成 さ れた 範 囲 も 自 動 的 に<br />

再 計 算 さ れます。 SNAPPING_MODE プロパティはバッチ モード でサポー ト されるので、 SNAPPING_MODE を 設 定 す<br />

るのに <strong>Vivado</strong> ® IDE で 現 在 の Pblock を 開 く 必 要 はあ り ませんが、 72 ページの 図 6-2 に 示 すよ う に、 イ ン タ ラ ク テ ィ<br />

ブ フ ロ アプ ラ ン を 実 行 する と き に こ のオプシ ョ ン を 設 定 で き ます。<br />

パーシ ャル リコンフィギュレーション 71<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 6 章 : 7 シリーズおよび Zynq デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

X-Ref Target - Figure 6-2<br />

図 6-2: <strong>Vivado</strong> IDE での SNAPPING_MODE プロパテ ィ の 設 定<br />

次 の 構 文 を 使 用 する か、 上 記 の よ う に Pblock プロパティを 選 択 して SNAPPING_MODE プ ロパテ ィ を 設 定 する と 、 イ<br />

ンプ リ メ ンテーシ ョ ンで 自 動 的 に 修 正 さ れた Pblock 範 囲 が 認 識 さ れます。<br />

set_property SNAPPING_MODE ON [get_pblocks ]<br />

次 の 表 に、 7 シリーズ デバイ ス 用 の SNAPPING_MODE プロパティ 値 を 示 します。<br />

表 6-1: SNAPPING_MODE プロパティ 値 (7 シリーズ デバイス)<br />

プロパティ 値 説 明<br />

SNAPPING_MODE OFF 7 シ リ ーズの 場 合 のデフ ォル ト です。 調 整 は 実 行 さ れず、<br />

DERIVED_RANGES == GRID_RANGES です。<br />

ON<br />

すべての 隣 接 違 反 を 修 正 し ます。<br />

ROUTING 次 の 例 外 を 除 き、 ON の 場 合 と 同 じです。<br />

• 配 線 を 改 善 する ため 中 央 ク ロ ッ ク 列 を ま た ぐ 隣 接 違 反 は 修 正 さ れま<br />

せん。<br />

• 配 線 を 改 善 する ため、 RP Pblock 内 ま たは 隣 接 する ボンデ ィ ン グ さ れ<br />

ていない I/O および GT サイ ト を 使 用 します。 これらのリ ソースは、<br />

ボンディ ングされておらず、 列 全 体 ( ク ロ ッ ク 領 域 の 高 さ ) が Pblock<br />

の 長 方 形 に 含 まれている 場 合 にのみ PR 配 線 に 使 用 可 能 です。<br />

これは、 7 シリーズおよび Zynq デバイ スに 推 奨 される 値 です。<br />

SNAPPING_MODE プロパティは、 RESET_AFTER_RECONFIG と 共 に 使 用 する こ と も で き ます。<br />

RESET_AFTER_RECONFIG を 使 用 する には、 Pblock の 高 さ がフ レーム (またはクロック 領 域 ) に 揃 っている 必 要 があ<br />

ります。SNAPPING_MODE を ON または ROUTING に 設 定 し、 RESET_AFTER_RECONFIG を TRUE に 設 定 する と 、 生<br />

成 さ れた 領 域 に こ の 要 件 を 満 たすのに 必 要 なすべてのサ イ ト が 自 動 的 に 含 まれます。<br />

パーシ ャル リコンフィギュレーション 72<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 6 章 : 7 シリーズおよび Zynq デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

図 6-3 に、 <strong>ユーザー</strong>が 作 成 し た 元 の Pblock を 紫 色 で 示 します。 RESET_AFTER_RECONFIG がイネーブルになってお<br />

り 、 左 辺 と 右 辺 の 両 方 が イ ン ターコ ネ ク ト 列 を 分 離 し ています。 SNAPPING_MODE を 適 用 する と 、 調 整 さ れた<br />

Pblock ( 黄 色 ) は INT-INT 境 界 を 回 避 する ため 幅 が 細 く な り 、 ク ロ ッ ク 領 域 の 高 さ に 揃 う よ う に 縦 に 長 く な り ます。<br />

X-Ref Target - Figure 6-3<br />

図 6-3: 元 の Pblock と SNAPPING_MODE により 調 整 された Pblock<br />

パーシ ャル リコンフィギュレーション 73<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 6 章 : 7 シリーズおよび Zynq デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

リコンフィギャブル パーテ ィ シ ョ ン Pblock の 手 動 作 成<br />

隣 接 問 題 を 修 正 する リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン Pblock の 自 動 調 整 が 望 ま し く ない 場 合 は、 Pblock 範 囲 を<br />

手 動 で 作 成 する 必 要 があ り ます。 こ れは、 コ ン フ ィ ギ ュ レーシ ョ ン ブロックやクロック バッファー リソースを 含 む<br />

中 央 列 などの リ コ ン フ ィ ギ ャ ラ ブル 不 可 能 なサ イ ト にまたが る Pblock を 厳 密 に 制 御 する のに 役 立 ち ます。<br />

図 6-4 に 示 す 白 でハイ ラ イ ト さ れた Pblock では、 左 辺 と 右 辺 が CLB 列 の 間 に 描 かれています。 イ ン ターコネ ク ト タ<br />

イルを 表 示 する には、 [Device] ウィンドウで<br />

ボタンをク リ ックして [Routing Resurces] をオンにします。<br />

X-Ref Target - Figure 6-4<br />

図 6-4: リコンフィギャラブル パーテ ィ シ ョ ン Pblock の 左 辺 と 右 辺 の 両 方 が CLB 列 の 間 にある ( 適 切 な 配 置 )<br />

リコンフィギャラブル パーテ ィ シ ョ ン Pblock には、 描 画 し た 形 状 の 中 にすべての リ コ ンフ ィ ギ ャ ラブル エレ メン ト<br />

タ イプを 含 める 必 要 があ り ます。 つま り 、 選 択 し た 長 方 形 に CLB (スライス)、 ブロック RAM、 および DSP エレ メン<br />

ト が 含 まれる 場 合 、 3 つのタ イプすべてが Pblock に 含 まれている 必 要 があ り ます。 1 つでも 欠 けている と、 DRC に<br />

よ り 分 割 さ れた イ ン ターコ ネ ク ト が 検 出 さ れた こ と を 示 すア ラー ト が 表 示 さ れます。<br />

リコンフィギャラブル パーテ ィ シ ョ ンが、 中 央 列 の ク ロ ッ ク リソースやコンフィギュレーション コンポーネント<br />

(ICAP、 BSCAN) などの リ コ ン フ ィ ギ ュ レーシ ョ ン 不 可 能 なサ イ ト を 含 む 場 合 や、 I/O などのリ コンフィギュレー<br />

シ ョ ン 不 可 能 な コ ンポーネン ト に 隣 接 し てい る 場 合 は、 ほかに も 考 慮 すべき 事 項 があ り ます。 Pblock のいずれかの<br />

辺 が 異 な る リ ソース タ イ プの イ ン ターコ ネ ク ト 列 を 分 割 する 場 合 、 イ ンプ リ メ ンテーシ ョ ン ツールによ り このレイ<br />

ア ウ ト は 許 容 さ れますが、 境 界 の 各 辺 の 列 への 配 置 が 制 限 さ れます。 ICAP や BSCAN など、 デザ イ ンで こ の 禁 止 サ<br />

イトが 必 要 な 場 合 は、Pblock を 複 数 の 長 方 形 に 分 割 し、 リ コ ン フ ィ ギ ャ ラ ブル ロジックのリ ソース 使 用 を 明 確 に 定<br />

義 する か、 SNAPPING_MODE を 使 用 する 必 要 があ り ます。<br />

パーシ ャル リコンフィギュレーション 74<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 6 章 : 7 シリーズおよび Zynq デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

インプリ メンテーション ツールでは、 PROHIBIT 制 約 を 作 成 する こ と に よ り 、 隣 接 する イ ン ターコ ネ ク ト サイ トの<br />

両 側 への 配 置 が 自 動 的 に 回 避 さ れます。 隣 接 違 反 のために 禁 止 さ れたサ イ ト がデザ イ ンで 不 要 な 場 合 は、 デザ イ ン<br />

で 隣 接 違 反 をそのま まに し てお く こ と も 許 容 さ れます。 その よ う にする と 、 PR 領 域 に 配 線 タ イルの 追 加 の 列 が 含 ま<br />

れ、 リ コ ン フ ィ ギ ャ ラ ブル 不 可 能 なサ イ ト にまたが る PR 領 域 の 密 集 を 防 ぐ こ と がで き ます。 こ の 場 合 、 DRC によ<br />

り ク リ テ ィ カル 警 告 が 表 示 さ れますが、 配 置 と 配 線 リ ソースの ト レー ド オフ を 理 解 し てい る 場 合 は こ の 警 告 は 無 視<br />

しても 問 題 ありません。<br />

こ の 動 作 の 唯 一 の 例 外 は、 ク ロ ッ ク 列 の 周 囲 です。 ク ロ ッ ク 列 の 境 界 で 違 反 が 発 生 し た 場 合 は、 違 反 のあ る RM 側<br />

に PROHIBIT 制 約 が 生 成 さ れますが ( 通 常 は SLICE 禁 止 )、 クロッキング リソースには PROHIBIT 制 約 は 生 成 さ れ<br />

ず、 ス タ テ ィ ッ ク ロ ジ ッ ク で 使 用 する こ と がで き ます。 SNAPPING_MODE の 値 を ROUTING にするのは、 こ の 例 外<br />

の 利 点 を 活 かすためです。 た と えば、 図 6-5 に 示 す 初 期 フ ロ アプ ラ ンには、 ク ロ ッ ク バッファー リソース<br />

(BUFHCE/BUFGCTRL) を 含 む 中 央 列 が 含 まれています。 こ れら の リ ソ ースは、 図 6-5 でハイ ラ イ ト されておらず、<br />

Pblock ブロックに 含 まれていません。 このクロック 列 をまたぐと 違 反 は 発 生 しますが、それでもリソースはスタ<br />

ティック ロジックで 使 用 できます。<br />

X-Ref Target - Figure 6-5<br />

図 6-5: リ コ ン フ ィ ギャ ラ ブル 不 可 能 なサイ ト を 含 む Pblock<br />

パーシ ャル リコンフィギュレーション 75<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 6 章 : 7 シリーズおよび Zynq デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

禁 止 サ イ ト は、 図 6-6 に 示 すよ う に、 配 置 済 みま たは 配 線 済 みチェ ッ ク ポ イ ン ト で 斜 線 の 付 いた 赤 丸 で 示 さ れます。<br />

こ の 自 動 禁 止 機 能 に よ り 、 CLB 自 体 は 使 用 さ れませんが、 コ ン フ ィ ギ ャ ラ ブル サイ ト (CLB) に 関 連 付 け ら れた 配 線<br />

インターコネク トはリコンフィギャラブル モジ ュールに 使 用 で き ます。 図 6-6 では、 左 側 の INT 列 は RM に 使 用 可<br />

能 ですが、 右 側 の INT 列 は ク ロ ッ ク タイルの 一 部 であり、7 シリーズ デバイ スでは リ コ ン フ ィ ギ ュ レーシ ョ ン 不 可<br />

能 なので、 ス タテ ィ ッ ク ロ ジ ッ ク のみで 使 用 可 能 です。<br />

X-Ref Target - Figure 6-6<br />

図 6-6: チ ェ ッ クポイ ン ト の 禁 止 サイ ト<br />

隣 接 違 反 に よ り デザ イ ンに 必 要 なサ イ ト (ICAP や BSCAN) が 禁 止 さ れる 場 合 は、 デバイ スに 使 用 可 能 なサ イ ト が 十<br />

分 ない こ と を 示 す 配 置 エ ラーが 表 示 さ れます。<br />

ERROR: [Common 17-69] Command failed: Placer could not place all instances<br />

こ の 制 限 を 回 避 する には、 77 ページの 図 6-7 に 示 すよ う に 複 数 の Pblock の 長 方 形 を 作 成 し て イ ン ターコ ネ ク ト 列 が<br />

分 割 さ れない よ う する か、 Pblock に SNAPPING_MODE プ ロパテ ィ を 使 用 し ます。<br />

推 奨 : 通 常 は、 リ コ ン フ ィ ギ ャ ラ ブルではないサ イ ト タイプ (IOB、 コンフィギュレーション、クロック 列 など) をま<br />

たぐこ とは、 できるかぎり 避 けてください。 Pblock がこれらのサイ ト のいずれかをまたぐ 必 要 がある 場 合 、 最 も リ<br />

スクが 低 いのはクロック 列 です (その 理 由 は 先 に 説 明 )。 境 界 をで き る かぎ り 効 率 よ く ま た ぐ よ う にする には、<br />

SNAPPING_MODE ROUTING を 使 用 して く ださい。<br />

パーシ ャル リコンフィギュレーション 76<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 6 章 : 7 シリーズおよび Zynq デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

X-Ref Target - Figure 6-7<br />

図 6-7: リ コ ン フ ィ ギャ ラ ブル 不 可 能 な リ ソースを 含 めないよ う に 複 数 の Pblock の 長 方 形 を 作 成<br />

パーシ ャル リコンフィギュレーション 77<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 6 章 : 7 シリーズおよび Zynq デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

図 6-8 に 分 割 部 分 を 拡 大 し、 ス ラ イ ス (CLB) とインターコネクト (INT) リソース タイプを 示 します。2 つの Pblock 長<br />

方 形 間 のギ ャ ッ プに よ り 、 BUFHCE コンポーネントに 完 全 にアクセスでき、 スタティ ック リソースを 使 用 して 完 全<br />

に 配 線 で き ます。 ま た、 CLB の 1 つの 列 を ス タ テ ィ ッ ク デザイ ンで 使 用 でき ます。 これらのギ ャ ッ プを またぐ こ と<br />

がで き る 配 線 リ ソ-スはあ り ますが、 そ う する と 全 体 的 な 配 線 能 力 が 大 幅 に 低 下 し ます。 こ のアプ ローチは 難 点 が<br />

多 いため、 可 能 であれば 回 避 し て く だ さ い。 IOB やコンフ ィギュレーシ ョ ン タ イルなどのほかのス タテ ィ ッ ク 境 界<br />

をまたぐ 場 合 は、 PR 領 域 の 配 線 ギ ャ ッ プが 2 つの INT リ ソースにな り 、 配 線 が 困 難 にな り ます。<br />

X-Ref Target - Figure 6-8<br />

図 6-8: ク ロ ッ ク 配 線 用 に 予 約 された 列<br />

T 形 や L 形 などの 形 状 のパーテ ィ シ ョ ン も 使 用 で き ますが、 全 体 的 な 形 状 はで き る だけシンプルにする こ と をお 勧<br />

め し ます。 配 線 リ ソース を 完 全 に こ れら の 領 域 に 収 め る 必 要 があ る ので、 配 置 配 線 が 困 難 にな る 可 能 性 があ り ます。<br />

パーテ ィ シ ョ ンの 境 界 を 接 触 させる こ と はで き ますが、 多 少 間 が 空 いてい る 方 が 配 線 制 限 が 原 因 で 問 題 が 発 生 する<br />

可 能 性 を 回 避 で き ます。 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン をネス ト させた り (コンフィギャラブル パーテ ィ<br />

ション 内 に 別 のリコンフィギャラブル パーテ ィ シ ョ ン を 含 める)、 重 ねたりすることはできません。<br />

最 後 に、 物 理 的 な リ コ ン フ ィ ギ ャ ラ ブル フレームごとに 存 在 させることができる リ コンフィギャラブル パーテ ィ<br />

ションは 1 つだけです。 リ コ ンフ ィ ギャ ラブル フ レームは、 リ コ ン フ ィ ギ ュ レーシ ョ ン 可 能 な 最 小 の 物 理 領 域 で、<br />

ク ロ ッ ク 領 域 の 境 界 に 揃 え ら れています。 リ コ ン フ ィ ギ ャ ラ ブル フレームに 複 数 のリ コンフィギャラブル パーテ ィ<br />

ションからのロジックを 含 めることはできません。 複 数 のリコンフィギャラブル パーテ ィ シ ョ ンか ら のロ ジ ッ ク を<br />

含 める と、 間 違 った リ コ ンフ ィ ギャ ラブル モジュールからの 情 報 で 領 域 が リ コンフ ィ ギュレーシ ョ ンされてしま う<br />

可 能 性 があ り 、 競 合 が 発 生 する 原 因 と な り ます。 <strong>Vivado</strong> ツールは、 こ の よ う な リ ス ク のあ る 状 況 を 回 避 する よ う に<br />

設 計 さ れています。<br />

パーシ ャル リコンフィギュレーション 78<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 6 章 : 7 シリーズおよび Zynq デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

高 速 ト ラ ンシーバーの 使 用<br />

ザ イ リ ン ク ス 高 速 ト ラ ンシーバー (GTP、 GTX、 GTH、 GTZ) は 7 シリーズ デバイ スでは リ コ ン フ ィ ギ ュ レーシ ョ ン<br />

不 可 能 であ り 、 ス タ テ ィ ッ ク ロ ジ ッ ク に 配 置 する 必 要 があ り ます。 ただ し、 ト ラ ンシーバーの 設 定 は 動 作 中 に DRP<br />

ポー ト を 使 用 し てア ッ プデー ト で き ます。 ト ラ ンシーバー 設 定 および DRP アクセスの 詳 細 は、 『7 シリーズ FPGA<br />

GTX/GTH トランシーバー <strong>ユーザー</strong> <strong>ガイド</strong>』 (UG476) [ 参 照 20] および 『7 シリーズ FPGA GTP トランシーバー ユー<br />

ザー <strong>ガイド</strong>』 (UG482) [ 参 照 21] を 参 照 して く ださい。<br />

パーシ ャル リコンフィギュレーション デザイ ン<br />

チェックリスト (7 シリーズ デバイス)<br />

パーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ン を 使 用 する 7 シリーズ FPGA デザイ ンでは、 次 の 事 項 が 推 奨 されます。<br />

クロッキング ネッ トワーク<br />

グローバル クロック バッファー、 リージョナル クロック バッファー、またはクロック 調 整 ブロック (MMCM、 PLL)<br />

を 使 用 していますか。<br />

これらのブロックは、 スタティック ロ ジ ッ ク に 配 置 する 必 要 があ り ます。<br />

詳 細 は、 68 ページの 「 リ コ ン フ ィ ギ ャ ラ ブル モジュール 内 のデザイン エレ メン ト」 を 参 照 して く ださい。 グ<br />

ローバル クロックのインプリメンテーションの 詳 細 は、69 ページの 「グ ローバル ク ロ ッ ク の 規 則 」 を 参 照 して<br />

ください。<br />

コンフィギュレーション 機 能 ブロック<br />

デバイ ス 機 能 ブロ ッ ク (BSCAN、 CAPTURE、 DCIRESET、 FRAME_ECC、 ICAP、 STARTUP、 USR_ACCESS) を 使 用<br />

していますか。<br />

これらの 機 能 ブロックは、 スタティック ロ ジ ッ ク に 配 置 する 必 要 があ り ます。<br />

詳 細 は、 68 ページの 「 リ コ ン フ ィ ギ ャ ラ ブル モジュール 内 のデザイン エレ メン ト」 を 参 照 して く ださい。<br />

高 速 ト ラ ンシーバー ブロック<br />

デザイ ンに 高 速 ト ラ ンシーバーが 含 まれていますか。<br />

高 速 ト ラ ンシーバーは、 ス タ テ ィ ッ ク ロ ジ ッ ク に 配 置 する 必 要 があ り ます。<br />

特 定 の 要 件 は、 79 ページの 「 高 速 ト ラ ンシーバーの 使 用 」 を 参 照 して く ださい。<br />

パーシ ャル リコンフィギュレーション 79<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 6 章 : 7 シリーズおよび Zynq デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

System Generator DSP コア、 HLS コア、 または IP インテグレーター ブロック 図<br />

パーシ ャル リコンフィギュレーション デザイ ンで System Generator DSP コア、 HLS コア、 または IP インテグレー<br />

ター ブ ロ ッ ク 図 を 使 用 し ていますか。<br />

パーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ンの 基 本 的 な 要 件 を 満 た し ていれば、 どの タ イ プの ソ ースで も 使 用 で き ま<br />

す。 System Generator、 HLS、 <strong>Vivado</strong> IP インテグレーターなどのツールで 処 理 されたコードは 最 終 的 に 合 成 され<br />

ます。 結 果 のデザイン チェッ クポイン ト またはネッ ト リ ス ト を RP に 含 めることができるようにするには、 リ<br />

コンフィギャラブル エレ メン ト (CLB、 ブロック RAM、 DSP) のみで 構 成 される よ う にする 必 要 があ り ます。<br />

I/O のリコンフ ィギャラブル パーテ ィ シ ョ ンへの 配 置<br />

リコンフィギャラブル パーテ ィ シ ョ ンに I/O が 含 まれていますか。<br />

I/O はすべて、 ス タ テ ィ ッ ク ロ ジ ッ ク に 配 置 する 必 要 があ り ます。<br />

詳 細 は、 68 ページの 「 リ コ ン フ ィ ギ ャ ラ ブル モジュール 内 のデザイン エレ メン ト」 を 参 照 して く ださい。<br />

ロジックのリコンフ ィギャラブル パーテ ィ シ ョ ンへの 配 置<br />

一 緒 に 配 置 する 必 要 のあ る ロ ジ ッ ク が 同 じ リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンに 配 置 されていますか。<br />

一 緒 に 配 置 する 必 要 のあ る ロ ジ ッ ク は、 同 じ RP および RM に 配 置 する 必 要 があ り ます。<br />

詳 細 は、 59 ページの 「ロ ジ ッ ク のパ ッ ク 」 を 参 照 して く ださい。<br />

クリティカル パスのリ コンフ ィ ギャ ラブル パーテ ィ シ ョ ンへの 配 置<br />

クリティカル パスが 同 じパーティシ ョ ン 内 に 制 約 されていますか。<br />

リコンフィギャラブル パーテ ィ シ ョ ンの 境 界 では 最 適 化 およびパ ッ ク に 制 限 があ る ので、 ク リ テ ィ カル パスは<br />

同 じパーテ ィ シ ョ ン 内 に 制 約 する 必 要 があ り ます。<br />

詳 細 は、 59 ページの 「ロ ジ ッ ク のパ ッ ク 」 を 参 照 して く ださい。<br />

フロアプラン<br />

リコンフィギャラブル パーテ ィ シ ョ ン を 効 率 的 にフ ロ アプ ラ ンで き ますか。<br />

詳 細 は、 71 ページの 「7 シリーズ デバイ スでの Pblock の 作 成 」 を 参 照 して ください。<br />

デカップリング ロジックの 使 用 ( 推 奨 )<br />

RM の 出 力 にデカ ッ プ リ ング ロジックを 作 成 しましたか。<br />

リコンフィギュレーション 中 、RP の 出 力 は 不 定 の 状 態 にな るので、 ス タ テ ィ ッ ク データ が 破 損 する のを 回 避 す<br />

るためデカップリング ロ ジ ッ ク を 使 用 する 必 要 があ り ます。<br />

詳 細 は、 62 ページの 「デカ ッ プ リ ング 機 能 」 を 参 照 して く ださい。<br />

パーシ ャル リコンフィギュレーション 80<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 6 章 : 7 シリーズおよび Zynq デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

リコンフィギュレーション 後 にリセットを 適 用 ( 推 奨 )<br />

リコンフィギュレーション 後 に RAM のロジッ クを リセッ ト していますか。<br />

リ コ ン フ ィ ギ ュ レーシ ョ ン 後 、 新 し い ロ ジ ッ ク が 初 期 値 で 開 始 し ない 場 合 があ り ます。<br />

RESET_AFTER_RECONFIG プ ロパテ ィ を 使 用 し ない 場 合 は、 ローカル リセットを 使 用 して、デカップリングを<br />

解 放 し た と き に ロ ジ ッ ク が 予 測 さ れる 状 態 で 開 始 する よ う にする 必 要 があ り ます。 リ コ ン フ ィ ギ ュ レーシ ョ ン<br />

中 は、 初 期 化 問 題 を 回 避 する ため、 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンへの ク ロ ッ ク およびその 他 の 入 力 も<br />

ディスエーブルになります。 RESET_AFTER_RECONFIG プ ロパテ ィ を 設 定 する こ と も 可 能 です。 こ のオプシ ョ<br />

ンは、 リ コ ン フ ィ ギ ュ レーシ ョ ン 中 、 内 部 信 号 を 一 定 に 保 持 し、 リ コ ン フ ィ ギ ュ レーシ ョ ン さ れた ロ ジ ッ ク に<br />

マスク 付 きのグローバル リセットを 適 用 します。<br />

詳 細 は、 第 3 章 の 「 リ コ ン フ ィ ギ ュ レーシ ョ ン 後 に リ セ ッ ト を 適 用 」 を 参 照 して ください。<br />

ロジック 解 析 ブロックを 使 用 したデバッグ<br />

パーシ ャル リコンフィギュレーションで <strong>Vivado</strong> ロジック 解 析 を 使 用 していますか。<br />

<strong>Vivado</strong> ロジック 解 析 (ILA/VIO デバッ グ コア) はパーシ ャル リコンフィギュレーション デザイ ンで 使 用 でき ます<br />

が、 ス タ テ ィ ッ ク ロジックに 配 置 する 必 要 があり、 スタティ ック デザイ ン 内 での 信 号 のみがプローブされます。<br />

効 率 的 な リ コ ン フ ィ ギャ ブル パーテ ィ シ ョ ン Pblock<br />

デザ イ ンに 対 し て 効 率 的 な リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン Pblock を 作 成 していますか。<br />

RESET_AFTER_RECONFIG プ ロパテ ィ を 使 用 する 場 合 、 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン Pblock の 高 さは<br />

ク ロ ッ ク 領 域 境 界 の 上 辺 および 下 辺 に 揃 え る 必 要 があ り ます。 RESET_AFTER_RECONFIG を 使 用 しない 場 合<br />

は、 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン Pblock の 高 さは 任 意 に 選 択 でき ます。<br />

詳 細 は、 71 ページの 「7 シリーズ デバイ スでの Pblock の 作 成 」 を 参 照 して ください。<br />

コンフィギュレーションの 検 証<br />

コ ン フ ィ ギ ュ レーシ ョ ン 間 の 一 貫 性 はどの よ う に 検 証 し ますか。<br />

pr_verify コマンドを 使 用 して、 すべてのコンフ ィギュレーシ ョ ンのインポート される リ ソースに 一 致 している<br />

ことを 確 認 できます。<br />

詳 細 は、 第 3 章 の 「コ ン フ ィ ギ ュ レーシ ョ ンの 検 証 」 を 参 照 して く ださい。<br />

コンフィギュレーションの 要 件<br />

デザイ ンおよびデバイ スにおけ るパーシ ャル リ コ ンフ ィ ギ ュ レーシ ョ ンに 特 定 のコ ンフ ィ ギ ュ レーシ ョ ン 要 件 があ<br />

る こ と を 理 解 し ていますか。<br />

各 デバイ ス フ ァ ミ リ に 特 定 のコ ン フ ィ ギ ュ レーシ ョ ン 要 件 および 考 慮 事 項 があ り ます。<br />

詳 細 は、 第 8 章 「デバイスのコンフィギュレーション」を 参 照 して く ださい。<br />

パーシ ャル リコンフィギュレーション 81<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 6 章 : 7 シリーズおよび Zynq デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

効 率 的 な Pblock に 関 する 推 奨 事 項<br />

RP Pblock がデバイスの 中 央 のクロック 列 またはコンフィギュレーショ ン 列 をまたいでいますか。<br />

7 シリーズ デバイ スの 隣 接 INIT タイル 要 件 と CONTAIN_ROUTING 要 件 のため、Pblock がデバイ ス 上 の これらの<br />

特 別 ブ ロ ッ ク にまたが る と 、 配 線 が 非 常 に 困 難 にな る か、 配 線 不 可 能 にな り ます。 で き る かぎ り 、 RP Pblock が<br />

これらのエリアにまたがらないよ うにしてください。<br />

隣 接 要 件 の 詳 細 は、 71 ページの 「 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンの 自 動 調 整 」 および 74 ページの 「 リ<br />

コンフィギャブル パーテ ィ シ ョ ン Pblock の 手 動 作 成 」 を 参 照 して く ださい。<br />

パーシ ャル リコンフィギュレーション 82<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 7 章<br />

UltraScale および UltraScale+ デバイスでの 設<br />

計 に 関 する 考 慮 事 項 と ガ イ ド ラ イ ン<br />

概 要<br />

この 章 では、 UltraScale および UltraScale+ デバイ スに 特 定 のパーシ ャル リコンフィギュレーション デザイ ン 要<br />

件 について 説 明 し ます。<br />

ザイ リ ンクス デバイ スのパーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ン 機 能 を 使 用 する には、 デザ イ ン 仕 様 を 注 意 深 く 解 析<br />

し、 PR デザ イ ンの 要 件 、 特 性 、 制 限 を 考 慮 する 必 要 があ り ます。 こ れに よ り 、 設 計 およびデバ ッ グ プロセスが 簡 潔<br />

にな り 、 デザ イ ンが 不 正 に 動 作 する リ ス ク を 回 避 で き ます。<br />

リコンフィギャラブル モジ ュール 内 のデザイ ン エレメ<br />

ント<br />

UltraScale および UltraScale+ デバイ スでは、 ほぼすべてのコ ンポーネン ト タイプをパーシャル リコンフィギュレー<br />

ション 可 能 です。<br />

リコンフィギャラブル モジュールには、 次 のロ ジ ッ ク を 配 置 でき ます。<br />

• FPGA の CLB スライスにマップされているすべてのロジック コンポーネント: LUT (ルックアップ テーブル)、<br />

FF (フリ ップフロップ)、 SRL (シフ ト レジスタ)、 RAM、 ROM など<br />

• ブロック RAM (BRAM) および FIFO: RAMB18E2、 RAMB36E2、 FIFO18E2、 FIFO36E2<br />

• DSP ブロッ ク: DSP48E2<br />

• PCIe ® (PCI Express)、 CMAC (100G MAC)、 および ILKN (Interlaken MAC) ブロック<br />

• SYSMON (XADC およびシステム モニター )<br />

• ク ロ ッ クおよびク ロ ッ ク 調 整 ロジッ ク: BUFG、 BUFGCE、 BUFGMUX、 MMCM、 PLL などのコンポーネン ト<br />

• I/O および I/O 関 連 の コ ンポーネン ト : ISERDES、 OSERDES、 IDELAYCTRL など<br />

• シリアル トランシーバー (MGT) および 関 連 の コ ンポーネン ト<br />

パーシ ャル リコンフィギュレーション 83<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 7 章 : UltraScale および UltraScale+ デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

コンフィギュレーション コ ンポーネン ト のみをデザイ ンのス タ テ ィ ッ ク 部 分 に 配 置 する 必 要 があ り ます。 それらの<br />

コンポーネントは、 次 のとおりです。<br />

• BSCAN<br />

• CFG_IO_ACCESS<br />

• DCIRESET<br />

• DNA_PORT<br />

• EFUSE_USR<br />

• FRAME_ECC<br />

• ICAP<br />

• MASTER_JTAG<br />

• STARTUP<br />

• USR_ACCESS<br />

UltraScale および UltraScale+ デバイスでの Pblock の 作 成<br />

UltraScale アーキテ ク チャでは、 リ コ ン フ ィ ギ ュ レーシ ョ ン 可 能 な 最 小 ユニ ッ ト が 以 前 のアーキテ ク チャ よ り も 小 さ<br />

く なっています。 リ コ ン フ ィ ギ ュ レーシ ョ ンに 必 要 な 最 小 リ ソースは リ ソース タイプによって 異 なり、 プログラマ<br />

ブル ユニッ ト (PU) と 呼 ばれます。UltraScale アーキテクチャでは 隣 接 のサイ ト と 配 線 リ ソース (インターコネク ト タ<br />

イル) が 共 有 されるので、 PU はペアで 定 義 さ れます。<br />

サイ ト タ イ プに 基 づ く リ コ ン フ ィ ギ ュ レーシ ョ ン 可 能 な 最 小 PU の 例 を 示 し ます。<br />

• CLB PU: 隣 接 する 2 つの CLB、 および 共 有 イ ン ターコ ネ ク ト<br />

• ブロック RAM PU: 1 つの BRAM/FIFO、 隣 接 する 5 つの CLB、 および 共 有 イ ン ターコ ネ ク ト<br />

• DSP PU: 1 つの DSP、 隣 接 する 5 つの CLB、 および 共 有 イ ン ターコ ネ ク ト<br />

• IOB PU: ク ロ ッ ク 領 域 の 全 高 さ と 同 じ I/O で、 BITSLICE_CONTROL、 BITSLICE_RX_TX、 BITSLICE_TX、<br />

BUFGCE、 BUFGCE_DIV、 BUFGCTRL、 IOB、 MMCME3_ADV、 PLLE3_ADV、 PLL_SELECT_SITE、 RIU_OR<br />

などが 含 まれます。 近 接 の CLB は 60 個 で、 イ ン ターコ ネ ク ト が 共 有 さ れています。<br />

パーシ ャル リコンフィギュレーション 84<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 7 章 : UltraScale および UltraScale+ デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

Pblock の PU の 自 動 調 整<br />

UltraScale および UltraScale+ デバイ スでは、 RESET_AFTER_RECONFIG 機 能 を 使 用 する 場 合 に Pblock の 高 さ 要 件 は<br />

ありません。 そのため、 この 機 能 は 常 に ON になってお り 、 満 たす 必 要 のあ る 特 定 の 要 件 はあ り ません。 ただ し、<br />

Pblock が 最 小 PU サイズの 規 則 に 違 反 しないよ う にするため、 SNAPPING_MODE プロパティ も 常 にオンになってお<br />

り、 Pblock が PR に 有 効 な も のにな る よ う 自 動 的 に 調 整 さ れます。<br />

図 7-1 および 86 ページの 図 7-2 に、 SNAPPING_MODE によ り Pblock が PU に 合 わせてどのよ う に 調 整 されるかを 示<br />

します。 図 7-1 では、 元 の 矩 形 は 大 きいですが、 選 択 されたタイルのみが RP Pblock に 含 まれます。 上 のブロ ッ ク<br />

RAM および DSP サイ トは、 Pblock に 完 全 に 含 まれていないので、 含 まれません。 関 連 の CLB サイ ト も、 PU の 規 則<br />

に 基 づき、 含 まれません。 左 辺 と 右 辺 のどち らの Pblock に 含 まれない CLB サ イ ト があ り ますが、 こ れは 隣 接 する<br />

CLB が 元 の 矩 形 に 含 まれないからです。<br />

X-Ref Target - Figure 7-1<br />

図 7-1: SNAPPING_MODE の 例 - UltraScale<br />

パーシ ャル リコンフィギュレーション 85<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 7 章 : UltraScale および UltraScale+ デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

SNAPPING_MODE によ り 上 記 の Pblock が RP に 有 効 な ものにな り ますが、 これらのサイ ト すべてを 含 めるつも り で<br />

あ る 場 合 も あ り ます。 元 の Pblock 矩 形 を 少 し 調 整 する こ と に よ り 、 SNAPPING_MODE で PR 領 域 に 含 め る 予 定 のサ<br />

イトが 除 外 されないようにすることができます。 図 7-2 では、 Pblock が 左 、 右 、 上 方 向 に 1 CLB 分 ずつ 拡 張 さ れて<br />

います。 RP Pblock に 含 まれるハイ ラ イ ト さ れてい る タ イルは、 元 の 矩 形 に 一 致 し ます。<br />

X-Ref Target - Figure 7-2<br />

図 7-2: PU に 揃 えられた Pblock<br />

注 記 : 図 7-1 および 図 7-2 は、 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンの Pblock に 対 し て <strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> ツールで<br />

自 動 的 に 作 成 さ れるハイ ラ イ ト ス ク リ プ ト を 使 用 し て 作 成 さ れています。 デバ ッ グ ま たは 検 証 用 に こ れ ら の 図 を 再<br />

現 する には、 次 の 手 順 に 従 います。<br />

1. リコンフィギャラブル パーテ ィ シ ョ ン を 作 成 し、 調 整 し ます。 Pblock には、 HD.RECONFIGURABLE プロパティ<br />

を 設 定 する 必 要 があ り ます。<br />

2. source コマンドを 使 用 して <strong>Vivado</strong> で 生 成 されたハイ ラ イ ト ス ク リ プ ト を 実 行 し ます。<br />

source ./hd_visual/_AllTiles.tcl<br />

注 記 : hd_visual ディレク ト リのスク リプトは、 Pblock 制 約 が 処 理 される と きに 更 新 されます。 つま り 、 Pblock を<br />

含 むデザ イ ン を 開 いた り 、 開 いてい るデザ イ ンで Pblock を 作 成 ま たは 修 正 する と き などに、 更 新 さ れます。<br />

重 要 : UltraScale アーキテクチャ デバイ スでは SNAPPING_MODE プロパティは 常 に ON に 設 定 さ れています。 こ れを<br />

変 更 し た り OFF にしないでください。 SNAPPING_MODE は、 SSI デバイ スの IOB や Laguna などの 特 定 のタイプのロ<br />

ジ ッ ク に 対 し ては 特 別 な 処 理 を 実 行 し ます。 こ れら の タ イ プの ロ ジ ッ ク に 対 し ては、 すべての 必 要 な リ ソ ース を 含<br />

めるため、 SNAPPING_MODE によ り PR Pblock が 拡 大 されます。<br />

パーシ ャル リコンフィギュレーション 86<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 7 章 : UltraScale および UltraScale+ デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

RP とスタティ ック ロジックでのコンフ ィギュレーショ ン フレームの<br />

共 有<br />

UltraScale および UltraScale+ デバイ スの Pblock ではフレーム ( ク ロ ッ ク 領 域 の 高 さ) に 揃 え る 必 要 はあ り ませんが、<br />

パーシ ャル リコンフィギュレーションではコンフィギュレーション フ レーム 全 体 がプ ロ グ ラ ム さ れます。 つま り 、<br />

RP 外 にあ る ロ ジ ッ ク が 上 書 き される と い う こ と です。 これによ り PR で 問 題 が 発 生 する こ と はあ り ませんが、 以 前<br />

のアーキテクチャでは リ コンフ ィ ギャ ラブル ロジックと 同 じフレームに 配 置 できるスタティ ック ロジックのタイプ<br />

に 制 限 があ り ま し た。<br />

UltraScale および UltraScale+ デバイ スでは、 どのス タ テ ィ ッ ク ロジックでも、 RP Pblock に 含 まれないサイ ト であれ<br />

ば、 RM と 同 じコンフィギュレーション フ レームに 配 置 で き ます。 こ れには、 ブ ロ ッ ク RAM、 DSP、 および LUT<br />

RAM が 含 まれます。 ただし、 コ ン フ ィ ギ ュ レーシ ョ ン フレームごとに 1 つの RP しか 含 めることができないという<br />

制 限 があ り ます。 つま り 、 同 じ ク ロ ッ ク 領 域 に 2 つの RP を 縦 に 並 べる こ とはできません。<br />

CONTAIN_ROUTING エ リ アの 拡 張<br />

<strong>Vivado</strong> 2016.3 よ り、UltraScale デバイ スの RP Pblock の 配 線 要 件 は、 配 線 およびタ イ ミ ング 結 果 を 改 善 で き る よ う に<br />

緩 和 さ れています。 配 線 を Pblock 内 の リ ソースに 厳 密 に 限 定 する 代 わ り に、 配 線 フ ッ ト プ リ ン ト が 拡 張 さ れていま<br />

す。 このフットプリントには、Pblock に 属 する と は 限 ら ない Pblock の 境 界 内 の リ ソース と Pblock 矩 形 外 の リ ソース<br />

が 含 まれ、 <strong>ユーザー</strong>が 定 義 し た Pblock 境 界 外 の 配 線 リ ソース を 使 用 する ネ ッ ト が 表 示 さ れる よ う にな り ます。 ま た、<br />

Pblock 外 にパーテ ィ シ ョ ン ピ ン を 配 置 させる こ と も 可 能 です。 ただ し、 それら のパーテ ィ シ ョ ン ピンまたは 含 まれ<br />

るネットは、 拡 張 された 配 線 フットプリント 内 にあります。<br />

拡 張 配 線 フ ッ ト プ リ ン ト は、 hd_visual Tcl スクリプトの 1 つを 実 行 する と 可 視 化 で き ます。 こ れら のス ク リ プ ト は、<br />

パーシ ャル リコンフィギュレーション フ ロー 中 に 自 動 的 に 生 成 さ れ、 現 在 の 作 業 デ ィ レ ク ト リ の ./hd_visual サ<br />

ブデ ィ レ ク ト リ にあ り ます。 拡 張 配 線 フ ッ ト プ リ ン ト を 表 示 させる 可 視 化 ス ク リ プ ト は、<br />

./hd_visual/_Routing_AllTiles.tcl と い う 名 前 です。 拡 張 配 線 フ ッ ト プ リ ン ト は、 実 際 に<br />

は 配 線 中 に 決 定 さ れる ので、 こ のフ ァ イルは route_design が 完 了 する まで 使 用 で き ません。 拡 張 配 線 フ ッ ト プ リ ン ト<br />

を 表 示 させる には、 配 線 済 みデザ イ ン を 開 いた 後 に、 Tcl コ ン ソールか ら こ のフ ァ イルを 実 行 し ます。 配 線 に 使 用 で<br />

き る タ イルがすべて 選 択 さ れ、 必 要 に 応 じ てハイ ラ イ ト ま たはマー ク で き ます。 次 の 図 では、 <strong>ユーザー</strong> 定 義 の<br />

Pblock の 配 置 を 青 、 拡 張 配 線 ゾーン を 黄 色 で 示 し ています。<br />

source ./hd_visual/pblock_inst_shift_low_Routing_AllTiles.tcl<br />

パーシ ャル リコンフィギュレーション 87<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


I<br />

第 7 章 : UltraScale および UltraScale+ デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

r<br />

X-Ref Target - Figure 7-3<br />

図 7-3: ハイライ ト された Pblock および 拡 張 配 線 フ ッ ト プ リ ン ト<br />

RM で 使 用 される フレームはすべてパーシ ャル ビッ ト フ ァ イルに 含 めてお く 必 要 があ る ため、 配 線 フ ッ ト プ リ ン ト<br />

を 拡 張 する と 、 パーシ ャル ビッ ト フ ァ イルが 大 き く な り ます。 サイ ズの 拡 大 は、 元 の Pblock のサイズ と 形 に 左 右 さ<br />

れます。 Pblock が 長 方 形 であれば、 通 常 は 左 右 にプ ロ グ ラ マブル ユニッ ト 2 つ 分 拡 張 し ますが、 Pblock が 長 方 形 で<br />

い 場 合 は、 ツールがその 領 域 がな るべ く 長 方 形 に 近 く な る よ う にする ため、 拡 張 範 囲 が 大 き く な る 傾 向 にあ り ます。<br />

こ の 配 線 拡 張 機 能 をオンに し てお く こ と をお 勧 め し ますが、 パーシ ャル ビッ トスト リームのサイズがデザインのパ<br />

フ ォーマン ス よ り も 重 要 な 場 合 は、 次 のパ ラ メ ーターを 設 定 し て こ の 機 能 をオフにする こ と がで き ます。<br />

set_param hd.routingContainmentAreaExpansion false<br />

重 要 : 拡 張 配 線 フ ッ ト プ リ ン ト は、 7 シリーズおよび UltraScale+ デバイ スではサポー ト されていません。 UltraScale+<br />

デバイ スに 関 し ては、 今 後 の <strong>Vivado</strong> リリースでサポートする 予 定 です。<br />

パーシ ャル リコンフィギュレーション 88<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 7 章 : UltraScale および UltraScale+ デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

RP 内 のク ロ ッ クのフ ロアプ ラ ン 規 則<br />

UltraScale では、 BUFG_*、 PLL、 および MMCM など、 RP 内 のク ロ ッ キング リ ソースがサポー ト さ れます。 こ の 機<br />

能 を 使 用 するデザ イ ンは、 「グローバル ク ロ ッ ク の 規 則 」 で 説 明 さ れる 一 般 的 なデザ イ ン 制 限 と 次 のフ ロ アプ ラ ン 規<br />

則 に 従 う 必 要 があ り ます。 こ れら の 規 則 は、 RP 内 のク ロ ッ ク が RP Pblock に 属 する フ レーム 内 の 必 要 な 配 線 リ ソー<br />

スに 到 達 する よ う にする ため 必 要 です。<br />

1. で き る だけ 長 方 形 の Pblock を 作 成 し ます。 Pblock が 複 数 の 長 方 形 で 形 成 さ れる 場 合 、 Pblock の 一 番 高 い 列 が<br />

clock_region に 揃 え ら れてい る 必 要 があ り ます。<br />

2. 内 部 RM クロックの CLOCK_ROOT プロパティは、 Pblock の 一 番 高 い 列 の 1 つ と し て 設 定 する 必 要 があ り ます。<br />

ツールは CLOCK_ROOT に 対 して 正 しい 列 を 選 択 しよ う と 試 みますが、 選 択 できない 場 合 もあ り ます。<br />

a. CLOCK_ROOT は、 ク ロ ッ ク ネッ トに USER_CLOCK_ROOT プ ロパテ ィ が 存 在 する 場 合 は 自 動 的 に 選 択 さ<br />

れません。 USER_CLOCK_ROOT プロパティが Pblock の 完 全 な 高 さ でない 列 に 設 定 さ れてい る 場 合 、 配 線<br />

で き ない 接 続 が 出 る 可 能 性 があ り ます。<br />

b. BUFG_GT の 構 成 によ っては、 CLOCK_ROOT が BUFG_GT と 同 じ 領 域 に 存 在 する こ と が 必 須 条 件 であ る こ<br />

とがあります。 USER_CLOCK_ROOT プロパティが Pblock の 一 番 高 い 列 以 外 の 列 に 設 定 さ れてい る 場 合 、<br />

配 線 で き ない 接 続 が 出 る 可 能 性 があ り ます。 こ れを 回 避 する には、 その ク ロ ッ ク ネッ トを 2 つの<br />

BUFG_GT (1 つは<strong>ユーザー</strong> ロジック 用 、 も う 1 つはダ イ レ ク ト GT 接 続 用 ) に 分 割 し てみる と、 各 ク ロ ッ ク<br />

に 独 自 の CLOCK_ROOT ができ ます。<br />

図 7-4 に 示 すよ う に、 CLOCK_ROOT が X2Y2 (Pblock の 左 上 ) 領 域 に 定 義 さ れてい る と 、 ク ロ ッ ク で X2Y1 領 域<br />

を 使 用 できないため、 X3Y1 (Pblock の 右 下 ) 領 域 のロード に 配 線 でき ません。 その 反 対 に、 CLOCK_ROOT が<br />

X3Y2 または X3Y1 のいずれかに 定 義 さ れる 場 合 は、 ク ロ ッ ク 配 線 の 制 限 は 何 も 適 用 さ れません。<br />

X-Ref Target - Figure 7-4<br />

図 7-4: L 型 Pblock の CLOCK_ROOT 制 限<br />

3. CLOCK_ROOT が 一 番 高 い 列 に 設 定 で き ない 場 合 、 RP 領 域 内 の 入 れ 子 になった Pblock を 使 用 して、 そのク ロ ッ<br />

クのロードをクロックによ りアクセス 可 能 な 領 域 に 含 めることができます。 入 れ 子 になった Pblock がある と、<br />

不 規 則 な 形 の Pblock になるので、 クロックでアクセスできない 領 域 にロードが 配 置 されなくなります。<br />

パーシ ャル リコンフィギュレーション 89<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 7 章 : UltraScale および UltraScale+ デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

4. 図 7-5 に 示 すよ う に、 clock_region 全 体 にま たが る 大 き なギ ャ ッ プが 作 成 さ れる よ う な U 型 または H 型 の<br />

Pblock は 作 成 し ないで く だ さ い。<br />

X-Ref Target - Figure 7-5<br />

図 7-5: clock_region にギャ ッ プが 作 成 される Pblock (サポー ト な し)<br />

図 7-6 に 示 すよ う に、 PR Pblock の 行 に IOB 1 列 分 などの 小 さ なギ ャ ッ プがあ る のはかまいませんが、 こ う し た<br />

ギャップはできるだけ 避 けてください。 RM 配 線 がこれらのギ ャ ッ プを 越 え る 必 要 があ るため、 配 線 の 密 集 の 原<br />

因 となります。<br />

X-Ref Target - Figure 7-6<br />

図 7-6: 小 さいギャップがある Pblock (サポー ト あ り)<br />

パーシ ャル リコンフィギュレーション 90<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 7 章 : UltraScale および UltraScale+ デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

図 7-7 に 示 すよ う な 小 さ な 階 段 型 の Pblock が 必 要 にな る こ と も あ り ます。 このよ う な う Pblock はサポー ト され<br />

ますが、 角 の 周 辺 で 配 線 が 密 集 する 可 能 性 があ り ます。<br />

X-Ref Target - Figure 7-7<br />

図 7-7: 階 段 型 Pblock (サポー ト あ り)<br />

5. クロッキング リソースを 含 む PR Pblock は、 その 他 の RP と ク ロ ッ ク 領 域 の 一 部 を 共 有 する こ と はで き ません。<br />

スタティック ロ ジ ッ ク を 含 む ク ロ ッ ク 領 域 は 共 有 で き ます。<br />

グローバル クロックの 規 則<br />

UltraScale よ り 前 のアーキテクチャと 同 様 に、 リコンフィギャラブル パーテ ィ シ ョ ン を 駆 動 するすべての ク ロ ッ ク<br />

は、 サイ ト が RP に 含 まれる 各 ク ロ ッ ク 領 域 にあ ら か じ め 配 線 さ れます。 こ れは、 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ<br />

シ ョ ンのサイズにかかわらず、 リ コンフ ィ ギャ ラブル パーテ ィ シ ョ ン を 駆 動 する グ ローバル クロックの 総 数 は 最 大<br />

24 である とい う こ とです。 ク ロ ッ ク ソースが RM 内 にあ る 場 合 は、 これらのク ロ ッ ク ソースを 各 クロッ ク 領 域 にあ<br />

ら か じ め 配 線 する 必 要 はないので、 ク ロ ッ ク 使 用 率 を 高 め る こ と がで き ます。 そのため、 RP の Pblock のサイズおよ<br />

び 形 状 に 注 意 する こ と が 重 要 です。 7 シリーズ デバイ スでは ク ロ ッ ク 領 域 ご と に 使 用 可 能 なグ ローバル クロックは<br />

12 個 でし たが、 UltraScale アーキテクチャでは 24 個 です。<br />

注 記 : BUFGCTRL コンポーネントの 場 合 は、 RESET_AFTER_RECONFIG がイネーブルになっていても、 パーシャル<br />

リコンフィギュレーション 中 に PRESELECT_I0 および PRESELECT_I1 プロパティが 無 視 されます。 選 択 したク<br />

ロック ソースは、 BUFGCTRL インスタンスのセレク トおよびクロック イネーブル 入 力 のみに 左 右 されます。<br />

現 在 の と こ ろ、 RM でモジ ュールの ク ロ ッ ク 出 力 を 駆 動 する こ と はで き ません。 ス タ テ ィ ッ ク 領 域 で 作 成 さ れた ク<br />

ロックは RP の 入 力 ピ ンを 駆 動 でき ますが、 RM 内 で 作 成 された ク ロ ッ クは RM 内 のロ ジ ッ ク のみを 駆 動 でき ます。<br />

ただし、 ク ロ ッ ク ネッ トで RP の 出 力 ピ ン を 駆 動 する こ と はで き ません。 こ の 状 況 が 検 出 さ れる と 、 DRC エラーが<br />

表 示 さ れます。<br />

パーシ ャル リコンフィギュレーション 91<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 7 章 : UltraScale および UltraScale+ デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

I/O 規 則<br />

UltraScale および UltraScale+ デバイ スでは、 I/O ロジックとバッファーを RP に 含 める こ と ができ ます。 I/O は 1 つの<br />

RM から 別 の RM に 変 更 でき ますが、 い く つかの 規 則 に 従 う 必 要 があ り ます。<br />

I/O サ イ ト を 使 用 するすべての コ ン フ ィ ギ ュ レーシ ョ ン 間 で、 次 のチェ ッ ク が 実 行 さ れます。 I/O サイ トが 使 用 から<br />

未 使 用 にまたは 未 使 用 か ら 使 用 に 変 更 さ れた 場 合 、 こ れら のチェ ッ ク はそれら の コ ン フ ィ ギ ュ レーシ ョ ンに 対 し て<br />

は 実 行 されません。<br />

• I/O が 使 用 される と きは 常 に、 I/O の 方 向 および 規 格 は、 すべての RM で 同 じ にする 必 要 があ り ます。<br />

• DCI_CASCADE では、 RM 間 の メ ンバー バンクの 割 り 当 てをオーバーラ ップさせる こ とはできません。<br />

° 有 効 な 例 : コンフィギュレーション 1 では DCI_CASCADE にバン ク 12、 13 が 含 まれ、 コ ンフ ィ ギ ュ レー<br />

ション 2 では DCI_CASCADE にバン ク 14、 15 および 16 が 含 まれる 場 合 。 こ の 場 合 、 オーバーラ ッ プする<br />

バンクはあ り ません。<br />

° 有 効 な 例 : コンフィギュレーション 1 では DCI_CASCADE にバン ク 12 および 13 が 含 まれ、 コ ンフ ィ ギ ュ<br />

レーシ ョ ン 2 では DCI_CASCADE にバン ク 13、 14、 15 および 16 が 含 まれる 場 合 。 こ の 場 合 、 バン ク 13 が<br />

オーバーラ ッ プし ています。<br />

• DCI_CASCADE では、 メ ンバー バン ク を リ コ ン フ ィ ギ ャ ラ ブル 領 域 に 完 全 に 制 約 する 必 要 があ り ます。 同 じ<br />

DCI_CASCADE のすべての メ ンバー バンクを 同 じ RP Pblock ま たは 完 全 にス タ テ ィ ッ ク 領 域 に 配 置 する 必 要 があ<br />

ります。<br />

1 つのコ ンフ ィ ギ ュ レーシ ョ ンから 別 のコ ンフ ィ ギ ュ レーシ ョ ンでの IOB の 変 更 は、 上 記 の 規 則 に 制 限 さ れます。<br />

これは、 次 の I/O 特 性 がパーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ンで 変 更 さ れる 可 能 性 を 示 し ています。<br />

• 使 用 状 況 (I/O ご と の 使 用 済 み vs 未 使 用 )<br />

• 駆 動 電 流 (12mA、 8mA など)<br />

• ド ラ イバー 出 力 イ ン ピーダン ス (40Ω、 48Ω など)<br />

• ド ラ イバー 入 力 イ ン ピーダン ス (40Ω、 48Ω など)<br />

• ドライバー スルー レー ト (slow、 fast など)<br />

• ODT 終 端 (40、 60 など)<br />

ただし、 I/O サイ ト を RP に 追 加 する 場 合 、 PU 全 体 (I/O バンク、 BITSLICE、 MMCM、 PLL、 および CLB の 1 つの 列<br />

と 共 通 のインターコネク ト を 含 む) を 含 め る 必 要 があ り ます。 こ の 基 本 的 な 領 域 のすべてのコ ンポーネン ト は リ コ ン<br />

フ ィ ギ ュ レーシ ョ ンおよび 再 初 期 化 さ れる ので、 こ れら のほかのサ イ ト タ イ プを リ コ ン フ ィ ギ ャ ラ ブル 領 域 に 含 め<br />

る と 便 利 です。 その 理 由 は 次 の と お り です。<br />

• I/O サ イ ト を 追 加 する と 、 その I/O の 配 線 リ ソ-ス を 使 用 で き る よ う にな り 、 密 集 を 緩 和 で き ます。 I/O サイ ト<br />

がス タ テ ィ ッ ク 領 域 に 配 置 さ れてい る と 、 密 集 が 悪 化 し、 リ コ ン フ ィ ギ ャ ラ ブル 領 域 にギ ャ ッ プがで き る 可 能<br />

性 があ り ます。<br />

• MMCM や PLL などのほかのクロッ ク リ ソ ースの リ コ ン フ ィ ギ ュ レーシ ョ ンが 可 能 にな り ます。<br />

• BITSLICE や BITSLICE_CONTROL などのほかの I/O ロジック サイ トのリ コンフィギュレーショ ンが 可 能 になり<br />

ます。<br />

パーシ ャル リコンフィギュレーション 92<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 7 章 : UltraScale および UltraScale+ デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

リコンフィギュレーション 中 に I/O の 使 用 や 特 性 が 変 更 さ れて も さ れな く て も、 バン ク 全 体 が リ コ ン フ ィ ギ ュ レー<br />

ションされます。 リコンフィギュレーション 中 は RP Pblock によ り 定 義 されるバンクに 含 まれるすべての I/O が 専 用<br />

グローバル トライステート (GTS) 信 号 に よ り 保 持 さ れます。 こ の 信 号 は、 リ コ ン フ ィ ギ ュ レーシ ョ ンの 最 後 に 解 放<br />

されます。<br />

リコンフィギャラブル モジュールに MMCM または PLL コンポーネントが 含 まれている 場 合 、 これらのコンポーネ<br />

ントのロック サイ クルが 待 機 な しの 状 態 に 設 定 される と 、 パーシ ャル ビッ トスト リームのサイズが 最 小 になりま<br />

す。 同 様 に、 IO と DCI の 一 致 要 件 は、 ロ ッ ク サイクルが 待 機 なしに 設 定 されると、 最 小 のビッ ト ス ト リーム サイズ<br />

になり ます。 これらのオプシ ョ ンは 次 のコマンドを 使 用 して 設 定 します。<br />

set_property BITSTREAM.STARTUP.LCK_CYCLE NoWait [current_design]<br />

set_property BITSTREAM.STARTUP.MATCH_CYCLE NoWait [current_design]<br />

パーシ ャル リコンフィギュレーション フ ロー 中 、 RM は update_design -black_box を 使 用 する と 区 分 け さ れ<br />

ます。 このコマンドでは、 PACKAGE_PIN および IOSTANDARD などのエンベデッ ド IO バ ッ フ ァーおよびそれに 関 連<br />

する 制 約 がすべて 削 除 さ れます。 ブ ラ ッ ク ボックス RP に 新 しい RM が 配 置 された ら、 これらの IOB 制 約 をデザ イ<br />

ンに 適 用 し 直 す 必 要 があ り ます。<br />

高 速 ト ラ ンシーバーの 使 用<br />

ザ イ リ ン ク ス 高 速 ト ラ ンシーバー (GTH、 GTY) は、 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン 内 でサポー ト されます。<br />

ほかの リ コンフ ィ ギャ ラブル サイ ト タイプと 同 様 に、PU 全 体 を 含 め る 必 要 があ り ます。 UltraScale GT トランシー<br />

バーの PU には、 次 のものが 含 まれます。<br />

• 4 つの GT_CHANNEL サイ ト (GT クワッド)<br />

• 関 連 の GT_COMMON サイ ト<br />

• 関 連 の BUFG_GT_SYNC サイ ト<br />

• 関 連 の BUFG_GT サイ ト<br />

• 関 連 の イ ン ターコ ネ ク ト および CLB サイ ト<br />

必 要 な GT PU は、 ク ロ ッ ク 領 域 の 高 さ 全 体 です。 以 前 のアーキテ ク チャ と 同 様 に、 GT コンポーネントをスタティ ッ<br />

ク ロ ジ ッ ク に 配 置 し、 その 機 能 を DPR を 使 用 し て 変 更 する こ と も 可 能 です。 UltraScale および UltraScale+ トラン<br />

シーバーの 使 用 に 関 する 詳 細 は、 『UltraScale アーキテクチャ GTH トランシーバー <strong>ユーザー</strong> <strong>ガイド</strong>』 (UG576) [ 参<br />

照 24] および『UltraScale アーキテクチャ GTY トランシーバー <strong>ユーザー</strong> <strong>ガイド</strong>』 (UG578) [ 参 照 25] のを 参 照 して くだ<br />

さい。<br />

パーシ ャル リコンフィギュレーション 93<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 7 章 : UltraScale および UltraScale+ デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

UltraScale および UltraScale+ デバイス デザイ ンでのパー<br />

シャル リコンフィギュレーション チェックリスト<br />

パーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ン を 使 用 する UltraScale および UltraScale+ デバイ ス デザイ ンでは、 次 の 事 項 が<br />

推 奨 さ れます。<br />

クロッキング ネッ トワーク<br />

グローバル クロック バッファーまたはクロック 調 整 ブロック (MMCM、 PLL) を 使 用 していますか。<br />

これらのブロ ッ クは リ コンフ ィ ギュレーシ ョ ン 可 能 ですが、 このフレーム タイプのすべてのエレメントをリコ<br />

ン フ ィ ギ ュ レーシ ョ ンする 必 要 があ り ます。 こ れには、 I/O バン ク 全 体 、 その 共 有 領 域 のすべての ク ロ ッ ク エレ<br />

メン ト、 およびインターコネク ト を 共 有 する CLB の 1 つの 列 が 含 まれます。<br />

詳 細 は、 83 ページの 「 リ コ ン フ ィ ギ ャ ラ ブル モジュール 内 のデザイン エレ メン ト」 を 参 照 して く ださい。 グ<br />

ローバル クロックのインプリメンテーションの 詳 細 は、91 ページの 「グ ローバル ク ロ ッ ク の 規 則 」 を 参 照 して<br />

ください。<br />

さ ら に、 現 在 の と こ ろ、 <strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> の DRC に よ り 次 の 制 限 が 適 用 さ れます。 BUFGCTRL、 BUFG_CE、<br />

BUFG_GT のクロッキング リ ソースの 使 用 はサポー ト されていますが、 次 の 制 限 があ り ます。<br />

° 長 方 形 の Pblock を 使 用 する こ と をお 勧 め し ます。 ク ロ ッ ク ロジックを 含 む RP では 長 方 形 以 外 の 形 状 も サ<br />

ポー ト されますが、 Pblock の 最 も 高 い 列 が ク ロ ッ ク 領 域 の 高 さおよび 幅 に 揃 っている こ と が 条 件 です。 ま<br />

た、 RP Pblock の 一 番 高 い 列 が IOB を 含 み、 こ の 範 囲 に RP Pblock を 定 義 する 長 方 形 すべての 高 さ が 含 まれ<br />

てい る こ と も 必 須 条 件 です ( 図 7-8 を 参 照 )。 つまり、IOB 範 囲 の 縦 の 列 が Pblock のすべての 行 にア ク セス<br />

で き る こ と が 必 要 だ と い う こ と です。 横 に し た L 型 の Pblock は、 形 状 の 縦 の 部 分 に IOB 範 囲 が 含 まれる 場<br />

合 を 除 き、 サポー ト されません。<br />

X-Ref Target - Figure 7-8<br />

図 7-8: Pblock の 最 も 高 い 列 がクロッ ク 領 域 に 揃 っている 例<br />

° ギ ャ ッ プは、 範 囲 に 含 まれているサイ ト が 両 側 にあ る 範 囲 に 含 まれていないサイ ト タイプとして 定 義 され<br />

パーシ ャル リコンフィギュレーション 94<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 7 章 : UltraScale および UltraScale+ デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

ます。 次 のギャ ップは 許 容 されません。<br />

- IOB/XIPHY 範 囲 内 のギ ャ ッ プ ( 図 7-9 に 示 す IOB 列 のギ ャ ッ プなど)<br />

X-Ref Target - Figure 7-9<br />

図 7-9: サポー ト されない IOB 列 内 のギャ ッ プ<br />

- DSP 範 囲 のギ ャ ッ プ<br />

° 次 の 場 合 、 2 つの RP Pblock で ク ロ ッ ク 領 域 の 形 状 を 指 定 する こ と はで き ません。<br />

- 少 な く と も どちらかの Pblock にグローバル クロック ソースが 含 まれる。<br />

- もう 1 つの Pblock がグローバル クロック ソースの 範 囲 を 指 定 する。<br />

° SSI テクノロジ デバイ スでは、 次 の 条 件 がすべて 満 た さ れる 場 合 、 SLR の 角 にあ る ク ロ ッ ク 領 域 を RP 領 域<br />

に 含 める こ と はでき ません。<br />

- Pblock が 1 つの SLR に 含 まれる。<br />

- RM にクロック ロジック (MMCM、 PLL、 BUFGCTRL、、 BUFG_CE、 または BUFG_GT) が 含 まれる。<br />

これらの 規 則 は、 次 の DRC チェッ クによ り 確 認 されます。<br />

DRC<br />

HDPR-57<br />

HDPR-58<br />

HDPR-59<br />

HDPR-60<br />

HDPR-61<br />

HDPR-62<br />

説 明<br />

グローバル クロック リ ソ ース を 使 用 する リ コ ン フ ィ ギ ャ ラ ブル Pblock は、 未 使 用 の<br />

LAGUNA サ イ ト を 含 ま ない ク ロ ッ ク 領 域 列 を 共 有 する 必 要 があ る。<br />

グローバル クロック リ ソ ース を 使 用 する リ コ ン フ ィ ギ ャ ラ ブル Pblock は、<br />

CONFIG_SITES を 含 ま ない ク ロ ッ ク 領 域 列 を 共 有 する 必 要 があ る。<br />

クロック ネッ ト ルール 違 反<br />

グローバル クロック リ ソ ース を 使 用 する リ コ ン フ ィ ギ ャ ラ ブル Pblock は、 連 続 する ク<br />

ロ ッ ク 領 域 を 含 む 必 要 があ る。<br />

グローバル クロック リ ソ ース を 使 用 する リ コ ン フ ィ ギ ャ ラ ブル Pblock は、 ク ロ ッ ク 領 域<br />

行 をスキップできない。<br />

グローバル クロック リ ソ ース を 使 用 する リ コ ン フ ィ ギ ャ ラ ブル Pblock は、 ク ロ ッ ク 領 域<br />

列 を 共 有 する 必 要 があ る。<br />

パーシ ャル リコンフィギュレーション 95<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 7 章 : UltraScale および UltraScale+ デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

DRC<br />

HDPR-63<br />

HDPR-64<br />

HDPR-65<br />

説 明<br />

グローバル クロック リ ソ ース を 使 用 する リ コ ン フ ィ ギ ャ ラ ブル Pblock は、エ ッ ジ DSP 列<br />

を 通 過 し て 水 平 方 向 スパイ ン を 完 全 に 含 む 必 要 があ る。<br />

グローバル クロック リ ソ ース を 使 用 する リ コ ン フ ィ ギ ャ ラ ブル Pblock は、XIPHY タイル<br />

を 通 過 し て 水 平 方 向 スパイ ン を 完 全 に 含 む 必 要 があ る。<br />

グローバル クロック リ ソ ース を 使 用 する リ コ ン フ ィ ギ ャ ラ ブル Pblock は、 ク ロ ッ ク 領 域<br />

を 共 有 する こ と はで き ない。<br />

コンフィギュレーション 機 能 ブロック<br />

デバイ ス 機 能 ブロ ッ ク (BSCAN、 DCIRESET、 FRAME_ECC、 ICAP、 STARTUP、 USR_ACCESS) を 使 用 していますか。<br />

これらの 機 能 ブロックは、 スタティック ロ ジ ッ ク に 配 置 する 必 要 があ り ます。<br />

詳 細 は、 83 ページの 「 リ コ ン フ ィ ギ ャ ラ ブル モジュール 内 のデザイン エレ メン ト」 を 参 照 して く ださい。<br />

Pblock 境 界<br />

Pblock 境 界 は 設 定 し ま し たか。<br />

UltraScale および UltraScale+ デバイ スの 場 合 、 PR 領 域 の X 軸 境 界 を CLB、 ブロック RAM、 DSP などを 含 む PU<br />

で 設 定 でき ます。 Pblock は 有 効 な 配 置 にな る よ う に <strong>Vivado</strong> で 自 動 的 に 調 整 さ れます。 PR 領 域 の Y 軸 境 界 は、<br />

ク ロ ッ ク 領 域 および IO バンクにする こ とができますが、 BUFGCTRL/BUFG_CE/BUFG_GT が RP で 使 用 される<br />

場 合 は、 フル ク ロ ッ ク 領 域 を 使 用 する 必 要 があ り ます。<br />

SSI テクノロジ<br />

Pblock は SSI デバイ スの SLR をまたぎますか。<br />

SSI デバイ ス を 使 用 し ている 場 合 は、 PR 領 域 を 1 つの SLR 内 に 収 める こ と を 推 奨 し ます。 ただし、 UltraScale お<br />

よび UltraScale+ デバイ スでは、 PR Pblock が 1 つの SLR を ま た ぐ 必 要 があ る 場 合 、 こ の 境 界 を 越 えて 配 線 で き る<br />

よ う 必 要 な Laguna サイ ト を 含 める 必 要 があ り ます。 これには、 SLR 境 界 の 両 側 の PR 領 域 に、 少 な く と も 1 つ<br />

の ク ロ ッ ク 領 域 全 体 が 含 まれてい る 必 要 があ り ます。 Laguna リソースの 範 囲 が 決 まっていれば、<br />

SNAPPING_MODE に よ り 、 必 要 なサ イ ト を 含 め る ために 自 動 的 に Pblock が 拡 張 されます。 PR Pblock を 定 義 する<br />

ときに、 このサイト タ イ プが 選 択 さ れてい る こ と を 確 認 し て く だ さ い。<br />

SSI テクノロジ デバイ スおよび Laguna の 詳 細 は、 『UltraScale アーキテクチャ コンフィギャラブル ロジック ブ<br />

ロック <strong>ユーザー</strong> <strong>ガイド</strong>』 (UG574) [ 参 照 30] の 「スタッ ク ド シリコン インターコネク ト (SSI) テクノロジを 使 用<br />

するデバイス」 セクシ ョ ンを 参 照 してください。<br />

高 速 ト ラ ンシーバー ブロック<br />

デザイ ンに 高 速 ト ラ ンシーバーが 含 まれていますか。<br />

高 速 ト ラ ンシーバーは リ コ ン フ ィ ギ ュ レーシ ョ ン 可 能 です。 すべての コ ンポーネン ト タイプ (GT_CHANNEL、<br />

GT_COMMON、 BUFG_GT) を 含 む ク ワ ッ ド 全 体 を リ コ ン フ ィ ギ ュ レーシ ョ ンする 必 要 があ り ます。<br />

特 定 の 要 件 は、 93 ページの 「 高 速 ト ラ ンシーバーの 使 用 」 を 参 照 して く ださい。<br />

パーシ ャル リコンフィギュレーション 96<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 7 章 : UltraScale および UltraScale+ デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

System Generator DSP コア、 HLS コア、 または IP インテグレーター ブロック 図<br />

パーシ ャル リコンフィギュレーション デザイ ンで System Generator DSP コア、 HLS コア、 または IP インテグレー<br />

ター ブ ロ ッ ク 図 を 使 用 し ていますか。<br />

パーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ンの 基 本 的 な 要 件 を 満 た し ていれば、 どの タ イ プの ソ ースで も 使 用 で き ま<br />

す。 System Generator、 HLS、 IP イ ンテグ レーターなどのツールで 処 理 さ れた コー ド は 最 終 的 に 合 成 さ れます。<br />

結 果 のデザ イ ン チェッ クポイン ト またはネッ ト リ ス ト を RP に 含 めることができるようにするには、 リコン<br />

フィギャラブル エレ メ ン ト のみで 構 成 さ れる よ う にする 必 要 があ り ます。<br />

I/O のリコンフ ィギャラブル パーテ ィ シ ョ ンへの 配 置<br />

リコンフィギャラブル パーテ ィ シ ョ ンに I/O が 含 まれていますか。<br />

I/O をパーシャル リコンフィギュレーションできます。I/O バンク 全 体 を、 すべての I/O ロジック (XiPhy) および<br />

クロック リソースと 共 にリコンフィギュレーションする 必 要 があります。IOSTANDARD および 方 向 は 変 更 で<br />

きず、 DCI カスケード 規 則 に 従 う 必 要 があ り ますが、 その 他 の IO 特 性 は RM から 次 の RM に 変 更 でき ます。<br />

詳 細 は、 83 ページの 「 リ コ ン フ ィ ギ ャ ラ ブル モジュール 内 のデザイン エレ メン ト」 を 参 照 して く ださい。<br />

ロジックのリコンフ ィギャラブル パーテ ィ シ ョ ンへの 配 置<br />

一 緒 に 配 置 する 必 要 のあ る ロ ジ ッ ク が 同 じ リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンに 配 置 されていますか。<br />

一 緒 に 配 置 する 必 要 のあ る ロ ジ ッ ク は、 同 じ RP および RM に 配 置 する 必 要 があ り ます。<br />

詳 細 は、 59 ページの 「ロ ジ ッ ク のパ ッ ク 」 を 参 照 して く ださい。<br />

クリティカル パスのリ コンフ ィ ギャ ラブル パーテ ィ シ ョ ンへの 配 置<br />

クリティカル パスが 同 じパーティシ ョ ン 内 に 制 約 されていますか。<br />

リコンフィギャラブル パーテ ィ シ ョ ンの 境 界 では 最 適 化 およびパ ッ ク に 制 限 があ る ので、 ク リ テ ィ カル パスは<br />

同 じパーテ ィ シ ョ ン 内 に 制 約 する 必 要 があ り ます。<br />

詳 細 は、 59 ページの 「ロ ジ ッ ク のパ ッ ク 」 を 参 照 して く ださい。<br />

フロアプラン<br />

リコンフィギャラブル パーテ ィ シ ョ ン を 効 率 的 にフ ロ アプ ラ ンで き ますか。<br />

詳 細 は、 84 ページの 「UltraScale および UltraScale+ デバイ スでの Pblock の 作 成 」 を 参 照 して く ださい。<br />

デカップリング ロジックの 使 用 ( 推 奨 )<br />

RM の 出 力 にデカ ッ プ リ ング ロジックを 作 成 しましたか。<br />

リコンフィギュレーション 中 、RP の 出 力 は 不 定 の 状 態 にな るので、 ス タ テ ィ ッ ク データ が 破 損 する のを 回 避 す<br />

るためデカップリング ロ ジ ッ ク を 使 用 する 必 要 があ り ます。<br />

詳 細 は、 62 ページの 「デカ ッ プ リ ング 機 能 」 を 参 照 して く ださい。<br />

パーシ ャル リコンフィギュレーション 97<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 7 章 : UltraScale および UltraScale+ デバイ スでの 設 計 に 関 する 考 慮 事 項 と ガイ ド ラ イ ン<br />

リコンフィギュレーション 後 にリセットを 適 用 ( 推 奨 )<br />

リコンフィギュレーション 後 に RAM のロジッ クを リセッ ト していますか。<br />

リコンフィギュレーション 後 にリセットは、UltraScale および UltraScale+ デバイ スでは 常 にイネーブルになって<br />

います。<br />

詳 細 は、 34 ページの 「 リ コ ン フ ィ ギ ュ レーシ ョ ン 後 に リ セ ッ ト を 適 用 」 を 参 照 して く ださい。<br />

ロジック 解 析 ブロックを 使 用 したデバッグ<br />

パーシ ャル リコンフィギュレーションで <strong>Vivado</strong> ロジック 解 析 を 使 用 していますか。<br />

<strong>Vivado</strong> ロジック 解 析 (ILA/VIO デバッ グ コア) はパーシ ャル リコンフィギュレーション デザイ ンで 使 用 でき ます<br />

が、 ス タ テ ィ ッ ク ロジックに 配 置 する 必 要 があり、 スタティ ック デザイ ン 内 での 信 号 のみがプローブされます。<br />

効 率 的 な リ コ ン フ ィ ギャ ブル パーテ ィ シ ョ ン Pblock<br />

デザ イ ンに 対 し て 効 率 的 な リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン Pblock を 作 成 していますか。<br />

リコンフィギャラブル パーテ ィ シ ョ ン Pblock の 高 さ に 制 限 はあ り ませんが、 複 数 の リ コ ン フ ィ ギ ャ ラ ブル パー<br />

ティションを 1 つのク ロ ッ ク 領 域 内 で 縦 に 並 べる こ と はでき ません。<br />

詳 細 は、 84 ページの 「UltraScale および UltraScale+ デバイ スでの Pblock の 作 成 」 を 参 照 して く ださい。<br />

コンフィギュレーションの 検 証<br />

コ ン フ ィ ギ ュ レーシ ョ ン 間 の 一 貫 性 はどの よ う に 検 証 し ますか。<br />

pr_verify コマンドを 使 用 して、 すべてのコンフィギュレーショ ンのインポート される リ ソースに 一 致 してい<br />

る こ と を 確 認 で き ます。<br />

詳 細 は、 第 3 章 の 「コ ン フ ィ ギ ュ レーシ ョ ンの 検 証 」 を 参 照 して く ださい。<br />

コンフィギュレーションの 要 件<br />

デザイ ンおよびデバイ スにおけ るパーシ ャル リ コ ンフ ィ ギ ュ レーシ ョ ンに 特 定 のコ ンフ ィ ギ ュ レーシ ョ ン 要 件 があ<br />

る こ と を 理 解 し ていますか。<br />

各 デバイ ス フ ァ ミ リ に 特 定 のコ ン フ ィ ギ ュ レーシ ョ ン 要 件 および 考 慮 事 項 があ り ます。<br />

詳 細 は、 第 8 章 「デバイスのコンフィギュレーション」を 参 照 して く ださい。<br />

パーシ ャル リコンフィギュレーション 98<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 8 章<br />

デバイスのコ ン フ ィ ギュ レーシ ョ ン<br />

概 要<br />

この 章 では、 デバイスをパーシャル BIT ファイルでコンフィギュレーションする 際 のシステム デザイ ンに 関 する 考<br />

慮 事 項 と 、 パーシ ャル リ コ ンフ ィ ギュ レーシ ョ ンに 便 利 な FPGA のアーキテ ク チ ャ 機 能 について 説 明 し ます。 パー<br />

シャル リコンフィギュレーションはほとんどの 点 で 標 準 のコンフィギュレーションと 同 じなので、 このセクション<br />

では PR 特 定 の 詳 細 についてのみ 説 明 し ます。<br />

コンフィギュレーション モード<br />

パーシ ャル リコンフィギュレーションでは、 次 のコンフィギュレーション モード がサポー ト されています。<br />

• ICAP: <strong>ユーザー</strong> コンフィギュレーション ソ リューションに 適 したモードです。ICAP コント ローラーと ICAP イ<br />

ン ターフ ェ イ ス を 作 成 する 必 要 があ り ます。<br />

• MCAP: (UltraScale および UltraScale+ デバイ スのみ) デバイ スに 含 まれる 特 定 の 1 つの PCIe ® ブロッ クから ICAP<br />

に 専 用 接 続 を 提 供 し ます。<br />

• PCAP: Zynq-7000 AP SoC デザ イ ンの 主 な コ ン フ ィ ギ ュ レーシ ョ ン 方 法 です。<br />

• JTAG: テス ト またはデバッグを 実 行 するのに 適 したインターフェイスです。 <strong>Vivado</strong> ロ ジ ッ ク 解 析 で 駆 動 可 能<br />

です。<br />

• スレーブ SelectMAP またはスレーブ シリアル: 同 じインターフェイスでフル コンフィギュレーションおよび<br />

パーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ン を 実 行 する のに 適 し たモー ド です。<br />

マスター モード は、 IPROG によ り コンフィギュレーショ ン メモリがクリアされるので、 直 接 サポート されていま<br />

せん。<br />

パーシ ャル リコンフィギュレーション 99<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 8 章 : デバイスのコ ン フ ィ ギュ レーシ ョ ン<br />

表 8-1: サポートされるコンフィギュレーション ポー ト<br />

コンフィギュレーション<br />

モード<br />

パーシ ャル BIT フ ァ イルを 読 み 込 むのに SelectMAP またはシリアル モー ド を 使 用 する には、 こ れら のピ ン を 初 期 デ<br />

バイス コ ンフ ィ ギ ュ レーシ ョ ン 後 に 使 用 でき る よ う 予 約 する 必 要 があ り ます。 これには<br />

BITSTREAM.CONFIG.PERSIST プ ロパテ ィ を 使 用 し て 多 目 的 I/O を コ ン フ ィ ギ ュ レーシ ョ ンで 使 用 する よ う 保 持<br />

し、コンフィギュレーション 幅 を 設 定 します。 詳 細 は、『<strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> <strong>ユーザー</strong> <strong>ガイド</strong>: プログラムおよびデ<br />

バッグ』 (UG908) [ 参 照 27] の こ のセ ク シ ョ ン を 参 照 し て く だ さ い。 こ のプ ロパテ ィ を 設 定 する Tcl コマンドは 次 のよ<br />

うになります。<br />

set_property BITSTREAM.CONFIG.PERSIST [current_design]<br />

には、 No または Yes を 入 力 します。<br />

7 シリーズ Zynq UltraScale UltraScale+<br />

Zynq UltraScale<br />

MPSoC<br />

JTAG あり あり あり あり あり<br />

ICAP あり あり あり あり 該 当 なし<br />

PCAP 該 当 な し あ り 該 当 な し 該 当 な し あ り<br />

MCAP 該 当 な し 該 当 な し あ り あ り 該 当 な し<br />

スレーブ シリアル あり 該 当 なし あり あり 該 当 なし<br />

スレーブ SelectMap あり 該 当 なし あり あり 該 当 なし<br />

SPI (どの 幅 でも) a<br />

なし 該 当 なし なし なし 該 当 なし<br />

BPI sync モード a なし 該 当 なし なし なし 該 当 なし<br />

BPI async モード あり 該 当 なし あり あり 該 当 なし<br />

マスター モード なし 該 当 なし なし なし 該 当 なし<br />

a. パーシ ャル ビッ トスト リームの 格 納 には SPI および BPI フ ラ ッ シ ュ を 使 用 で き ますが、 パーシ ャル ビットスト リームをコン<br />

フィギュレーション エンジンに 送 信 するために STARTUP プ リ ミ テ ィ ブは 使 用 でき ません。 ス タ テ ィ ッ ク デザイ ンの 場 合 は、<br />

<strong>ユーザー</strong> IO を 介 し て フ ラ ッ シ ュに 接 続 する 必 要 があ り 、 コ ン ト ローラーを 使 用 する と 、 ビ ッ ト ス ト リ ーム を フ ェ ッ チ し て、<br />

ICAP に 送 信 でき ます。<br />

パーシ ャル ビットスト リームには、 パーシャル リ コンフ ィ ギュ レーシ ョ ンに 必 要 なコンフ ィ ギュ レーシ ョ ン コマン<br />

ド およびデータ がすべて 含 まれています。 パーシ ャル ビッ トスト リームにコンフィギュレーション フレームのアド<br />

レ ス 指 定 情 報 が 含 まれてい る ので、 パーシ ャル ビッ トスト リームを FPGA に 読 み 込 む 際 に RM の 物 理 位 置 を 指 定 す<br />

る 必 要 はあ り ません。 有 効 なパーシャル ビッ トスト リームが FPGA の 間 違 った 場 所 に 送 信 される こ と はあ り ません。<br />

パーシ ャル リコンフィギュレーション コント ローラーにより メモリからパーシャル ビッ トスト リームが 取 り 出 さ<br />

れ、 コ ン フ ィ ギ ュ レーシ ョ ン ポー ト に 配 布 されます。 パーシャル リ コ ン フ ィ ギ ュ レーシ ョ ン 制 御 ロ ジ ッ ク は、 外 部<br />

デバイ ス (プロセッサなど) またはリ コンフィギュレーショ ンする FPGA のプログ ラマブル ロジックに 配 置 できます。<br />

<strong>ユーザー</strong>が 設 計 し た 内 部 PR コント ローラーにより、 ICAP インターフェイスを 介 してパーシャル ビットスト リーム<br />

が 読 み 込 まれます。 ス タ テ ィ ッ ク デザ イ ンのほかの ロ ジ ッ ク と 同 様 、 内 部 パーシ ャル リコンフィギュレーション 制<br />

御 回 路 は、 パーシ ャル リコンフィギュレーション プ ロ セス 中 、 割 り 込 みな し で 動 作 し ます。<br />

内 部 コ ン フ ィ ギ ュ レーシ ョ ンには、 カ ス タ ム ステート マシンまたは MicroBlaze などのエンベデッ ド プロセッサを<br />

含 める こ と ができ ます。Zynq-7000 AP SoC および MPSoc では、プロセッサ サブシステム (PS) を 使 用 してパーシャル<br />

リコンフィギュレーション イベン ト を 制 御 できます。<br />

注 記 : Zynq-7000 AP SoC デバイ スでは、 プロ グ ラ マブル ロジック (PL) はパーシ ャル リコンフィギュレーションでき<br />

ますが、 プロセッシング システムはできません。<br />

パーシ ャル リコンフィギュレーション 100<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 8 章 : デバイスのコ ン フ ィ ギュ レーシ ョ ン<br />

パーシ ャル リコンフィギュレーション デザイ ンおよび PR 制 御 ロ ジ ッ ク をデバ ッ グする ため、 <strong>Vivado</strong> ® ロジック 解<br />

析 を 使 用 し て、 JTAG ポー ト を 介 してフル ビッ トスト リームまたはパーシャル ビッ トスト リームを FPGA に 読 み 込<br />

むこ とができます。<br />

ビッ トスト リームをコンフィギュレーション ポー ト に 読 み 込 む 方 法 の 詳 細 は、 次 の 資 料 の 「コ ン フ ィ ギ ュ レーシ ョ<br />

ン イ ン ターフ ェ イ ス」 の 章 を 参 照 し て く だ さ い。<br />

• 『7 シリーズ FPGA コンフィギュレーション <strong>ユーザー</strong> <strong>ガイド</strong>』 (UG470) [ 参 照 7]<br />

• 『UltraScale アーキテクチャ コンフィギュレーション <strong>ユーザー</strong> <strong>ガイド</strong>』 (UG570) [ 参 照 8]<br />

• 『Zynq-7000 All Programmable SoC テクニカル リファレンス マニュアル』 (UG585) [ 参 照 9]<br />

ビットストリーム タ イ プの 定 義<br />

ザイ リ ンクス デバイ スでパーシ ャル リ コンフ ィ ギュ レーシ ョ ン 用 にデバイ スをコンパイルする 場 合 、 異 なる タ イプ<br />

のビ ッ ト ス ト リ ームが 作 成 さ れます。 こ のセ ク シ ョ ンでは、 7 シリーズおよび UltraScale デバイ ス 用 のビ ッ ト ス ト<br />

リ ームの 各 タ イ プを 詳 し く 説 明 し、 その 用 語 を 定 義 し ます。 ビ ッ ト ス ト リ ームの タ イ プには 次 の も のがあ り ます。<br />

• 「フル コンフィギュレーション ビッ トスト リーム」<br />

• 「パーシ ャル ビッ トスト リーム」<br />

• 「ブラ ンキング ビッ トスト リーム」<br />

• 「ク リア ビットスト リーム」<br />

フル コンフィギュレーション ビットストリーム<br />

PR デザイ ンはすべて、 フル コンフィギュレーション ビッ トスト リームを 使 用 して、 フル デバイ スの 標 準 コ ン フ ィ<br />

ギ ュ レーシ ョ ンか ら 始 めます。 フ ォーマ ッ ト および 構 造 はフ ラ ッ ト デザイ ン ソ リューションと 同 じで、FPGA の 初<br />

期 プロ グ ラ ムにこのビ ッ ト ス ト リ ームを どのよ う に 使 用 でき るかにおいて も 違 いはあ り ません。 ただし、 完 全 なプ<br />

ログラムが 実 行 された 後 、 デバイスのパーシャル リ コ ン フ ィ ギ ュ レーシ ョ ンの 準 備 のために、 デザイ ン 自 体 が 処 理<br />

さ れてい る 点 に 注 意 し て く だ さ い。 暗 号 化 や 圧 縮 などの 標 準 機 能 はすべてサポー ト さ れています。<br />

ブラック ボックスとして 設 定 されている リ コンフィギャラブル パーテ ィ シ ョ ン (RP) がサポー ト されているため、 機<br />

能 のない リ コ ンフ ィ ギャ ラブル モジュール (RM) を 初 回 コ ン フ ィ ギ ュ レーシ ョ ンの 一 部 と し て 配 布 で き ます。 こ れ<br />

は 後 で 必 要 な RM に 置 き 換 え る こ と がで き ます。 ビ ッ ト ス ト リ ーム 圧 縮 は こ の 場 合 効 果 的 で、 ビ ッ ト ス ト リ ームの<br />

サ イ ズおよび 初 回 コ ン フ ィ ギ ュ レーシ ョ ンにかか る 時 間 を 削 減 で き ます。<br />

フル BIT ファイルのダウンロード<br />

デジタル システムの FPGA は、 パワーオン リセット 後 に、 直 接 PROM から、 またはマイクロプロセッサによ り 汎 用<br />

メモリ 空 間 からフル BIT ファイルをダウンロードすることによりコンフィギュレーションします。 フル BIT ファイ<br />

ルには、 FPGA を リ セッ ト し、 完 全 なデザイ ンでコ ンフ ィ ギュ レーシ ョ ン し、 BIT フ ァ イルが 破 損 していないこ と を<br />

検 証 する のに 必 要 な 情 報 がすべて 含 まれています。 次 の 図 は こ のプ ロ セス を 説 明 し ています。<br />

パーシ ャル リコンフィギュレーション 101<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 8 章 : デバイスのコ ン フ ィ ギュ レーシ ョ ン<br />

X-Ref Target - Figure 8-1<br />

図 8-1: フル BIT ファイルでのコンフィギュレーション<br />

初 期 コ ン フ ィ ギ ュ レーシ ョ ンが 完 了 し、 検 証 さ れた ら、 FPGA は<strong>ユーザー</strong> モード にな り 、 ダウ ン ロード されたデザ<br />

インが 動 作 し 始 めます。 BIT フ ァ イルが 破 損 し てい る こ と が 検 出 さ れた 場 合 は、 DONE 信 号 はアサー ト さ れず、<br />

FPGA は<strong>ユーザー</strong> モード にな る こ と はないので、 破 損 し たデザイ ンが 動 作 し 始 める こ と はあ り ません。<br />

パーシ ャル ビットストリーム<br />

パーシ ャル ビ ッ ト ス ト リ ームは、 あ ら か じ め 定 義 さ れてい るデバイ ス 領 域 の 機 能 を 置 き 換 え る ため、 標 準 デバイ ス<br />

操 作 中 に 配 布 さ れます。 こ れら のビ ッ ト ス ト リ ームの 構 造 はフル ビッ トスト リームのものと 同 じですが、 デバイス<br />

の 特 定 エ リ アをプロ グ ラ ムするため 特 定 ア ド レ ス セッ トに 限 定 されています。 フレームごとの CRC チェッ ク (ビッ<br />

トストリーム インテグリティのため) や 自 動 初 期 化 ( 領 域 が 既 知 のステー ト で 始 ま る よ う にするため) などの 専 用 PR<br />

機 能 が 利 用 で き、 ま た 暗 号 化 や 圧 縮 などのフル ビ ッ ト ス ト リ ーム 機 能 も 利 用 で き ます。<br />

パーシ ャル ビ ッ ト ス ト リ ームのサ イ ズは、 リ コ ン フ ィ ギ ュ レー ト し てい る 領 域 のサ イ ズに 直 接 比 例 し ています。 た<br />

とえば、 リコンフィギャラブル パーテ ィ シ ョ ンがデバイ ス リソースの 20% を 占 めてい る 場 合 、 パーシ ャル ビッ ト<br />

ストリームはフル ビッ トスト リームの 約 20% にな り ます。<br />

パーシ ャル ビ ッ ト ス ト リ ームは 完 全 自 己 完 結 型 であ る ため、 適 切 な コ ン フ ィ ギ ュ レーシ ョ ン ポー ト に 配 布 さ れま<br />

す。 フル コンフィギュレーション ビ ッ ト ス ト リ ームの 場 合 と 同 じ よ う に、 ア ド レ ス 指 定 、 ヘッ ダー、 フ ッ ターのす<br />

べての 詳 細 が これらのビ ッ ト ス ト リ ームに 含 まれています。 パーシ ャル ビッ トスト リームは、 JTAG やスレーブ シ<br />

リアル、 スレーブ SelectMap な どの 外 部 非 コ ン フ ィ ギ ュ レーシ ョ ン モード で FPGA に 配 布 さ れます。 初 回 コ ン フ ィ<br />

ギュレーション アクセスには、ICAP (すべてのデバイ ス)、 PCAP (Zynq-7000 AP SoC デバイ ス)、 および MCAP (PCIe<br />

を 介 した UltraScale および UltraScale+ デバイ ス) が 含 まれます。<br />

パーシ ャル ビッ トスト リームは、 write_bitstream が PR コ ン フ ィ ギ ュ レーシ ョ ンで 実 行 される と 、 自 動 的 に 作 成<br />

されます。 各 パーシャル ビ ッ ト ス ト リ ームのフ ァ イル 名 は、 最 上 位 デザ イ ン 名 に リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ<br />

ションの Pblock 名 と _partial が 追 加 された ものにな り ます。 た と えば、 フル デザイ ン BIT ファイル<br />

top_first.bit の 場 合 、 パーシ ャル BIT ファイルの 名 前 は top_first_pblock_red_partial.bit にな り ます。<br />

推 奨 : Pblock インスタンス 内 に 含 まれている RM に 関 係 な く Pblock インスタンスは 常 に 同 じなので、わかりやすい 基<br />

本 コンフ ィ ギュレーシ ョ ン 名 を 使 用 するか、 またはどのモジュールなのかを 明 確 にするためパーシャル BIT ファイ<br />

ルの 名 前 を 変 更 する こ と を 推 奨 し ます。<br />

パーシ ャル リコンフィギュレーション 102<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 8 章 : デバイスのコ ン フ ィ ギュ レーシ ョ ン<br />

パーシャル BIT ファイルのダウンロード<br />

パーシ ャル リコンフィギュレーションされる FPGA は、 パーシ ャル BIT フ ァ イルの 読 み 込 み 中 、 <strong>ユーザー</strong> モード で<br />

す。 こ れに よ り 、 リ コ ン フ ィ ギ ャ ラ ブル 部 分 が 変 更 さ れてい る 間 、 FPGA ロジックのリ コンフィギュレーションされ<br />

ない 部 分 は 動 作 し 続 け る こ と がで き ます。 図 8-2 にこのプロセスを 示 します。<br />

X-Ref Target - Figure 8-2<br />

図 8-2: パーシ ャル BIT ファイルでのコンフィギュレーション<br />

パーシ ャル BIT フ ァ イルには 簡 略 化 さ れたヘ ッ ダーが 含 まれてお り 、 FPGA を<strong>ユーザー</strong> モード にする ス ター ト ア ッ<br />

プ シーケンスはあ り ません。 BIT ファイルには、 デフォルト 設 定 ではフレーム アドレスとコンフィギュレーション<br />

データ、 および 最 終 チェ ッ ク サム 値 がのみが 含 まれます。 必 要 に 応 じ て、 ビ ッ ト ス ト リ ームの イ ンテグ リ テ ィ<br />

チェ ッ ク 用 に 追 加 の CRC チェッ クを 挿 入 できます。<br />

リ コ ン フ ィ ギ ュ レーシ ョ ン 後 に リ セ ッ ト を 適 用 する 機 能 を 使 用 し てい る 場 合 、 リ コ ン フ ィ ギ ュ レーシ ョ ンが 開 始 し<br />

たときに DONE ピンが Low にな り、 パーシャル リ コ ン フ ィ ギ ュ レーシ ョ ンが 正 し く 完 了 する と High にな り ます。<br />

パーシ ャル ビ ッ ト ス ト リ ームは、 内 部 で 監 視 する こ と も で き ます。 UltraScale デバイ スでは、 こ の 動 作 は ICAP の<br />

PRDONE 出 力 ピ ンに 反 映 さ れます。<br />

注 記 : UltraScale デバイ スの 場 合 は、 2 つのビ ッ ト ス ト リ ームによ り パーシャル リコンフィギュレーション シーケン<br />

スが 構 成 さ れる ので、 ク リ ア ビッ トスト リームの 始 めに DONE および PRDONE ピンが Low にな り、 パーシャル<br />

ビッ トスト リームの 最 後 まで Low のままになり ます。 DONE/PRDONE ピンはク リア ビットスト リームの 最 後 に<br />

High には 戻 り ません。<br />

リ コ ン フ ィ ギ ュ レーシ ョ ン 後 に リ セ ッ ト を 適 用 する 機 能 を 使 用 し ていない 場 合 、 コ ン フ ィ ギ ュ レーシ ョ ンがいつ 完<br />

了 したかを 知 るためデータを 監 視 する 必 要 があ り ます。 パーシャル BIT ファイルの 最 後 には DESYNCH ワード<br />

(0000000D) があ り、 コンフ ィ ギュレーシ ョ ン エンジンに BIT フ ァ イルの 送 信 が 完 了 し た こ と を 示 し ます。 こ のワー<br />

ドは、 一 連 のパディング NO OP コマン ド の 後 に 挿 入 されてお り 、 DESYNCH に 到 達 し た と きにはすべてのコ ンフ ィ<br />

ギュレーション データ がデバイ ス 全 体 の ターゲ ッ ト フ レームに 既 に 送 信 されている こ と にな り ます。 パーシ ャル<br />

BIT ファイル 全 体 がコンフィギュレーション ポー ト に 送 信 さ れた ら、 リ コ ン フ ィ ギ ュ レーシ ョ ン さ れた 領 域 をア ク<br />

ティブにできます。<br />

パーシ ャル リコンフィギュレーション 103<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 8 章 : デバイスのコ ン フ ィ ギュ レーシ ョ ン<br />

ブランキング ビットストリーム<br />

ブランキング ビ ッ ト ス ト リ ームは、 特 定 タ イ プのパーシ ャル ビッ トスト リームで、 ブラック ボックスを 表 します。<br />

これは 既 存 のリ コンフィギャラブル モジ ュールの 機 能 を 新 し い 機 能 に 置 き 換 えた も ので、 すべての 該 当 モジ ュール<br />

I/O の 接 続 し た LUT か ら 構 成 さ れた 単 純 な も のです。<br />

ブラック ボックスのリコンフィギャラブル モジ ュールを 作 成 する には、 完 全 に 配 置 配 線 さ れたデザ イ ン コンフィ<br />

ギ ュ レーシ ョ ンの 論 理 および 物 理 記 述 を 削 除 し て、 接 続 さ れた LUT に 置 き 換 え ます。 使 用 し てい る メ モ リ にあ る 配<br />

線 済 みコ ン フ ィ ギ ュ レーシ ョ ン (スタティック デザイ ンがロ ッ ク されている 状 態 ) で、 次 のステ ッ プを 実 行 し ます。<br />

update_design -cell -black_box<br />

update_design -cell -buffer_ports<br />

place_design<br />

route_design<br />

デザイ ンに 挿 入 されている LUT を イ ンプ リ メ ン ト する には、 デザ イ ン を 配 置 配 線 する 必 要 があ り ます。 ブ ラ ッ ク<br />

ボックス RM の 出 力 はデフ ォル ト でグ ラ ン ド に 接 続 されていますが、 ポー ト に HD.PARTPIN_TIEOFF を 設 定 する こ<br />

とにより Vcc に 設 定 でき ます。<br />

圧 縮 を 使 用 する と 、 ブ ラ ンキング ビッ トスト リームのサイズを 大 幅 に 削 減 できます。 これらのビッ トスト リームに<br />

は、 接 続 さ れた LUT だけでな く、 FPGA の こ の 領 域 を 通 過 する ス タ テ ィ ッ ク 配 線 も 含 まれています。 ブ ラ ッ ク ボッ<br />

クス バージョンは 別 のコンフィギュレーション チェッ クポイン ト と して 保 存 され、 ブランキング ビッ トスト リーム<br />

は 標 準 パーシ ャル ビ ッ ト ス ト リ ーム と 同 じ 方 法 で 生 成 されて 名 前 が 付 け られます。<br />

<strong>Vivado</strong> ソ フ ト ウ ェ アの 以 前 のバージ ョ ンでは、 グ リ ッ チが 発 生 する 可 能 性 を 回 避 する ため、 7 シリーズと Zynq デバ<br />

イスにブランキング ビ ッ ト ス ト リ ーム を 使 用 する こ と が 推 奨 さ れていま し た。 <strong>Vivado</strong> 2016.1 からは、 各 パーシャル<br />

ビッ ト ス ト リームに 特 定 のブランキング イベン ト を 挿 入 する こ と で、 こ の よ う な まれなグ リ ッ チ 状 況 は 自 動 的 に 回<br />

避 されるよ うになっています。 ブランキング ビッ トスト リームは、 リコンフィギャラブル パーテ ィ シ ョ ンか ら ロ<br />

ジ ッ ク を 削 除 する ためには 使 用 で き ますが、 グ リ ッ チ イベン ト を 回 避 する ために 使 用 する 必 要 はあ り ません。<br />

クリア ビットストリーム<br />

前 述 のビ ッ ト ス ト リ ーム タイプとは 異 なり、 このタイプは UltraScale デバイ ス 専 用 です。 UltraScale+ にはこの 要 件<br />

はあ り ません。 こ のアーキテ ク チャには、 新 し いモジ ュールを 読 み 込 む 前 に 既 存 モジ ュールを 消 去 し なければな ら<br />

ない と い う 要 件 が 新 し く 追 加 さ れています。 ク リ ア ビッ トスト リームは、 リコンフィギュレーションする 領 域 のグ<br />

ローバル 信 号 マス ク を 確 立 する こ と に よ り 、 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンの 次 のパーシ ャル ビッ トスト<br />

リ ーム を 配 布 で き る よ う に し ます。 正 確 には 既 存 のモジ ュールが 削 除 さ れる わけではあ り ませんが ( 論 理 モジ ュール<br />

は 残 っている)、 そ う 考 え る と 理 解 しやすいです。 ク リ ア ビ ッ ト ス ト リ ームが 配 布 さ れない 場 合 、 次 の リ コ ン フ ィ<br />

ギャラブル モジ ュールは 初 期 化 さ れません。<br />

クリア ビットスト リームはパーシャル ビッ トスト リームではありません。 このビッ トスト リームは、 ターゲッ ト 領<br />

域 のフ レームの 10% 未 満 し か 占 めず、 対 応 するパーシ ャル ビッ トスト リームのサイズの 10% 未 満 です。 機 能 は 変 更<br />

し ませんが、 領 域 の ロ ジ ッ ク を 駆 動 する ク ロ ッ ク をシ ャ ッ ト ダ ウ ン し ます。 ク リ ア ビッ トスト リームは、 パーシャ<br />

ル ビ ッ ト ス ト リ ームの 間 に 配 布 する 必 要 があ り 、 でき るだけ 間 を 置 かずに 次 のパーシ ャル ビッ トスト リームを 配 布<br />

する 必 要 があ り ます。<br />

パーシ ャル リコンフィギュレーション 104<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 8 章 : デバイスのコ ン フ ィ ギュ レーシ ョ ン<br />

各 ク リア ビ ッ ト ス ト リ ームは、 特 定 の リ コ ン フ ィ ギ ャ ラ ブル モジ ュール 用 に 作 成 されてお り 、 そのモジ ュールが 使<br />

用 された 後 に 適 用 する 必 要 があ り 、 次 のパーシャル ビッ トスト リームが 配 布 される 直 前 にコンフィギュレーション<br />

エンジンに 送 信 する 必 要 があ り ます。 た と えば、 モジ ュール A からモジュール B に 変 更 する には、 A のク リア ビッ<br />

トストリームを、B のパーシ ャル ビ ッ ト ス ト リ ームが 配 布 さ れる 直 前 に 配 布 する 必 要 があ り ます。 モジ ュール B か<br />

らモジュール A に 戻 すには、 B のク リア ビットスト リームを A のパーシ ャル ビ ッ ト ス ト リ ームが 配 布 さ れる 直 前 に<br />

配 布 する 必 要 があ り ます。 パーシ ャル ビッ トスト リームがブランキング ビ ッ ト ス ト リ ームであ って も、 こ の 規 則 に<br />

従 います。<br />

クリア ビ ッ ト ス ト リ ームは 自 動 的 に 生 成 さ れ、 名 前 はパーシ ャル ビッ トスト リームの 名 前 に _clear が 付 いた もの<br />

にな り ます。 上 記 の 例 の 場 合 、 UltraScale デバイ ス デザイ ンが top_first である とする と、 ク リ ア BIT ファイル 名<br />

は top_first_pblock_red_partial_clear.bit にな り ます。<br />

ICAP を 介 したパーシャル リコンフィギュレーション<br />

(Zynq-7000 デバイス)<br />

Zynq-7000 デバイ スのプロ グ ラ マブル ロジック (PL) の 主 な コ ンフ ィ ギ ュ レーシ ョ ン メカニズムは、PCAP にビッ ト<br />

ス ト リ ーム を 配 布 する プ ロ セ ッ シング システム (PS) を 介 したものです。 これは、 Zynq-7000 デバイ スのみを 対 象 し<br />

てお り 、 Zynq MPSoC は 対 象 外 です。 こ れが、 パーシ ャル リ コ ンフ ィ ギュ レーシ ョ ンの 最 も 簡 単 な メ カニズムです。<br />

ただし、 PL 内 で PR Controller IP またはカスタム デザイ ンのコ ン ト ローラー モジュールを 介 してパーシャル リコン<br />

フ ィ ギ ュ レーシ ョ ン を 完 全 に 管 理 する には、 FPGA デバイ スの 場 合 と 同 様 に、 パーシ ャル ビッ トスト リームも ICAP<br />

に 配 布 でき ます。<br />

PCAP と ICAP を 同 時 に 使 用 する こ と はで き ません。 ICAP と PCAP を 切 り 替 え る こ と は 可 能 ですが、 イ ン ターフ ェ イ<br />

ス を 変 更 する 前 に、 コ マン ド ま たはデータ が 送 信 ま たは 受 信 中 でない こ と を 確 認 する 必 要 があ り ます。 こ の 確 認 を<br />

怠 る と 、 予 期 せぬ 動 作 が 発 生 する 可 能 性 があ り ます。 制 御 レ ジ ス タ (devc.CTRL) のビッ ト 27 (PCAP_PR) は、 PL<br />

リコンフィギュレーションの ICAP または PCAP を 選 択 します。デフォル ト は PCAP (1) ですが、 このコ ンフ ィ ギュ<br />

レーシ ョ ン ポー ト を イネーブルにするため、 ICAP (0) に 変 更 する こ と がで き ます。 ビ ッ ト 28 (PCAP_MODE) も 1<br />

に 設 定 する 必 要 があ り ます (1 がデフ ォル ト 値 )。 詳 細 は、『Zynq-7000 All Programmable SoC テクニカル リファレンス<br />

マニ ュアル』 (UG585) [ 参 照 9] を 参 照 して く ださい。<br />

パーシ ャル リコンフィギュレーション 105<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 8 章 : デバイスのコ ン フ ィ ギュ レーシ ョ ン<br />

Tandem コンフィギュレーションおよびパーシャル リ<br />

コンフィギュレーション<br />

UltraScale デバイ スには、 デバイ ス 上 にあ る 特 定 の 1 つの PCIe ブロックからコンフィギュレーショ ン エンジンへの<br />

専 用 接 続 であ る MCAP が 導 入 されてお り 、 パーシ ャル ビ ッ ト ス ト リ ーム を 効 率 的 に 配 布 で き ます。 PCIe ブロックを<br />

コンフィギュレーション エンジンに 接 続 する のに 明 示 的 な 配 線 は 必 要 な く 、 リ ソ ース を 大 幅 に 節 約 で き ます。<br />

MCAP は、 パーシ ャル リコンフィギュレーションまたは Tandem コンフィギュレーション 機 能 を 使 用 してザイ リン<br />

クス PCIe IP をカスタマイズすると イネーブルになり ます。 これらの 機 能 は、PCI Express をサポートする 次 の 3 つの<br />

IP コアで 使 用 できます。<br />

• 『UltraScale Architecture Gen3 Integrated Block for PCI Express 製 品 ガ イ ド 』 [ 参 照 15] (PG156)<br />

注 記 : こ の 資 料 には、 主 な Tandem および PR ソリューションが 含 まれます。<br />

• 『AXI Bridge for PCI Express Gen3 Subsystem 製 品 ガ イ ド 』 (PG194) [ 参 照 29]<br />

• 『DMA/Bridge Subsystem for PCI Express 製 品 ガ イ ド 』 (PG195) [ 参 照 32]<br />

• 『UltraScale+ Devices Integrated Block for PCI Express 製 品 ガ イ ド 』 (PG213) [ 参 照 33]<br />

Tandem コンフィギュレーションでは、 IP をイネーブルにする 2 段 階 の 手 法 を 使 用 し て、 PCI Express 仕 様 で 示 さ れる<br />

コ ン フ ィ ギ ュ レーシ ョ ン 時 間 の 要 件 が 満 た さ れます。 次 の 使 用 例 では、 こ の 手 法 がサポー ト さ れています。<br />

• Tandem PROM: フラッシュから 1 つの 2 段 階 ビ ッ ト ス ト リ ーム を 読 み 込 みます。<br />

• Tandem PCIe: フラッシュから 1 段 階 目 のビ ッ ト ス ト リ ーム を 読 み 込 んで、 2 段 階 目 のビ ッ ト ス ト リ ーム を PCIe<br />

リンクを 介 して MCAP へ 送 信 し ます。<br />

• フィールド アップデートを 使 用 した Tandem: Tandem PROM または Tandem PCIe 初 期 コ ン フ ィ ギ ュ レーシ ョ ンの<br />

後 、 PCIe リンクはアクティブのまま、<strong>ユーザー</strong> デザ イ ン 全 体 をア ッ プデー ト し ます。 ア ッ プデー ト 領 域 (フロ<br />

アプラン) およびデザ イ ン 構 造 は 前 も って 定 義 さ れています。 Tcl ス ク リ プ ト は 提 供 さ れています。<br />

• Tandem + パーシ ャル リコンフィギュレーション: これはよ り 一 般 的 な 例 で、 Tandem コンフィギュレーションの<br />

後 にパーシャル リコンフィギュレーション (PR) (あらゆるサイズまたは 数 の PR 領 域 ) を 実 行 します。<br />

• PCIe を 使 用 したパーシャル リコンフィギュレーション: PCIe/MCAP をパーシャル ビッ トスト リームの 配 信 パス<br />

と して 使 用 して、 標 準 コ ンフ ィ ギュ レーシ ョ ンの 後 PR を 実 行 します。<br />

Tandem とパーシャル リコンフィギュレーションのソリューションには、その 他 の 要 件 があります。 このアプローチ<br />

には、 HD.TANDEM_IP_PBLOCK の Pblock とデザインの HD.RECONFIGURABLE 部 分 が 重 な ら ないよ う にする 必 要 が<br />

あります。 標 準 PR デザ イ ンなど、 それ 以 外 の 場 合 、 どの 数 ま たはサ イ ズの リ コ ン フ ィ ギ ュ レーシ ョ ン パーテ ィ<br />

ションでも 定 義 できます。<br />

パーシ ャル リコンフィギュレーション 106<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 8 章 : デバイスのコ ン フ ィ ギュ レーシ ョ ン<br />

こ れ ら の 機 能 を イ ネーブルにする には、 コ アをカ ス タ マ イ ズする 際 に 適 切 なオプシ ョ ン を 選 択 し て く だ さ い。<br />

[Basic] タブで 次 を 実 行 します。<br />

1. [Mode] を [Advanced] に 変 更 し ます。<br />

2. [Tandem Configuration or Partial Reconfiguration] オプシ ョ ン を 必 要 に 応 じ て 変 更 し ます。<br />

° [Tandem]: Tandem PROM、 Tandem PCIe、 または Tandem + パーシ ャル リコンフィギュレーションの 場 合<br />

° [Tandem with Field Updates]: 定 義 済 みフ ィ ール ド アップデートの 場 合<br />

° [PR over PCIe]: Tandem コ ン フ ィ ギ ュ レーシ ョ ン を イ ネーブルにせず、 パーシ ャル コンフィギュレーション<br />

用 に MCAP リンクをイネーブルにする 場 合<br />

X-Ref Target - Figure 8-3<br />

X-Ref Target - Figure 8-4<br />

図 8-4: [Basic] タブでのコアのカスタマイズ<br />

ほ と んどの 場 合 、 選 択 する 必 要 のあ る PCIe ブ ロ ッ ク はデバイ スの 最 下 位 イ ン ス タ ン スですが、 3 つの SLR (Super<br />

Logic Region) を 持 つ SSI デバイ スでは 中 央 の SLR の 最 下 位 PCIe インスタンスです。 次 の 表 8-2 は、 各 デバイ スでサ<br />

ポー ト されているブロ ッ ク を 示 しています。 その 他 の PCIe ブロックには、 専 用 MCAP 機 能 はあ り ません。<br />

必 要 な PCIe ブロック ロケーション、 デザイン フ ロー 例 、 要 件 、 制 限 事 項 、 推 奨 事 項 な どの Tandem コンフィギュ<br />

レーシ ョ ンの 詳 細 は、 UltraScale デバイ スの 場 合 は 『UltraScale Architecture Gen3 Integrated Block for PCI Express』<br />

(PG156) [ 参 照 15] の こ の リ ン ク を、 UltraScale+ デバイ スの 場 合 は 『UltraScale+ Devices Integrated Block for PCI Express<br />

製 品 ガ イ ド 』 (PG213) [ 参 照 33] を 参 照 して く ださい。<br />

表 8-2: UltraScale: デバイス 別 の PR をサポート する PCIe ブロックおよびリセッ ト ロケーシ ョ ン<br />

デバイス パッ ケージ PCIe ブロック PCIe リセッ ト ロケーシ ョ ン ステータス<br />

Kintex® UltraScale<br />

XCKU025 PCIE_3_1_X0Y0 IOB_X1Y103 プロダクショ ン<br />

XCKU035 PCIE_3_1_X0Y0 IOB_X1Y103 プロダクショ ン<br />

XCKU040 PCIE_3_1_X0Y0 IOB_X1Y103 プロダクショ ン<br />

XCKU060 PCIE_3_1_X0Y0 IOB_X2Y103 プロダクショ ン<br />

XCKU085 PCIE_3_1_X0Y0 IOB_X2Y103 プロダクショ ン<br />

XCKU095 PCIE_3_1_X0Y0 IOB_X1Y103 プロダクショ ン<br />

XCKU115 PCIE_3_1_X0Y0 IOB_X2Y103 プロダクショ ン<br />

Virtex® UltraScale<br />

XCVU065 PCIE_3_1_X0Y0 IOB_X1Y103 プロダクショ ン<br />

XCVU080 PCIE_3_1_X0Y0 IOB_X1Y103 プロダクショ ン<br />

XCVU095 PCIE_3_1_X0Y0 IOB_X1Y103 プロダクショ ン<br />

パーシ ャル リコンフィギュレーション 107<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 8 章 : デバイスのコ ン フ ィ ギュ レーシ ョ ン<br />

表 8-2: UltraScale: デバイス 別 の PR をサポート する PCIe ブロックおよびリセッ ト ロケーシ ョ ン ( 続 き)<br />

デバイス パッ ケージ PCIe ブロック PCIe リセッ ト ロケーシ ョ ン ステータス<br />

XCVU125 PCIE_3_1_X0Y0 IOB_X1Y103 プロダクショ ン<br />

XCVU160 PCIE_3_1_X0Y1 IOB_X1Y363 プロダクショ ン<br />

XCVU190 PCIE_3_1_X0Y2 IOB_X1Y363 プロダクショ ン<br />

XCVU440 PCIE_3_1_X0Y2 IOB_X1Y363 プロダクショ ン<br />

表 8-3: UltraScale+: デバイス 別 の PR をサポー トする PCIe ブロック ロケーシ ョ ン<br />

デバイス パッ ケージ PCIe ブロック ステータス<br />

Kintex® UltraScale+<br />

KU3P PCIE40E4_X0Y0 現 在 サポー ト な し<br />

KU5P PCIE40E4_X0Y0 現 在 サポー ト な し<br />

KU11P PCIE40E4_X1Y0 現 在 サポー ト な し<br />

KU15P PCIE40E4_X1Y0 ベータ<br />

Virtex® UltraScale+<br />

Zynq MPSoC<br />

VU3P PCIE40E4_X1Y0 ベータ<br />

VU5P PCIE40E4_X1Y0 現 在 サポー ト な し<br />

VU7P PCIE40E4_X1Y0 ベータ<br />

VU9P PCIE40E4_X1Y2 ベータ<br />

VU11P PCIE40E4_X0Y0 現 在 サポー ト な し<br />

VU13P PCIE40E4_X0Y1 ベータ<br />

ZU4EV PCIE40E4_X0Y1 現 在 サポー ト な し<br />

ZU5EV PCIE40E4_X0Y1 現 在 サポー ト な し<br />

ZU7EV PCIE40E4_X0Y1 ベータ<br />

ZU11EG PCIE40E4_X1Y0 現 在 サポー ト な し<br />

ZU17EG PCIE40E4_X1Y0 現 在 サポー ト な し<br />

ZU19EG PCIE40E4_X1Y0 現 在 サポー ト な し<br />

注 記 : この 表 にリ ス ト されていないデバイスのプログラマブル ロジック 部 分 には PCIe サイ トはあり ません。<br />

UltraScale とは 異 なり、 UltraScale+ には PCIe リセット ピ ンへの 専 用 接 続 はあ り ませんが、 バン ク 65 のピ ン を 使 用 す<br />

る こ と を 推 奨 し ます。<br />

MCAP は、 32 ビッ ト データ パスで 200 MHz で 動 作 で き ます。 通 常 、 ビ ッ ト ス ト リ ームはホ ス ト PC から PCI Express<br />

コンフィギュレーション パケッ ト を 介 して MCAP に 読 み 込 まれます。 こ れら のシ ス テムでは、 ホ ス ト PC およびホ<br />

スト PC ソフトウェアが MCAP パフ ォーマン スおよびビ ッ ト ス ト リ ームのスループ ッ ト を 制 限 する 主 な 要 因 です。<br />

特 定 のホ ス ト PC およびホス ト PC ソフトウェアの PCIe パフォーマンスはシステムによって 大 き く 異 なるので、 全 体<br />

的 な MCAP パフォーマンス スループ ッ ト も 大 き く 異 な る 可 能 性 があ り ます。<br />

詳 細 およびサンプル ドライバーは、アンサー レコードの 「UltraScale デバイ スにおけ る Tandem PCIe およびパーシャ<br />

ル リコンフィギュレーションを 使 用 した PCI Express リ ン ク でのビー ト ス ト リ ームの 読 み 込 み」 (ザイ リ ンクス アン<br />

サー 64761) [ 参 照 5] を 参 照 して く ださい。<br />

パーシ ャル リコンフィギュレーション 108<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 8 章 : デバイスのコ ン フ ィ ギュ レーシ ョ ン<br />

内 部 コ ン フ ィ ギュ レーシ ョ ン ポー ト に 配 布 するための<br />

BIN ファイルのフォーマット<br />

パーシ ャル BIT ファイルの 基 本 フォーマッ トはフル BIT ファイルのものと 同 じですが、 パーシャル BIT ファイルは<br />

ターゲッ ト 領 域 のコンフ ィギュレーシ ョ ン フレーム セ ッ ト に 縮 小 さ れてお り 、 ア ク テ ィ ブ デバイ スのイベン ト<br />

セッ トに 制 限 されています。 パーシャル BIT ファイルでは 次 のことが 可 能 です。<br />

• JTAG やスレーブ コンフィギュレーション ポー ト な どの 外 部 イ ン ターフ ェ イ スに 配 布 可 能<br />

• 内 部 コ ン フ ィ ギ ュ レーシ ョ ン ポー ト ICAP (7 シリーズまたは UltraScale デバイ ス)、 PCAP (Zynq デバイ スのみ)<br />

または MCAP (UltraScale デバイ スのみ) に 配 布 する ため BIN ファイルにリフォーマッ ト 可 能<br />

BIN ファイルは write_cfgmem ユーテ ィ リ テ ィ を 使 用 し て 生 成 し ます。 次 の 3 つの 重 要 なオプシ ョ ンがあ り ます。<br />

• このファイル タ イ プを 生 成 する には、 -format を BIN に 設 定 し ます。<br />

• SelectMap 幅 を 選 択 する には -interface を 使 用 し、 PCAP には SMAPx32、 UltraScale ICAP には MCAP を 使 用<br />

します。<br />

° SMAPx16 および SMAPx8 (デフォル ト) は、 7 シリーズ ICAP に も 使 用 で き ます。<br />

° SMAPx8 は 7 シ リ ーズの 暗 号 化 されたパーシャル ビッ トスト リームに 必 要 です。<br />

• PCAP または MCAP をターゲッ トにするには、 -disablebitswap を 使 用 する 必 要 があ り ます。<br />

例<br />

ICAP (7 シリーズ デバイス)<br />

write_cfgmem -format BIN -interface SMAPx8 -loadbit "up 0x0 "<br />

ICAP (UltraScale デバイス)<br />

write_cfgmem -format BIN -interface SMAPx32 -loadbit "up 0x0 "<br />

PCAP (Zynq-7000 AP SoC デバイス) または MCAP (UltraScale デバイスご とに 1 つの PCIe ブロックを<br />

使 用 する 場 合 )<br />

write_cfgmem -format BIN -interface SMAPx32 -disablebitswap -loadbit "up 0x0<br />

"<br />

パーシ ャル リコンフィギュレーション 109<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 8 章 : デバイスのコ ン フ ィ ギュ レーシ ョ ン<br />

UltraScale デバイスでの BIT ファイルのサマリ<br />

このセクショ ンは UltraScale デバイ スにのみ 該 当 し、 7 シリーズ、UltraScale+、 Zynq、 Zynq MPSoC デバイ スは 対 象<br />

外 です。 グ ローバル 信 号 (GSR) の 適 用 を 詳 細 に 制 御 でき、 新 しいエレ メ ン ト タイプをリコンフィギュレーションで<br />

きるので、 新 しいコンフィギュレーション プ ロ セスが 必 要 です。 新 し い リ コ ン フ ィ ギ ャ ラ ブル モジュールのパー<br />

シャル ビ ッ ト ス ト リ ーム を 読 み 込 む 前 に、 既 存 の リ コ ン フ ィ ギ ャ ラ ブル モジュールをク リ アする 必 要 があ り ます。<br />

クリア ビ ッ ト ス ト リ ームは、 リ コ ン フ ィ ギ ュ レーシ ョ ンする 領 域 のグ ローバル 信 号 マス ク を 確 立 する こ と に よ り 、<br />

リコンフィギャラブル パーテ ィ シ ョ ンの 次 のパーシ ャル ビッ トスト リームを 配 布 できるようにします。 正 確 には 既<br />

存 のモジ ュールが 削 除 さ れる わけではあ り ませんが、 そ う 考 え る と 理 解 しやすいです。<br />

リコンフィギャラブル パーテ ィ シ ョ ン を 含 むデザ イ ン コンフィギュレーションで write_bitstream コマンドを<br />

実 行 する と 、 RP ごとにクリア BIT フ ァ イルが 作 成 さ れます。 た と えば、 2 つの リ コ ンフ ィ ギ ャ ラブル パーテ ィ シ ョ<br />

ン (RP1 および RP2) にそれぞれ 2 つずつ リ コ ン フ ィ ギ ャ ラ ブル モジュール (RP1 用 に A1 と B1、 RP2 用 に A2 と B2)<br />

があるデザインを 考 えてみます。 2 つのコ ンフ ィ ギュ レーシ ョ ン (configA および configB) を 配 置 配 線 まで 実 行 し、<br />

pr_verify での 検 証 も 完 了 し てお り 、 問 題 は 検 出 さ れていません。 ビ ッ ト ス ト リ ーム を 生 成 する と 、 各 コ ン フ ィ<br />

ギュレーションに 対 して 5 つのビ ッ ト ス ト リ ームが 生 成 されます。 configA に 対 し ては、 次 のよ う なビ ッ ト ス ト リ ー<br />

ム ファイルが 生 成 されます。<br />

• configA.bit: 電 源 投 入 時 にデバイ スの コ ン フ ィ ギ ュ レーシ ョ ンに 使 用 さ れる 完 全 なデザ イ ン ビットスト リー<br />

ム。 ス タテ ィ ッ ク デザイ ン と フ ァ ン ク シ ョ ン A1 および A2 が 含 まれます。<br />

• configA_RP1_A1_partial.bit: ファンクション A1 用 の BIT ファイル。 このリコンフィギャラブル パー<br />

ティションから RM が ク リ ア さ れた 後 に 読 み 込 まれます。<br />

• configA_RP1_A1_partial_clear.bit: ファンクション A1 用 の ク リ ア BIT ファイル。 ファンクション A1<br />

の 後 、 RP1 にほかのパーシャル BIT フ ァ イルを 読 み 込 む 前 に こ のフ ァ イルを 読 み 込 む 必 要 があ り ます。<br />

• configA_RP2_A2_partial.bit: ファンクション A2 用 の BIT ファイル。 このリコンフィギャラブル パー<br />

ティションから RM が ク リ ア さ れた 後 に 読 み 込 まれます。<br />

• configA_RP2_A2_partial_clear.bit: ファンクション A2 用 の ク リ ア BIT ファイル。 ファンクション A2<br />

の 後 、 RP2 にほかのパーシャル BIT フ ァ イルを 読 み 込 む 前 に こ のフ ァ イルを 読 み 込 む 必 要 があ り ます。<br />

同 様 に、 configB に 対 し て も 5 つのビ ッ ト ス ト リ ームが 生 成 されます。<br />

• configB.bit: 電 源 投 入 時 にデバイ スの コ ン フ ィ ギ ュ レーシ ョ ンに 使 用 さ れる 完 全 なデザ イ ン ビットスト リー<br />

ム。 ス タテ ィ ッ ク デザイ ン と フ ァ ン ク シ ョ ン B1 および B2 が 含 まれます。<br />

• configB_RP1_B1_partial.bit: ファンクション B1 用 の BIT ファイル。 このリコンフィギャラブル パー<br />

ティションから RM が ク リ ア さ れた 後 に 読 み 込 まれます。<br />

• configB_RP1_B1_partial_clear.bit: ファンクション B1 用 のク リ ア BIT ファイル。 ファンクション B1<br />

の 後 、 RP1 にほかのパーシャル BIT フ ァ イルを 読 み 込 む 前 に こ のフ ァ イルを 読 み 込 む 必 要 があ り ます。<br />

• configB_RP2_B2_partial.bit: ファンクション B2 用 の BIT ファイル。 このリコンフィギャラブル パー<br />

ティションから RM が ク リ ア さ れた 後 に 読 み 込 まれます。<br />

• configB_RP2_B2_partial_clear.bit: ファンクション B2 用 のク リ ア BIT ファイル。 ファンクション B2<br />

の 後 、 RP2 にほかのパーシャル BIT フ ァ イルを 読 み 込 む 前 に こ のフ ァ イルを 読 み 込 む 必 要 があ り ます。<br />

パーシ ャル リコンフィギュレーション 110<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 8 章 : デバイスのコ ン フ ィ ギュ レーシ ョ ン<br />

リ コ ン フ ィ ギ ュ レーシ ョ ンのシーケ ン スは、 まず 現 在 の リ コ ン フ ィ ギ ャ ラ ブル モジュールにク リ ア BIT ファイルを<br />

読 み 込 み、 その 直 後 に 新 し い リ コ ン フ ィ ギ ャ ラ ブル モジ ュールを 読 み 込 みます。 た と えば、 リ コ ン フ ィ ギ ャ ラ ブル<br />

パーテ ィ シ ョ ン RP1 をファンクション A1 からファンクショ ン B1 に 変 更 する には、 まず ク リ ア BIT ファイル<br />

configA_RP1_A1_partial_clear.bit を 読 み 込 み、 その 後 configB_RP1_B1_partial.bit を 読 み 込 みま<br />

す。 最 初 のビ ッ ト ス ト リ ームはマス ク を 開 いて 領 域 を 準 備 し、 2 番 目 のビ ッ ト ス ト リ ームは 新 し いフ ァ ン ク シ ョ ン を<br />

読 み 込 んでその 領 域 のみを 初 期 化 し、 マス ク を 閉 じ ます。<br />

クリア BIT フ ァ イルを 読 み 込 ま ない と 、 初 期 化 ルーチン (GSR) で 何 も 実 行 されません。 異 なる リ コ ンフ ィ ギ ャ ラブ<br />

ル パーテ ィ シ ョ ンの ク リ ア BIT ファイルが 読 み 込 まれると、 リコンフィギュレーションされたパーティションでは<br />

なくその RP が 初 期 化 さ れます。 正 し い RP に 間 違 った ク リ ア BIT ファイルが 使 用 されると、 次 のパーシャル BIT<br />

フ ァ イルが 読 み 込 まれる まで、 現 在 の RM またはスタティ ッ ク デザ イ ンが 変 更 さ れる 可 能 性 があ り ます。<br />

FPGA をコンフィギュレーションするシステム デザイ ン<br />

パーシ ャル BIT ファイルは、 フル BIT ファイルと 同 様 に FPGA にダ ウ ン ロー ド で き ます。 外 部 マ イ ク ロ プ ロ セ ッ サ<br />

によ り、 どのパーシャル BIT ファイルをダウンロードすべきか、 その BIT ファイルが 外 部 メモリ 空 間 のどこにある<br />

かが 判 断 され、 パーシャル BIT ファイルが JTAG、 Select MAP、 シリアル インターフェイスなどの 標 準 FPGA コン<br />

フィギュレーション ポー ト に 送 信 さ れます。 FPGA は、 パーシ ャル BIT フ ァ イルを 受 信 し てい る と い う 特 別 な 指 示<br />

なしで、 パーシャル BIT フ ァ イルを 正 し く 処 理 し ます。<br />

フル BIT ファイルをダウンロードする 前 には、 通 常 FPGA コンフィギュレーション インターフェイスの INIT または<br />

PROG 信 号 をアサー ト し ます。 パーシ ャル BIT ファイルをダウンロードする 前 に、 これを 実 行 しないでください。<br />

INIT または PROG 信 号 を アサー ト する と 、 パーシ ャル BIT ファイルでなくフル BIT ファイルが 送 信 されます。<br />

動 作 中 のデザイ ンにパーシ ャル BIT ファイルが 送 信 されることを 示 す (イネーブル 信 号 を 保 持 、 クロックをディス<br />

エーブルにするなど) には、 専 用 FPGA コンフィギュレーション ピンを 使 用 するのではなく、 デザイン 内 で 実 行 する<br />

必 要 があ り ます。 112 ページの 図 8-5 に、 マ イ ク ロプロセ ッ サを 介 し た コ ン フ ィ ギ ュ レーシ ョ ン プロセスを 示 します。<br />

パーシ ャル リコンフィギュレーション 111<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 8 章 : デバイスのコ ン フ ィ ギュ レーシ ョ ン<br />

X-Ref Target - Figure 8-5<br />

full<br />

configuration<br />

RM A1<br />

config.<br />

RM A2<br />

config.<br />

RM A3<br />

config.<br />

Off-chip memory or System ACE<br />

ICAP<br />

uP<br />

Self-reconfiguring<br />

FPGA<br />

uP<br />

FPGA<br />

RP A<br />

RP A<br />

JTAG<br />

port<br />

X12033<br />

図 8-5: マイクロプロセッサを 介 したコンフ ィギュレーション<br />

パーシ ャル リコンフィギュレーションでは、 標 準 コンフィギュレーション インターフェイスに 加 え、 内 部 コンフィ<br />

ギュレーション アクセス ポー ト (ICAP) によるコンフィギュレーショ ンがサポート されています。 ICAP プロ ト コル<br />

は SelectMAP と 同 じで、 ターゲッ ト デバイ スのコ ン フ ィ ギ ュ レーシ ョ ン <strong>ユーザー</strong> ガ イ ド に 説 明 さ れています。<br />

FPGA デザイ ンの HDL 記 述 に ICAP ライブラリ プリ ミティブをインスタンシエートし、 パーシャル BIT ファイルを<br />

コンフィギュレーション ポー ト に 送 信 する 前 に 解 析 および 制 御 で き ます。 パーシ ャル BIT ファイルは、 汎 用 I/O ま<br />

たはギガビ ッ ト トランシーバーを 介 して FPGA にダウンロード し、その 後 FPGAプログラマブル ロジックの ICAP に<br />

転 送 で き ます。<br />

暗 号 化 7 シリーズ BIT ファイルのパーシャル リコンフィギュレーションでは、8 ビット バスのみの ICAP を 使 用 する<br />

必 要 があ り ます。 ビ ッ ト ス ト リ ーム リードバック セキュ リ ティがレベル 2 に 設 定 さ れていなければ、 外 部 コ ン フ ィ<br />

ギュレーション ポー ト を 介 し た リ コ ン フ ィ ギ ュ レーシ ョ ンが 可 能 です。<br />

パーシ ャル BIT フ ァ イルの 整 合 性<br />

パーシ ャル BIT フ ァ イルのエ ラー 検 出 と 回 復 には、 完 全 な BIT フ ァ イルを 読 み 込 むの と 比 較 し て、 独 自 の 要 件 があ<br />

ります。 フル BIT ファイルが FPGA に 読 み 込 まれる と き にエ ラーが 検 出 さ れる と 、 FPGA は<strong>ユーザー</strong> モード にな り<br />

ません。 エ ラーは 破 損 し たデザイ ンがコ ンフ ィ ギ ュ レーシ ョ ン メ モ リ に 読 み 込 まれた 後 に 検 出 さ れ、 対 応 する 信 号<br />

がアサー ト さ れてエ ラー 状 態 が 示 さ れます。 FPGA は<strong>ユーザー</strong> モード にな ら ないので、 破 損 し たデザイ ンがア ク<br />

ティブになることはありません。 コンフィギュレーション エ ラーか ら 回 復 する ためのシ ス テムの 動 作 は、 異 な る<br />

BIT フ ァ イルをダ ウ ン ロー ド する な ど、 <strong>ユーザー</strong>が 決 め る 必 要 があ り ます。<br />

パーシ ャル BIT フ ァ イルをダ ウ ン ロー ド をする 場 合 、 エ ラーの 検 出 と 回 復 に こ の 方 法 は 使 用 で き ません。 パーシ ャ<br />

ル BIT フ ァ イルを 読 み 込 む と き には、 FPGA は 既 に<strong>ユーザー</strong> モー ド で 動 作 し ています。 コ ン フ ィ ギ ュ レーシ ョ ン 回<br />

路 では BIT フ ァ イルを 読 み 込 んだ 後 にのみエ ラー 検 出 がサポー ト さ れる ので、 破 損 し たパーシ ャル BIT ファイルが<br />

ア ク テ ィ ブになって し まい、 その 状 態 で 動 作 を 続 け る と FPGA が 破 損 する 可 能 性 があ り ます。<br />

パーシ ャル リコンフィギュレーション 112<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 8 章 : デバイスのコ ン フ ィ ギュ レーシ ョ ン<br />

パーシ ャル リコンフィギュレーション 中 に CRC エ ラーが 検 出 さ れる と 、 FPGA の INIT_B ピンがアサート されます<br />

(INIT_B が Low になる と CRC エラー)。 UltraScale デバイ スでは、 これが ICAP の PRERROR 出 力 ピ ンに 反 映 さ れま<br />

す。 初 期 コ ン フ ィ ギ ュ レーシ ョ ン 中 にシ ス テムで INIT_B を 使 用 して CRC エ ラーを 監 視 する 場 合 、 パーシ ャル リコ<br />

ンフィギュレーショ ン 中 の CRC エ ラーで も 同 じ 応 答 が 発 生 する 可 能 性 があ り ます。 FPGA の 内 部 から CRC エラーを<br />

検 出 する には、 CRC ステータスを ICAP ブロッ クを 介 して 監 視 できます。 ステータス レジスタ (STAT) で<br />

CRC_ERROR フラグ (ビッ ト 0) がアサー ト され、 パーシャル BIT ファイルに CRC エラーがあるこ とが 示 されます。<br />

パーシ ャル BIT ファイルのエラーでは、 データ エラーとアドレス エ ラーを 考 慮 する 必 要 があ り ます。 パーシ ャル<br />

BIT フ ァ イルには、 基 本 的 にア ド レ ス 情 報 と データ 情 報 が 含 まれます。 ス タ テ ィ ッ ク 配 線 が リ コ ン フ ィ ギ ャ ラ ブル<br />

領 域 を 通 過 する こ と がで き る ので、 まれではあ り ますが、 ど ち ら のエ ラー も ス タ テ ィ ッ ク デザ イ ン を 破 損 する 可 能<br />

性 があ り ます。 完 全 に 安 全 に 回 復 する 唯 一 の 方 法 は 完 全 な BIT ファイルをダウンロードすることで、 そうするとス<br />

タティ ック ロジックのステートが 確 実 なものになりますが、 FPGA 全 体 を リ セ ッ ト する 必 要 があ り ます。<br />

多 くのシステムでは、FPGA 全 体 を リ セ ッ ト する こ と は 重 要 ではないか、 パーシ ャル BIT ファイルがローカルに 格 納<br />

されているので、 複 雑 な 回 復 メカニズムは 必 要 あり ません。 パーシャル BIT フ ァ イルが ローカルに 格 納 さ れてい る<br />

場 合 、 BIT フ ァ イルが 破 損 する 可 能 性 はほ と んど あ り ません。 無 線 リ ン ク を 介 し てパーシ ャル BIT ファイルを 送 信<br />

するなど BIT フ ァ イルが 破 損 する 可 能 性 のあ る シ ス テムでは、 問 題 を 回 避 する ため 専 用 のシ リ コ ン 機 能 を 使 用 する<br />

必 要 があ り ます。<br />

7 シリーズ FPGA、 UltraScale FPGA、 および Zynq-7000 AP SoC のコンフィギュレーショ ン エンジンにはフレームご<br />

との CRC チェ ッ ク を 実 行 する 機 能 があ り 、 CRC チェ ッ クでエラーが 検 出 された 場 合 はフレームはコンフ ィ ギュレー<br />

ション メ モ リ に 読 み 込 まれません。 エ ラーが 検 出 さ れる と INIT_B ピンが Low にな り、 パーシャル BIT ファイルを<br />

再 試 行 する か、 ゴールデン パーシ ャル BIT フ ァ イルを 使 用 する かな ど、 次 の 処 理 を 決 定 で き ます。 部 分 的 に 読 み 込<br />

まれた リ コ ンフ ィ ギュ レーシ ョ ン 領 域 には 有 効 なプロ グ ラ ムはあ り ませんが、 システムがエラーから 回 復 する 間 、<br />

CRC チェッ クによ りデバイスの 残 りの 部 分 (ス タ テ ィ ッ ク 領 域 およびほかの リ コ ン フ ィ ギ ャ ラ ブル モジュール) は 動<br />

作 を 続 けます。<br />

これらのデバイ スで この 機 能 を 有 効 にするには、 write_bitstream を 実 行 する 前 に PerFrameCRC プロパティを<br />

設 定 し ます。 こ のプ ロパテ ィ のデフ ォル ト 値 は No で、 Yes に 設 定 する と 追 加 の CRC チェッ クが 挿 入 されます。 これ<br />

によ り、 圧 縮 されていない BIT ファイルのサイズが 4 ~ 5% 増 加 し ます。 こ の 機 能 は、 ビ ッ ト ス ト リ ーム 圧 縮 と は 互<br />

換 性 があ り ません。 こ のプ ロパテ ィ を 設 定 する のに 特 別 に 考 慮 すべき 点 はほかにあ り ませんが、 INIT_B ピンによ り<br />

エ ラーが 示 さ れた 場 合 の 処 理 を 選 択 する ため、 パーシ ャル リコンフィギュレーション コント ローラー ソ リューショ<br />

ン を 設 計 する 必 要 があ り ます。<br />

PerFrameCRC プ ロパテ ィ を 設 定 する 構 文 は、 次 の と お り です。<br />

set_property bitstream.general.perFrameCRC yes [current_design]<br />

フレームごとの CRC チェッ クの 使 用 / 不 使 用 にかかわ らず、 パーシ ャル BIT フ ァ イルが 読 み 込 まれる と 、 デバイ スの<br />

コ ンフ ィ ギュ レーシ ョ ン 全 体 が 変 わ り ます。 SEU を 軽 減 する ための POST_CRC 機 能 が イ ネーブルになってい る 場<br />

合 、 パーシ ャル ビ ッ ト ス ト リ ームが 読 み 込 まれ、 コ ン フ ィ ギ ュ レーシ ョ ン イ ン ターフ ェ イ スが 非 同 期 化 さ れた 後 、<br />

SEU 軽 減 エンジンに よ り エンベデ ッ ド SEU CRC 値 が 自 動 的 に 再 算 出 さ れます。CRC 再 キ ャ リ ブレーシ ョ ンが 完 了 す<br />

ると、FRAME_ECCE2 の FRAME_VALID 出 力 が ト グル し、 SEU 検 出 が 再 開 し た こ と が 示 さ れます。<br />

パーシ ャル リコンフィギュレーション 113<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 8 章 : デバイスのコ ン フ ィ ギュ レーシ ョ ン<br />

コンフィギュレーション フレーム<br />

ザイ リ ンクス FPGA および AP SoC デバイ スのすべての<strong>ユーザー</strong> プ ロ グ ラ マブル 機 能 は、 電 源 投 入 時 に コ ン フ ィ ギ ュ<br />

レーシ ョ ンする 必 要 のあ る 揮 発 性 メ モ リ セルに よ り 制 御 さ れます。 こ れら の メ モ リ セルは、 ま と めて 「コ ンフ ィ<br />

ギュレーション メモリ」 と 呼 ばれます。 コンフィギュレーション メモリは、 LUT 論 理 式 、 信 号 配 線 、 IOB 電 圧 規<br />

格 、 およびデザ イ ンのすべての 特 性 を 定 義 し ます。<br />

ザイ リンクス FPGA および AP SoC アーキテ クチャでは、 コンフ ィ ギュ レーシ ョ ン メモリはデバイス 周 囲 にフレー<br />

ムとしてタイル 状 に 並 べられています。 これらのフレームはデバイス コンフィギュレーション メモリ 空 間 のアド<br />

レ ス 指 定 可 能 な 最 小 セグ メ ン ト なので、 すべての 操 作 を コ ン フ ィ ギ ュ レーシ ョ ン フ レーム 全 体 に 実 行 する 必 要 があ<br />

ります。<br />

リコンフィギャラブル フレームは、 これらのコンフィギュレーション フレーム 上 に 構 築 され、 パーシャル リコン<br />

フ ィ ギ ュ レーシ ョ ン を 実 行 する 際 の 最 小 の 構 築 ブ ロ ッ ク です。<br />

• 7 シリーズ FPGA の 基 本 領 域 :<br />

° CLB: 高 さ 50 x 幅 1<br />

° DSP48: 高 さ 10 x 幅 1<br />

° ブロック RAM: 高 さ 10 x 幅 1<br />

• UltraScale FPGA の 基 本 領 域 :<br />

° CLB: 高 さ 60 x 幅 1<br />

° DSP48: 高 さ 24 x 幅 1<br />

° ブロック RAM: 高 さ 12 x 幅 1<br />

° I/O およびク ロ ッキング: 52 個 の I/O (1 つのバン ク) と 、 関 連 の XiPhy、 MMCM、 および PLL リソース<br />

° ギガビッ ト トランシーバー: 高 さ 4 (1 つのク ワ ッ ド と 関 連 のク ロ ッ ク リソース)<br />

パーシ ャル リコンフィギュレーション 114<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 8 章 : デバイスのコ ン フ ィ ギュ レーシ ョ ン<br />

コンフィギュレーション 時 間<br />

コンフィギュレーションの 速 度 は、 パーシャル BIT ファイルのサイズおよびコンフィギュレーション ポー ト の 帯 域<br />

幅 に 直 接 関 係 し ています。 表 8-4 に、 7 シリーズの 異 なるコンフィギュレーション ポー ト の 最 大 帯 域 幅 を 示 し ます。<br />

表 8-4: 7 シリーズ アーキテクチャのコンフ ィギュレーシ ョ ン ポー ト の 最 大 帯 域 幅<br />

コンフィギュレーション<br />

モード<br />

最 大 ク ロ ッ ク レー ト デー タ 幅 最 大 帯 域 幅<br />

ICAP 100 MHz 32 ビッ ト 3.2 Gb/s<br />

SelectMAP 100 MHz 32 ビッ ト 3.2 Gb/s<br />

シリアル モード 100 MHz 1 ビッ ト 100 Mb/s<br />

JTAG 66 MHz 1 ビッ ト 66 Mb/s<br />

ビッ トスト リームの 正 確 な 長 さは、write_bitstream コマンドで -raw_bitfile オプシ ョ ン を 使 用 し て 作 成 さ れ<br />

た .rbt フ ァ イルに 含 まれます。 こ の 数 値 と 帯 域 幅 から、 コ ン フ ィ ギ ュ レーシ ョ ンの 総 時 間 を 算 出 し ます。 次 に、<br />

ロー ビット ファイルのヘッダーの 例 を 示 します。<br />

Xilinx ASCII Bitstream<br />

Created by Bitstream 2015.1<br />

<strong>Design</strong> name: led_shift_count;UserID=0XFFFFFFFF<br />

Architecture:kintex7<br />

Part: 7k325tffg900<br />

Date: Mon Mar 16 16:42:05 2015<br />

Bits: 1211072<br />

11111111111111111111111111111111<br />

パーシ ャル リコンフィギュレーション 115<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 8 章 : デバイスのコ ン フ ィ ギュ レーシ ョ ン<br />

コンフィギュレーション デバッ グ<br />

ICAP イ ン ターフ ェ イ スは、 ビ ッ ト ス ト リ ーム を 配 信 する ためのポー ト と し て 使 用 さ れる 場 合 に、 コ ン フ ィ ギ ュ レー<br />

ション プ ロ セス を 監 視 する ために 使 用 で き ます。 ICAP ブロッ クの O ポー ト は 32 ビッ ト バスですが、 最 下 位 バイ ト<br />

のみが 使 用 されます。 次 の 表 に、 最 下 位 バイ ト の 各 ビ ッ ト の 説 明 を 示 し ます。<br />

表 8-5: ICAP の O ポー ト ビット<br />

ICAP の O ポー ト ビット ステータス ビット 説 明<br />

O[7] CFGERR_B コンフィギュレーション エラー (アクティブ Low)<br />

0: コンフィギュレーション エラーが 発 生<br />

1: コンフィギュレーション エラーなし<br />

O[6] DALIGN 同 期 ワー ド の 受 信 (アクティブ High)<br />

0: 同 期 ワー ド は 受 信 さ れていない<br />

1: インターフェイス ロ ジ ッ ク か ら 同 期 ワー ド を 受 信<br />

O[5] RIP リードバック (アクティブ High)<br />

0: リードバックは 実 行 されていない<br />

1: リ ー ド バ ッ ク を 実 行 中<br />

O[4] IN_ABORT_B アボート (アクティブ Low)<br />

0: アボー ト を 実 行 中<br />

1: アボート は 実 行 されていない<br />

O[3:0] 1 予 約 済 み<br />

このバイ トの 上 位 4 ビッ トがステータスを 示 します。 これらのステータス ビットは、 同 期 ワードが 受 信 されたか、<br />

コンフィギュレーション エラーが 発 生 し たかを 示 し ます。 次 の 表 に、 これらの 状 態 の 値 を 示 し ます。<br />

表 8-6: ICAP の 同 期 ビ ッ ト<br />

O[7:0]<br />

同 期 ワー ド の 有 無<br />

9F なし なし<br />

DF あり なし<br />

5F あり あり<br />

1F なし あり<br />

コンフィギュレーション<br />

エ ラーの 有 無<br />

パーシ ャル リコンフィギュレーション 116<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 8 章 : デバイスのコ ン フ ィ ギュ レーシ ョ ン<br />

図 8-6 に、 完 了 し たフル コンフィギュレーション、 その 後 に CRC エ ラーを 含 むパーシ ャル リコンフィギュレーショ<br />

ン、 最 後 に 正 常 に 完 了 し たパーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ンを 示 し ます。 上 記 の 表 と こ の 後 の 説 明 から、 どの<br />

ように ICAP の O ポー ト を 使 用 し て コ ン フ ィ ギ ュ レーシ ョ ン プ ロ セ ス を 監 視 する かがわか り ます。 CRC エラーが 発<br />

生 したら、 これらの 信 号 をコンフ ィギュレーシ ョ ン ステート マシンで 使 用 し てエラーから 回 復 でき ます。 これらの<br />

信 号 は <strong>Vivado</strong> ロジック 解 析 でデバッグ 用 にコンフィギュレーショ ン エ ラーをキ ャ プチ ャする のに も 使 用 で き ます。<br />

この 情 報 を 使 用 して、 <strong>Vivado</strong> ロジック 解 析 をパーシャル リコンフィギュレーションのさまざまなポイントをキャプ<br />

チャする のに 使 用 で き ます。<br />

X-Ref Target - Figure 8-6<br />

<strong>Vivado</strong> ロ ジ ッ ク 解 析 画 面 のマーカーは、 次 を も のを 示 し ます。<br />

• 1st_done<br />

初 期 フル ビッ トスト リーム コ ン フ ィ ギ ュ レーシ ョ ンの 完 了 を 示 し ます。 DONE ピン ( 図 の 波 形 の done_pad) が<br />

High にな り ます。<br />

• cfgerr<br />

図 8-6: パーシ ャル リコンフィギュレーション 用 の <strong>Vivado</strong> ロジ ッ ク 解 析 の 画 面<br />

パーシ ャル ビ ッ ト ス ト リ ームの 読 み 込 み 中 に CRC エ ラーが 検 出 さ れた こ と を 示 し ます。 ス テータ スは O[31:0] (<br />

図 の 波 形 の icap_o_top[31:0]) で 確 認 でき ます。<br />

° Icap_o_top[31:0] は 0x9F か ら 開 始 し ます。<br />

° SYNC ワー ド が 受 信 さ れる と 、 Icap_o_top[31:0] が 0xDF にな り ます。<br />

° CRC エ ラーが 受 信 さ れる と 、 Icap_o_top[31:0] が 1 サイクル 間 0x5F にな り、 その 後 0x1F にな り ます。<br />

° INIT_B が Low にな り ます ( 図 の 波 形 の init_pad)。<br />

パーシ ャル リコンフィギュレーション 117<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 8 章 : デバイスのコ ン フ ィ ギュ レーシ ョ ン<br />

• RCRC<br />

パーシ ャル ビ ッ ト ス ト リ ームが 再 び 読 み 込 まれた こ と を 示 し ます。 RCRC コマンドは cfgerr ステータスをリ<br />

セッ ト し、 INIT_B ピンを High にします ( 図 の 波 形 の init_pad)。<br />

° SYNC ワードが 受 信 される と Icap_o_top[31:0] は 0x1F から 0x5F に 変 わ り ます。<br />

° RCRC コマンドが 受 信 されると Icap_o_top[31:0] は 0x5F から 0xDF に 変 わ り ます。<br />

• pr_done<br />

パーシ ャル ビ ッ ト ス ト リ ームが 正 し く 完 了 し た こ と を 示 し ます。<br />

° DESYNC コマンドが 受 信 され、 コンフィギュレーショ ン エ ラーが 検 出 さ れなかった 場 合 、<br />

Icap_o_top[31:0] は 0xDF から 0x9F に 変 わ り ます。<br />

上 記 の 手 法 に 加 え、 UltraScale アーキテクチャには ICAP 上 にパーシャル リ コ ン フ ィ ギ ュ レーシ ョ ンで 使 用 可 能 な 2<br />

つの 専 用 ポー ト があ り ます。<br />

• PRDONE 信 号 : 外 部 DONE ピ ンのス テー ト を 反 映 し ます。 リ コ ン フ ィ ギ ュ レーシ ョ ンが 開 始 し た と き に (ク リア<br />

ビッ トスト リームの 冒 頭 で) Low にな り 、 正 常 に 完 了 する と (パーシ ャル ビットスト リームの 最 後 に) High に 戻<br />

ります。<br />

• PRERROR ピン: 外 部 INIT_B ピ ンのス テー ト を 反 映 し ます。 BIT フ ァ イルの 最 後 の 標 準 完 全 CRC 値 またはフ<br />

レームご との CRC 値 で CRC エ ラーが 発 生 する と Low にな り ます。<br />

パーシ ャル リコンフィギュレーション 118<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 9 章<br />

既 知 の 問 題 および 制 限<br />

既 知 の 問 題<br />

現 在 の <strong>Vivado</strong> ® <strong>Design</strong> <strong>Suite</strong> リリースでパーシャル リ コ ン フ ィ ギ ュ レーシ ョ ン を 使 用 する 場 合 、 直 面 する 可 能 性 のあ<br />

る 既 知 の 問 題 がい く つかあ り ます。 こ れら の 問 題 が 発 生 し た 場 合 、 ま たはほかの 問 題 が 発 生 し た 場 合 は、 ザ イ リ ン<br />

クス ® サポー ト に 連 絡 し、 問 題 が 発 生 したサンプル デザイ ンをご 送 付 く だ さ い。 これらのテス ト ケースは、 ソ<br />

リ ューシ ョ ン を 向 上 する ために 活 用 させていただ き ます。<br />

• 致 命 的 なエ ラー、 内 部 エ ラー、 不 完 全 な 配 線 ( 部 分 的 なアンテナ)、 配 置 配 線 、 pr_verify、 および<br />

write_bitstream を 阻 害 する その 他 の 規 則 違 反 が 発 生 し た 場 合 は、 ザ イ リ ン ク スにご 連 絡 く だ さ い。 適 切 な<br />

分 析 を 行 い、 修 正 を 適 用 する 上 で、 エ ラーが 発 生 し たデザ イ ン を 含 めていただ く こ と が 重 要 にな り ます。<br />

• 7 シリーズ SSI デバイ ス (7V2000T、 7VX1140T) の 初 回 コ ンフ ィ ギュ レーシ ョ ンを SPI インターフェイスを 介 し<br />

て 実 行 する 場 合 は、 パーシ ャル ビッ トスト リームをマスター (またはその 他 の) ICAP に 送 信 する こ と はで き ま<br />

せん。 JTAG などの 外 部 ポー ト に 送 信 する 必 要 があ り ます。 初 期 コ ン フ ィ ギ ュ レーシ ョ ンをほかのコ ン フ ィ ギ ュ<br />

レーシ ョ ン ポート を 介 して 実 行 した 場 合 は、 マスター ICAP をパーシャル ビッ トスト リームの 配 布 ポート とし<br />

て 使 用 でき ます。<br />

° 回 避 策 については、 ザ イ リ ン ク ス サポー ト にご 連 絡 く だ さ い。<br />

• 1 つの リ コ ンフ ィ ギ ャ ラ ブル モジ ュールの 複 数 の 出 力 を 同 じ ソースで 駆 動 し ないで く だ さ い。 RM の 各 出 力 に<br />

は、 それぞれ ド ラ イバーが 必 要 です。<br />

• UltraScale または UltraScale+ デバイ スのエンジニア リ ング シリコン (ES) は、 公 式 にはパーシ ャル リコンフィ<br />

ギュレーションをサポート していません。 ES デバイ スでの PR 機 能 の 詳 細 は、 ザ イ リ ン ク ス サポー ト までご 連<br />

絡 ください。<br />

• IP インテグレーターでは、 IP 以 外 の 階 層 レベルでのボ ト ムア ッ プ 合 成 は、 現 在 の と こ ろサポー ト されていません。<br />

パーシ ャル リコンフィギュレーション 119<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


第 9 章 : 既 知 の 問 題 および 制 限<br />

既 知 の 制 限<br />

現 在 の リ リ ースではまだ 開 発 されていない、 またはサポー ト されていない 機 能 があ り ます。 これらの 機 能 は、 今 後<br />

の リ リ ースで 追 加 さ れる 可 能 性 があ り ます。 その 一 部 を 次 に 示 し ます。<br />

• Pblock の 範 囲 を 選 択 し て リ コ ン フ ィ ギ ャ ラブル パーテ ィ シ ョ ンのサ イ ズおよび 形 状 を 定 義 する 際 、 7 シリーズ<br />

または Zynq デバイ スの 場 合 は、 CLOCKREGION リソース タ イ プを 使 用 し ないで く だ さ い。 Pblock の 範 囲 には、<br />

SLICE、 RAMB18、 RAMB36、 および DSP48 リソース タイプのみを 含 めます。<br />

• リコンフィギャラブル パーテ ィ シ ョ ン 内 で <strong>Vivado</strong> デバ ッ グ コ アの 挿 入 機 能 を 使 用 し ないで く だ さ い。 こ のフ<br />

ローでは、 BSCAN プ リ ミ テ ィ ブを 含 むデバ ッ グ ハブが 挿 入 されますが、 これは リ コ ンフ ィ ギャ ラブル ビッ ト<br />

ストリーム 内 では 許 容 されません。ILA または VIO デバ ッ グ コ ア を 介 し て 監 視 さ れる RP 内 の 信 号 は、 すべて<br />

PR インターフェイスを 介 してスタティック デザ イ ンに 含 め る 必 要 があ り ます。 こ れは、 その RP の RM すべて<br />

に 対 し て 実 行 する 必 要 があ り ます。<br />

• UpdateMEM では、 パーシャル ビットスト リームはサポートされません。 メモリ ファイルを PR デザイ ンに 関 連<br />

付 けるには、 ELF 関 連 付 けフ ローを 適 用 する 必 要 があ り ます。 詳 細 は、 『<strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> <strong>ユーザー</strong> <strong>ガイド</strong>: IP<br />

インテグレーターを 使 用 した IP サブシ ス テムの 設 計 』 (UG994) [ 参 照 31] の こ のセ ク シ ョ ン を 参 照 して く ださい。<br />

• Soft Error Mitigation (SEM) IP コアは、 モノ リシック デバイ スでの PR と 共 にサポート されます。 PR デザイ ンで<br />

の SEM IP の 使 用 に 関 する 詳 細 は、 『モ ノ リ シ ッ ク デバイ スでの Soft Error Mitigation IP およびパーシャル リコン<br />

フィギュレーションのデモ』 (XAPP1261) [ 参 照 4] を 参 照 して く ださい。 SEM IP コアは、 SSI デバイ スにパー<br />

シャル リ コ ン フ ィ ギ ュ レーシ ョ ン を 使 用 する 場 合 はサポー ト さ れません。<br />

• STARTUP プリ ミティブでは、パーシャル リ コ ン フ ィ ギ ュ レーシ ョ ンの 読 み 込 みはサポー ト さ れません。 AXI<br />

SPI IP や AXI EMC IP などの IP は、 外 部 フ ラ ッ シ ュから ク ロ ッ ク 供 給 し た り 、 パーシ ャル ビッ トスト リームを<br />

配 布 する 目 的 で、 STARTUP プ リ ミ テ ィ ブを 使 用 する よ う 設 定 し ないで く だ さ い。<br />

• 暗 号 化 に 関 する 2 つの 使 用 ケースは、 UltraScale デバイ スの 新 し い 機 能 を 使 用 する 場 合 はサポー ト さ れません。<br />

a. 初 期 コ ン フ ィ ギ ュ レーシ ョ ンに RSA 認 証 を 選 択 し た 場 合 は、 暗 号 化 パーシ ャル リコンフィギュレーション<br />

はサポー ト されません。 パーシャル ビッ トスト リームでは RSA 認 証 はサポー ト さ れません。<br />

b. 初 期 コ ン フ ィ ギ ュ レーシ ョ ン ビッ トスト リームで eFUSE または BBRAM に 格 納 さ れてい る 難 読 化 AES-256<br />

キーを 使 用 する 場 合 は、 暗 号 化 パーシ ャル ビ ッ ト ス ト リ ームで も 同 じ 難 読 化 キーを 使 用 する 必 要 があ り ま<br />

す。 初 期 化 ビ ッ ト ス ト リ ーム と 異 な る キーを 使 用 する 暗 号 化 PR ビットスト リームはサポートされません。<br />

これらどちらの 場 合 でも、 暗 号 化 されていないパーシャル ビッ トスト リームを ICAP に 転 送 し てデバイ ス を<br />

パーシ ャル リコンフィギュレーションできます。<br />

• ビ ッ ト ス ト リーム 圧 縮 およびフレームご との CRC チェッ クは、 パーシャル ビットスト リームでは 同 時 にイネー<br />

ブルにはでき ません。<br />

パーシ ャル リコンフィギュレーション 120<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


付 録 A<br />

その 他 の リ ソースおよび 法 的 通 知<br />

ザイ リンクス リソース<br />

ア ンサー、 資 料 、 ダ ウ ン ロー ド 、 フ ォーラ ムなどのサポー ト リソースは、ザ イ リ ン ク ス サポー ト サイ ト を 参 照 して<br />

ください。<br />

ソリューション センター<br />

デバイ ス、 ツール、 IP のサポー ト については、 ザ イ リ ン ク ス ソ リ ューシ ョ ン セン ターを 参 照 して く ださい。 デザイ<br />

ン アシスタン ト、 デザイン アドバイザリ、 ト ラブルシューティングのヒン ト などが 含 まれます。<br />

Documentation Navigator およびデザイン ハブ<br />

Xilinx Documentation Navigator (DocNav) を 使 用 する とザイ リ ン ク スの 資 料 、 ビデオ、 およびサポー ト リソースにアク<br />

セス し て 情 報 を フ ィ ルター、 検 索 で き ます。 Xilinx Documentation Navigator を 開 く には、 次 のいずれかを 実 行 し ます。<br />

• <strong>Vivado</strong> IDE で [Help] → [Documentation and Tutorials] をクリ ックします。<br />

• Windows で [スタート] → [すべてのプ ロ グ ラ ム] → [Xilinx <strong>Design</strong> Tools] → [DocNav] をクリ ックします。<br />

• Linux コマンド プロンプトに 「docnav」 と 入 力 し ます。<br />

ザイ リ ンクス デザイ ン ハブには、 資 料 やビデオへの リ ン ク がデザ イ ン タスクおよびトピックごとにまとめられてお<br />

り 、 こ れら を 参 照 する こ と でキー コ ンセプ ト を 学 び、 よ く あ る 質 問 を 解 決 で き ます。 デザ イ ン ハブにア クセスする<br />

には、 次 のいずれかを 実 行 し ます。<br />

• Xilinx Documentation Navigator で [<strong>Design</strong> Hubs View] タブをク リ ックします。<br />

• ザイ リ ンクス ウェブサイトの デザ イ ン ハブ ページを 参 照 し ます。<br />

注 記 : Documentation Navigator の 詳 細 は、 ザイ リ ン ク ス ウェブサイトの Documentation Navigator ページを 参 照 し て く<br />

ださい。<br />

注 意 : Xilinx Documentation Navigator か ら は 日 本 語 版 は 参 照 で き ません。 ウ ェ ブサ イ ト のデザ イ ン ハブ ページの 一 部<br />

は 翻 訳 さ れてお り 、 日 本 語 版 が 提 供 さ れてい る 場 合 はその リ ン ク も 追 加 さ れています。<br />

パーシ ャル リコンフィギュレーション 121<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


付 録 A: その 他 の リ ソースおよび 法 的 通 知<br />

参 考 資 料<br />

注 記 : 日 本 語 版 のバージ ョ ンは、 英 語 版 よ り 古 い 場 合 があ り ます。<br />

1. 『<strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> チュート リ アル: パーシ ャル リコンフィギュレーション』 (UG947)<br />

2. 『Partial Reconfiguration Controller LogiCORE IP 製 品 ガ イ ド 』 (PG193: 英 語 版 、 日 本 語 版 )<br />

3. 『Partial Reconfiguration Decoupler LogiCORE IP 製 品 ガ イ ド 』 (PG227)<br />

4. 『モ ノ リ シ ッ ク デバイ スでの Soft Error Mitigation IP およびパーシャル リコンフィギュレーションのデモ』<br />

(XAPP1261: 英 語 版 、 日 本 語 版 )<br />

5. UltraScale デバイ スにおけ る Tandem PCIe およびパーシャル リコンフィギュレーションでの PCI Express リンクを<br />

介 し たビー ト ス ト リ ームの 読 み 込 み (ザ イ リ ン ク ス アンサー 64761)<br />

6. 『Zynq-7000 AP SoC プロセッサにおける <strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> を 使 用 したハード ウェア アクセラレータのパーシャ<br />

ル リコンフィギュレーション』 (XAPP1231: 英 語 版 、 日 本 語 版 )<br />

7. 『7 シリーズ FPGA コンフィギュレーション <strong>ユーザー</strong> <strong>ガイド</strong>』 (UG470: 英 語 版 、 日 本 語 版 )<br />

8. 『UltraScale アーキテクチャ コンフィギュレーション <strong>ユーザー</strong> <strong>ガイド</strong>』 (UG570: 英 語 版 、 日 本 語 版 )<br />

9. 『Zynq-7000 All Programmable SoC テクニカル リファレンス マニュアル』 (UG585: 英 語 版 、 日 本 語 版 )<br />

10. 『パーシ ャル リコンフィギュレーション <strong>ユーザー</strong> <strong>ガイド</strong>』 (UG702) - ISE デザイ ン ツール 用<br />

11. 『<strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> <strong>ユーザー</strong> <strong>ガイド</strong>: 階 層 デザ イ ン』 (UG905)<br />

12. 『UltraFast 設 計 手 法 ガ イ ド (<strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> 用 )』 (UG949)<br />

13. 『7 Series FPGAs Integrated Block for PCI Express LogiCORE IP 製 品 ガ イ ド 』 (PG054: 英 語 版 、 日 本 語 版 )<br />

14. 『Virtex-7 FPGA Gen3 PCIe Integrated Block for PCI Express 製 品 ガ イ ド 』 (PG023)<br />

15. 『UltraScale FPGAs Gen3 Integrated Block for PCI Express LogiCORE IP 製 品 ガ イ ド 』 (PG156: 英 語 版 、 日 本 語 版 )<br />

16. 『<strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> Tcl コマンド リファレンス <strong>ガイド</strong>』 (UG835)<br />

17. 『<strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> <strong>ユーザー</strong> <strong>ガイド</strong>: 合 成 』 (UG901)<br />

18. 『<strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> <strong>ユーザー</strong> <strong>ガイド</strong>: 制 約 の 使 用 』 (UG903)<br />

19. 『<strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> <strong>ユーザー</strong> <strong>ガイド</strong>: デザ イ ン 解 析 および ク ロージ ャ テクニック』 (UG906)<br />

20. 『7 シリーズ FPGA GTX/GTH トランシーバー <strong>ユーザー</strong> <strong>ガイド</strong>』 (UG476: 英 語 版 、 日 本 語 版 )<br />

21. 『7 シリーズ FPGA GTP トランシーバー <strong>ユーザー</strong> <strong>ガイド</strong>』 (UG482: 英 語 版 、 日 本 語 版 )<br />

22. 『MMCM および PLL のダイナ ミ ッ ク リコンフィギュレーション』 (XAPP888: 英 語 版 、 日 本 語 版 )<br />

23. 『UltraScale アーキテクチャ クロッキング リソース <strong>ユーザー</strong> <strong>ガイド</strong>』 (UG572: 英 語 版 、 日 本 語 版 )<br />

24. 『UltraScale アーキテクチャ GTH トランシーバー <strong>ユーザー</strong> <strong>ガイド</strong>』 (UG576: 英 語 版 、 日 本 語 版 )<br />

25. 『UltraScale アーキテクチャ GTY トランシーバー <strong>ユーザー</strong> <strong>ガイド</strong>』 (UG578: 英 語 版 、 日 本 語 版 )<br />

26. 『PRC/EPRC: パーシ ャル リコンフィギュレーションのデータ インテグリティおよび セキュ リ テ ィ コント ロー<br />

ラー』 (XAPP887)<br />

27. 『<strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> <strong>ユーザー</strong> <strong>ガイド</strong>: プログラムおよびデバッグ』 (UG908)<br />

28. 『<strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> を 使 用 した USER_ACCESS によるビッ ト ス ト リーム 識 別 』(XAPP1232: 英 語 版 、 日 本 語 版 )<br />

29. 『AXI Bridge for PCI Express Gen3 Subsystem 製 品 ガ イ ド 』 (PG194)<br />

30. 『UltraScale アーキテクチャ コンフィギャラブル ロジック ブロック <strong>ユーザー</strong> <strong>ガイド</strong>』 (UG574: 英 語 版 、 日 本 語 版 )<br />

31. 『<strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> <strong>ユーザー</strong> <strong>ガイド</strong>: IP インテグレーターを 使 用 した IP サブシ ス テムの 設 計 』 (UG994)<br />

32. 『DMA/Bridge Subsystem for PCI Express 製 品 ガ イ ド 』 (PG195)<br />

33. 『UltraScale+ Devices Integrated Block for PCI Express LogiCORE IP 製 品 ガ イ ド 』 (PG213: 英 語 版 、 日 本 語 版 )<br />

パーシ ャル リコンフィギュレーション 122<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


付 録 A: その 他 の リ ソースおよび 法 的 通 知<br />

34. ス ター ト ア ッ プ 後 にフ リ ッ プフ ロ ッ プおよび SRL を 正 し く 同 期 化 さ せる ためのデザ イ ン アドバイザリ (ザ イ リ ン<br />

ク ス アンサー 44174)<br />

35. 『3D IC 用 のエンベデッ ド プロセッシングを 使 用 したローカル パーシ ャル リコンフィギュレーション』<br />

(XAPP1099: 英 語 版 、 日 本 語 版 )<br />

36. <strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> の 資 料<br />

トレーニング リソース<br />

ザ イ リ ン ク スでは、 こ の 資 料 に 含 まれる コ ンセプ ト を 説 明 する さ ま ざ ま な ト レーニン グ コースおよび QuickTake ビデ<br />

オを 提 供 し ています。 次 の リ ン ク か ら 関 連 する ト レーニン グ リソースを 参 照 してください。<br />

1. <strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> QuickTake ビデオ チュー ト リ アル<br />

2. <strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> QuickTake ビデオ: <strong>Vivado</strong> でパーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ン を 実 行<br />

3. <strong>Vivado</strong> <strong>Design</strong> <strong>Suite</strong> QuickTake ビデオ: UltraScale でのパーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ン<br />

4. <strong>Vivado</strong> を 使 用 し た Zynq でのパーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ン フ ロー<br />

5. ザ イ リ ン ク ス パーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ンのツールおよび 技 術 ト レーニング コース<br />

パーシ ャル リコンフィギュレーション 123<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com


付 録 A: その 他 の リ ソースおよび 法 的 通 知<br />

お 読 み く だ さい: 重 要 な 法 的 通 知<br />

本 通 知 に 基 づいて 貴 殿 ま たは 貴 社 ( 本 通 知 の 被 通 知 者 が 個 人 の 場 合 には 「 貴 殿 」、 法 人 その 他 の 団 体 の 場 合 には 「 貴 社 」。 以 下 同 じ)<br />

に 開 示 される 情 報 ( 以 下 「 本 情 報 」 と いいます) は、 ザ イ リ ン ク スの 製 品 を 選 択 および 使 用 する こ と のためにのみ 提 供 さ れます。 適<br />

用 さ れる 法 律 が 許 容 する 最 大 限 の 範 囲 で、 (1) 本 情 報 は 「 現 状 有 姿 」、 およびすべて 受 領 者 の 責 任 で (with all faults) という 状 態 で 提 供<br />

さ れ、 ザ イ リ ン ク スは、 本 通 知 を も って、 明 示 、 黙 示 、 法 定 を 問 わず ( 商 品 性 、 非 侵 害 、 特 定 目 的 適 合 性 の 保 証 を 含 みますが こ れ<br />

らに 限 られません)、 すべての 保 証 および 条 件 を 負 わない ( 否 認 する) ものとします。 また、 (2) ザイ リ ンクスは、 本 情 報 ( 貴 殿 ま たは<br />

貴 社 に よ る 本 情 報 の 使 用 を 含 む) に 関 係 し、 起 因 し、 関 連 する、 いかな る 種 類 ・ 性 質 の 損 失 または 損 害 について も、 責 任 を 負 わな<br />

い ( 契 約 上 、 不 法 行 為 上 ( 過 失 の 場 合 を 含 む)、 その 他 のいかな る 責 任 の 法 理 に よ る かを 問 わない) も の と し、 当 該 損 失 ま たは 損 害 に<br />

は、 直 接 、 間 接 、 特 別 、 付 随 的 、 結 果 的 な 損 失 ま たは 損 害 ( 第 三 者 が 起 こ し た 行 為 の 結 果 被 った、 データ、 利 益 、 業 務 上 の 信 用 の<br />

損 失 、 その 他 あ ら ゆ る 種 類 の 損 失 や 損 害 を 含 みます) が 含 まれる も の と し、 それは、 た と え 当 該 損 害 や 損 失 が 合 理 的 に 予 見 可 能 で<br />

あった り 、 ザイ リ ン ク スがそれらの 可 能 性 について 助 言 を 受 けていた 場 合 であった と し て も 同 様 です。 ザイ リ ン ク スは、 本 情 報 に<br />

含 まれるいかな る 誤 り も 訂 正 する 義 務 を 負 わず、 本 情 報 ま たは 製 品 仕 様 のア ッ プデー ト を 貴 殿 ま たは 貴 社 に 知 らせる 義 務 も 負 いま<br />

せん。 事 前 の 書 面 に よ る 同 意 のない 限 り 、 貴 殿 ま たは 貴 社 は 本 情 報 を 再 生 産 、 変 更 、 頒 布 、 ま たは 公 に 展 示 し てはな り ません。 一<br />

定 の 製 品 は、 ザイ リ ン ク スの 限 定 的 保 証 の 諸 条 件 に 従 う こ と と な るので、 https://japan.xilinx.com/legal.htm#tos で 見 られるザイ リ ン ク<br />

スの 販 売 条 件 を 参 照 し て く だ さ い。 IP コ アは、 ザイ リ ン ク スが 貴 殿 または 貴 社 に 付 与 し た ラ イセン スに 含 まれる 保 証 と 補 助 的 条 件<br />

に 従 う こ と にな り ます。 ザ イ リ ン ク スの 製 品 は、 フ ェ イルセーフ と し て、 ま たは、 フ ェ イルセーフの 動 作 を 要 求 する アプ リ ケー<br />

シ ョ ンに 使 用 する ために、 設 計 さ れた り 意 図 さ れた り し ていません。 その よ う な 重 大 なアプ リ ケーシ ョ ンにザ イ リ ン ク スの 製 品 を<br />

使 用 する 場 合 の リ ス ク と 責 任 は、 貴 殿 ま たは 貴 社 が 単 独 で 負 う も のです。 https://japan.xilinx.com/legal.htm#tos で 見 られるザイ リ ン ク<br />

スの 販 売 条 件 を 参 照 し て く だ さ い。<br />

自 動 車 用 のアプ リ ケーシ ョ ンの 免 責 条 項<br />

オー ト モーテ ィ ブ 製 品 ( 製 品 番 号 に 「XA」 が 含 まれる) は、 ISO 26262 自 動 車 用 機 能 安 全 規 格 に 従 った 安 全 コ ンセプ ト ま たは 余 剰 性<br />

の 機 能 ( 「セーフ テ ィ 設 計 」 ) がない 限 り 、 エアバ ッ グの 展 開 におけ る 使 用 ま たは 車 両 の 制 御 に 影 響 する アプ リ ケーシ ョ ン ( 「セー<br />

フティ アプ リ ケーシ ョ ン」 ) におけ る 使 用 は 保 証 さ れていません。 顧 客 は、 製 品 を 組 み 込 むすべてのシ ス テムについて、 その 使 用<br />

前 ま たは 提 供 前 に 安 全 を 目 的 と し て 十 分 なテ ス ト を 行 う も の と し ます。 セーフ テ ィ 設 計 な し にセーフ テ ィ アプ リ ケーシ ョ ンで 製 品<br />

を 使 用 する リ ス ク はすべて 顧 客 が 負 い、 製 品 の 責 任 の 制 限 を 規 定 する 適 用 法 令 および 規 則 にのみ 従 う も の と し ます。<br />

© Copyright 2012-2016 Xilinx, Inc. Xilinx、 Xilinx のロゴ、 Artix、 ISE、 Kintex、 Spartan、 Virtex、 <strong>Vivado</strong>、 Zynq、 およびこの 文 書 に 含<br />

まれるその 他 の 指 定 されたブラ ン ド は、 米 国 およびその 他 各 国 のザイ リ ン ク ス 社 の 商 標 です。 PCI、 PCIe、 および PCI Express は<br />

PCI-SIG の 商 標 であ り 、 ラ イセン スに 基 づいて 使 用 されています。 すべてのその 他 の 商 標 は、 それぞれの 保 有 者 に 帰 属 し ます。<br />

こ の 資 料 に 関 する フ ィ ード バッ クおよび リ ン ク などの 問 題 につき ま し ては、 jpn_trans_feedback@xilinx.com まで、 ま たは 各 ページの<br />

右 下 にあ る [フィードバック 送 信 ] ボタンをクリックすると 表 示 されるフォームからお 知 らせください。 フィードバックは 日 本 語 で<br />

入 力 可 能 です。 いただ き ま し たご 意 見 を 参 考 に 早 急 に 対 応 さ せていただ き ます。 なお、 こ の メ ール ア ド レ スへのお 問 い 合 わせは 受<br />

け 付 けてお り ません。 あ らかじめご 了 承 く ださい。<br />

パーシ ャル リコンフィギュレーション 124<br />

UG909 (v2016.3) 2016 年 10 月 5 日<br />

japan.xilinx.com

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!