12.07.2015 Views

東海大學資訊工程與科學系專題報告FPGA-紅綠燈訊號控制

東海大學資訊工程與科學系專題報告FPGA-紅綠燈訊號控制

東海大學資訊工程與科學系專題報告FPGA-紅綠燈訊號控制

SHOW MORE
SHOW LESS
  • No tags were found...

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

4. VHDLVHDL 是Very High Speed Integrated Circuit(VHSIC)Description Language 的 縮 寫 , 即 超 高 速 集 成 電 路硬 體 描 述 語 言 。 簡 單 的 說 , 它 就 是 一 種 專 門 用 來 設 計 硬 體 電路 的 語 言 。 在 基 於 CPLD/FPGA 和 ASIC 的 數 位 系 統 設 計 中 有著 廣 泛 的 應 用 。VHDL 語 言 誕 生 於 1983 年 ,1987 年 被 美 國 國防 部 和 IEEE 確 定 為 標 準 的 硬 體 描 述 語 言 。 使 用 VHDL 作 數 位電 路 設 計 , 只 需 依 據 標 準 的 VHDL 語 言 規 範 , 描 述 複 雜 的 電 路系 統 , 再 用 一 般 軟 體 的 模 組 化 觀 念 , 描 述 數 位 系 統 的 規 格 及功 能 , 接 著 利 用 軟 體 ( 可 在 PC 或 工 作 站 上 執 行 ) 將 所 寫 的 VHDL編 譯 合 成 電 子 電 路 , 可 以 節 省 人 工 將 傳 統 電 子 元 件 拼 湊 成 電路 的 時 間 。VHDL 最 基 本 的 電 路 設 計 可 分 為 二 大 部 份 , 一 是entity declaration, 另 一 個 部 分 是 architecture body。圖 3-59

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!