21.01.2014 Views

Ejercicios Tema: Contadores y Registros - Escuela de Ingeniería ...

Ejercicios Tema: Contadores y Registros - Escuela de Ingeniería ...

Ejercicios Tema: Contadores y Registros - Escuela de Ingeniería ...

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

1 of 37<br />

Instituto Tecnológico <strong>de</strong> Costa Rica<br />

<strong>Escuela</strong> <strong>de</strong> Ingeniería Electrónica<br />

EL 3307 Diseño Lógico<br />

<strong>Ejercicios</strong><br />

<strong>Tema</strong>: <strong>Contadores</strong> y <strong>Registros</strong><br />

Recopilación realizada por:<br />

Ing. José Alberto Díaz García<br />

Diciembre 2008


PARTE 1<br />

B<br />

8<br />

8<br />

D<br />

N<br />

2 of 37<br />

SECCIONES 7-1 Y 7-2<br />

7-1. Agregue otro flip-flop, E, al contador <strong>de</strong> la figura 7-1. La señal <strong>de</strong> rel<br />

onda cuadrada <strong>de</strong> 8 MHz<br />

(a) ¿Cuál será la frecuencia en la salida F1 ¿Cuál será el ciclo <strong>de</strong> trab~<br />

ta señal?<br />

(b) Repita el indso (a) si la señal <strong>de</strong> reloj tiene un ciclo <strong>de</strong> trabajo d<br />

ciento.<br />

(c) ¿Cuál será la frecuencia en la salida a<br />

(d) ¿Cuál es el número MOD <strong>de</strong> este contador?<br />

7-2. Construya un contador binario que convierta una señal <strong>de</strong> pulso <strong>de</strong><br />

una onda cuadrada <strong>de</strong> 2 kHz.<br />

7-3. Suponga que un contador binario <strong>de</strong> cinco bits inicia en el estac<br />

¿Cuál será el conteo <strong>de</strong>spués <strong>de</strong> 144 pulsos <strong>de</strong> entrada?<br />

7-4. Use flip-flops J-K y cualquier otra lógica necesaria para construir un<br />

asíncrono MOD-24.<br />

7-5. Dibuje las formas <strong>de</strong> onda <strong>de</strong> todos los flip-flops en el contador d(<br />

<strong>de</strong> la figura 7-6(b) en respuesta a una frecuencia <strong>de</strong> reloj <strong>de</strong> 1 kHz<br />

cualquier estado transitorio que podría aparecer en alguna <strong>de</strong> las ~<br />

los flip-flops. Determine la frecuencia en la salida D.<br />

7-6. Repita el problema 7-5 para el contador <strong>de</strong> la figura 7-6(a).<br />

7-7. Cambie las entradas <strong>de</strong> la compuerta NAND <strong>de</strong> la figura 7-7 <strong>de</strong> mo,<br />

contador divida la frecuencia entre 50. Repita para una división <strong>de</strong> f<br />

entre 100.<br />

7-8. Con frecuencia se emplea un contador o un grupo <strong>de</strong> contadores p~<br />

una señal <strong>de</strong> reloj <strong>de</strong> alta frecuencia hasta una salida <strong>de</strong> frecuenc<br />

Cuando estos contadores son binarios (es <strong>de</strong>cir, cuentan en la secue<br />

ria), la salida no será una onda cuadrada simétrica, si la secuencia<br />

ha acortado con el fin <strong>de</strong> produdr el número MOD <strong>de</strong>seado. Por<br />

consulte la forma <strong>de</strong> onda C <strong>de</strong>l contador MOD-6 <strong>de</strong> la figura 7-4.<br />

Cuando se emplea un contador sólo para la división <strong>de</strong> frecuen<br />

necesario que cuente en una secuencia binaria, siempre y cuando te<br />

mero MOD <strong>de</strong>seado. Se pue<strong>de</strong> obtener una salida <strong>de</strong> onda cuadra(


Pr(tbl('.naN<br />

3 of 37<br />

399<br />

1<br />

f<br />

.<br />

.1<br />

,<br />

,<br />

Entrada<br />

JU"U"\.<br />

1<br />

1<br />

ca para cualquier número MOD par, dividiendo el número MOD en el producto<br />

<strong>de</strong> dos números MOD, uno <strong>de</strong> los cuales es una potencia <strong>de</strong> 2. Por<br />

ejemplo, un contador MOD-6 se pue<strong>de</strong> formar a partir <strong>de</strong> un contador MOD-<br />

3 y <strong>de</strong> un contador MOD-2, como se muestra en la figura 7-61.<br />

En este caso los flip-flops A y B Y la compuerta NAND constituyen el contador<br />

MOD-3, cuya salida B tiene un tercio <strong>de</strong> la frecuencia <strong>de</strong> los pulsos <strong>de</strong><br />

entrada. Esta salida B está conectada a la entrada <strong>de</strong>l flip-flop c, la cual actúa<br />

como un contador MOD-2 para dividir la frecuencia hasta un sexto <strong>de</strong> la frecuencia<br />

<strong>de</strong> los pulsos <strong>de</strong> entrada.<br />

(a) Suponga que todos los flip-flops inicialmente están en BAJO y bosqueje<br />

las fonnas <strong>de</strong> onda en cada salida <strong>de</strong> los flip-flops para 12 ciclos <strong>de</strong> la entrada.<br />

(b) Dibuje el diagrama <strong>de</strong> transición <strong>de</strong> estados y muestre que no es una secuencia<br />

binaria normal.<br />

SECCiÓN 7-3<br />

B 7-9. En la figura 7-8 conecte Q¡ a -CPt y MRt, Y conecte ~ a MR2. Si se aplican<br />

pulsos <strong>de</strong> 180 kHz a "CPo, <strong>de</strong>termine lo siguiente: (a) la secuencia <strong>de</strong> conteo,<br />

(b) el número MOD, (c) la frecuencia en ~.<br />

D 7-10. Demuestre cómo se pue<strong>de</strong> usar un contador 74LS293 para producir una salida<br />

<strong>de</strong> 1.2 kpps a partir <strong>de</strong> una entrada <strong>de</strong> 18 kpps.<br />

D 7-11. Muestre cómo se pue<strong>de</strong>n conectar dos 74LS293 para dividir una frecuencia <strong>de</strong><br />

entrada entre 60, mientras se produce una salida <strong>de</strong> onda cuadrada simétrica.<br />

C 7-12. Determine la frecuencia en la salida X <strong>de</strong> la figura 7-62.<br />

D 7-13. (a) Agregue la lógica necesaria a un 74HC4024 <strong>de</strong> modo que opere como un<br />

contador MOD-100.<br />

(b) Use un 74HC4024 y cualquier lógica necesaria para convertir una señal <strong>de</strong><br />

10 kpps a 1 pps.<br />

B<br />

SECCIÓN 7-4<br />

7-14. (a) Dibuje el diagrama para un contador <strong>de</strong>scen<strong>de</strong>nte MOD-16.<br />

(b) Construya el diagrama <strong>de</strong> transición <strong>de</strong> estados.


400<br />

1 (;ítpílt,lo 7 I <strong>Contadores</strong> y registros<br />

4 of 37<br />

FIGURA 7-62<br />

Problemas 7-12 Y 7-64.<br />

7-15.<br />

(c) Si el contador inicialmente está en el estado 0110, ¿en que estado<br />

<strong>de</strong>spués <strong>de</strong> 37 pulsos <strong>de</strong> reloj?<br />

Consulte el contador que se muestra en la figura 7-63. ¿Cómo pue<strong>de</strong> saber si<br />

trata <strong>de</strong> un contador <strong>de</strong>scen<strong>de</strong>nte? Ha sido modificado <strong>de</strong> manera que no<br />

ta a través <strong>de</strong> la secuencia binaria completa 111 a 000. Determine la<br />

real a la que cuenta.<br />

iT~ "~ ""!?;J;('KsonAl~<br />

r' ,t~<br />

FIGURA 7-63 Problema 7-15.<br />

8<br />

c<br />

SECCiÓN 7-5<br />

7-16. Un contador <strong>de</strong> rizo <strong>de</strong> cuatro bits se excita mediante una señal <strong>de</strong> reloj <strong>de</strong><br />

MHz. Dibuje las formas <strong>de</strong> onda en la salida <strong>de</strong> cada FF si tiene tp


('r(tblt'mas<br />

5 of 37<br />

1 401<br />

_fl_ILfL<br />

C,T<br />

B<br />

N,C<br />

Analice su operación <strong>de</strong>tenTlinando su secuencia <strong>de</strong> conteo y luego dibuje las<br />

formas <strong>de</strong> onda en cada salida <strong>de</strong> los FFs (véase la sección 5-23 para repasar<br />

el procedimiento <strong>de</strong> análisis). Suponga que todos los flip-flops inicialmente<br />

están en el estado O.<br />

7-20. Simplifique el contador <strong>de</strong> la figura 7-18(a) <strong>de</strong> modo que se transforme en un<br />

contador síncrono <strong>de</strong>scen<strong>de</strong>nte MOD-8.<br />

7-21. Describa cómo operaría el contador ascen<strong>de</strong>nte-<strong>de</strong>scen<strong>de</strong>nte <strong>de</strong> la figura 7-18<br />

si la salida <strong>de</strong>l INVERSOR estuviera clavada en AlTO.<br />

SECCIONES 7-8 Y 7-9<br />

7-22. Modifique el circuito <strong>de</strong> la figura 7-22 <strong>de</strong> manera que el contador se preestablezca<br />

a 0101 y cuente hacia abajo a 0000. Dibuje las formas <strong>de</strong> onda en cada<br />

salida <strong>de</strong> los flip-flops en la salida "TC'o durante 10 ciclos <strong>de</strong> reloj.<br />

7-23. En la figura 7-65 se muestra cómo un contador <strong>de</strong>scen<strong>de</strong>nte con preestablecimiento<br />

se pue<strong>de</strong> usar en un circuito temporizador programable. La frecuencia<br />

<strong>de</strong> entrada <strong>de</strong> reloj es exaaamen~e <strong>de</strong> 1 Hz <strong>de</strong>rivada <strong>de</strong> la frecuenda <strong>de</strong> línea <strong>de</strong><br />

60 Hz <strong>de</strong>spués <strong>de</strong> la división entre 60. Los interruptores SI a S4 se emplean<br />

para preestabler el contador a un conteo <strong>de</strong> inicio <strong>de</strong>seado cuando se aplica<br />

un pul.,o momentáneo a n. La operación <strong>de</strong>l temporizador se inicia presionando<br />

el interruptor <strong>de</strong>l botón INICIO. El flip-flop Z se usa para eliminar efectos<br />

<strong>de</strong> rebote en el interruptor INICIO. El MV monoestable se usa para proporcionar<br />

un pulso muy breve a la entrada n. La salida <strong>de</strong>l flip-flop X será una forma<br />

<strong>de</strong> onda que pasa a ALTO durante un número <strong>de</strong> segundos igual al número<br />

fijado en los interruptores.<br />

, (a) Suponga que todos los flip-flops y el contador están en estado O; analice y<br />

explique la operación <strong>de</strong>l circuito, mostrando las formas <strong>de</strong> onda cuando<br />

sea necesario, para el caso en que SI y S4 sean BAJAS y S2 y S3, ALTAS.<br />

Asegúrese <strong>de</strong> explicar la función <strong>de</strong>l flip-flop X.<br />

(b) ¿Por qué no se pue<strong>de</strong> tomar la salida <strong>de</strong>l temporizador en la salida TCo?<br />

(c) ¿Por qué el interruptor INICIO no se pue<strong>de</strong> usar para disparar el MV monoestable<br />

directamente?<br />

(d) ¿Qué pasará si el interruptor INICIO se mantiene presionado durante mucho<br />

tiempo? Agregue la lógica necesaria para asegurar que manteniendo<br />

presionado el interruptor INICIO no se afectará la operación <strong>de</strong>l temporizador<br />

(sincronizador).


402<br />

Capítulo 7 / <strong>Contadores</strong> y registros<br />

6 of 37<br />

.Il__IL<br />

1 Hz<br />

FIGURA 7-65 Problemas 7-23, 7-63 Y 7-68.<br />

7-24. Modifique el circuito <strong>de</strong> la figura 7-24 <strong>de</strong> manera que funcione como un con.<br />

tador MOD-IO. La frecuencia en la salida ~ <strong>de</strong>be ser un décimo <strong>de</strong> la fre~,"<br />

la entrada CPo. Dibuje las formas <strong>de</strong> onda en ~, ~, ~,<br />

7-25.<br />

<strong>de</strong><br />

7-26.<br />

figura 7-25: (1) borrar el conteo a O; (2) contar hasta<br />

conteo a 7610; contar hacia abajo hasta O.<br />

(a)<br />

(b)<br />

(c)<br />

(d)<br />

(e)<br />

El número MOD global<br />

La función que realizan las entradas MR<br />

La función que <strong>de</strong>sempeñan las entradas MS<br />

¿Se trata <strong>de</strong> un contador ascen<strong>de</strong>nte o <strong>de</strong>scen<strong>de</strong>nte?<br />

¿Cómo lo conectaría para que funcionara como un contador BCD? (con~<br />

sulte la hoja <strong>de</strong> datos en el CD-ROM.)


Problt'ma~<br />

7 of 37<br />

403<br />

FIGURA 7-66<br />

Problema 7-27.<br />

MR1<br />

MR2<br />

MS,<br />

M~<br />

CT.0<br />

CTR<br />

CT . 9<br />

cP-;; DIV2 00<br />

CP;<br />

DtV5 { O O,<br />

CT O2<br />

2 03<br />

749On4290<br />

(1) ¿Cómo lo conectaría para dividir la frecuencia <strong>de</strong> reloj entre 10 y producir<br />

una onda cuadrada simétrica?<br />

7-28. El CI contador 74192 opera exactamente igual que el 74193. excepto por las<br />

siguientes diferencias:<br />

. El 74192 es un contador BCO que cuenta hacia arriba <strong>de</strong> O a 9. o hacia<br />

abajo <strong>de</strong> 9 a O.<br />

. La salida re u se artiva cuando el conteo es 9 y la entrada CPu es BAJA.<br />

Modifique el símbolo IEEE! ANSI <strong>de</strong> la figura 7-26 <strong>de</strong> modo que represente al<br />

74192.<br />

B<br />

B<br />

B<br />

SECCIONES 7-11 Y 7-12<br />

7-29. Dibuje las compuertas necesarias para <strong>de</strong>codificar todos los estados <strong>de</strong> un<br />

contador MOD-16 usando salidas activas en BAJO.<br />

7-30. Dibuje las compuertas ANO necesarias para <strong>de</strong>codificar los 10 estados <strong>de</strong>l<br />

contador BCD <strong>de</strong> la figura 7-6(b).<br />

7-31. En la figura 7-67 se muestra un contador <strong>de</strong> rizo que se usa para ayudar a generar<br />

formas <strong>de</strong> onda <strong>de</strong> control. Las formas <strong>de</strong> onda 1 y 2 se podrían usar para<br />

muchos fines, incluyendo control <strong>de</strong> motores, solenoi<strong>de</strong>s, válvulas y<br />

calentadores. Detennine las formas <strong>de</strong> onda <strong>de</strong> control, suponiendo que todos<br />

los flip-flops inicialmente están en BAJO. Ignore las fallas o mal funcionamiento<br />

en la <strong>de</strong>codificaci6n. Suponga la frecuencia <strong>de</strong> reloj = 1 kpps.<br />

7-32. Dibuje las formas <strong>de</strong> onda completas en la salida <strong>de</strong> las compuertas <strong>de</strong> <strong>de</strong>codificad6n<br />

<strong>de</strong> un contador <strong>de</strong> rizo MOD-16, incluyendo cualquier estado transitorio<br />

o pico que pudieran ocurrir <strong>de</strong>bido a los retardos <strong>de</strong> los flip-flops. ¿Por<br />

qué las compuertas que <strong>de</strong>codifican los números pares son las únicas que tienen<br />

estados transitorios?<br />

7-33. El circuito <strong>de</strong> la figura 7-67 podría funcionar erróneamente <strong>de</strong>bido a estados<br />

transitorios en las salidas <strong>de</strong> las compuertas NAND <strong>de</strong> <strong>de</strong>codificaci6n.<br />

(a) Determine en qué punto(s) los estados transitorios pue<strong>de</strong>n causar una<br />

operación errónea.<br />

(b) ¿Cuáles son las dos formas que se pue<strong>de</strong>n usar para eliminar la posibilidad<br />

<strong>de</strong> una operaci6n errónea?<br />

SECCiÓN 7-13<br />

7-34. ¿Cuántos flip-flops se usan en la figura 7-32? Indique los estados <strong>de</strong> cada uno<br />

<strong>de</strong> éstos <strong>de</strong>spués que ocurren 795 pulsos una vez que los contadores se hayan<br />

bon-ado.<br />

7-35. ¿Cuántos contadores BCD en cascada se necesitan para tener capacidad <strong>de</strong><br />

contar hasta 8(XX)? ¿Cuántos flip-flops se requieren para esta operación? Com-


404<br />

(~élpíl"lo 7 I <strong>Contadores</strong> y registros<br />

8 of 37<br />

D J C J B J A J<br />

ClK CLK CLK CLK .nJl_IL<br />

O K C K i K A K<br />

,<br />

J X<br />

D<br />

CB Control' 1<br />

A<br />

X y Control' 2<br />

O<br />

ClK<br />

c B -<br />

A<br />

Y<br />

FIGURA 7-67<br />

Problema 7-31.<br />

pare esto con el número <strong>de</strong> flip-flops que se requieren pan que un (.'ontador<br />

binario normal cuente hasta 8000. Debido a que emplea más flip-tlops, ¿por<br />

qué se usa el método <strong>de</strong> contadores BCD en cascada?<br />

D<br />

c,D<br />

~D<br />

SECCIÓN 7-14<br />

7-36. (a) Diseñe un contador síncrono que tenga la siguiente secuencia: 000, 010, ~<br />

101,110 Y repita. Los eStad~ no <strong>de</strong>seados (sin uso) 001, 011,100 Y 111<br />

siempre <strong>de</strong>ben pasar a ()()() en el SIGUIENTE pulso <strong>de</strong> reloj.<br />

(b) Rediseñe el contador <strong>de</strong>l inciso (a) sin ningún requisito en los estados no<br />

usados; es <strong>de</strong>cir, sus estados SIGUIENTES pue<strong>de</strong>n ser condiciones <strong>de</strong><br />

"no importa". Compare con el diseño <strong>de</strong> (a).<br />

7-37. Use el procedimiento <strong>de</strong> diseño <strong>de</strong> un contador síncrono para crear un contador<br />

<strong>de</strong>scen<strong>de</strong>nte síncrono <strong>de</strong> cuatro bits que cuente a través <strong>de</strong> todos los estados,<br />

<strong>de</strong> 1111 a ~. Compare su resultado con el contador <strong>de</strong>scen<strong>de</strong>nte<br />

síncrono que se <strong>de</strong>scribe en la sección 7-7.<br />

7-38. Usando un procedimiento similar al que se siguió en el diseño <strong>de</strong>l contador<br />

para excitar el motor paso a paso (figura 7-39), diseñe un contador ...íncrono<br />

<strong>de</strong> tres bits que cuente <strong>de</strong> forma ascen<strong>de</strong>nte o <strong>de</strong>scen<strong>de</strong>nte bajo el control <strong>de</strong><br />

la entrada <strong>de</strong> Dirección, D. Debe contar hacia arriba cuando D = 1 Y hacia<br />

abajo cuando D = O. (Sugerencia: este es un problema <strong>de</strong> cuatro variables.)<br />

Compare su circuito final con el contador síncrono ascen<strong>de</strong>nte-<strong>de</strong>scen<strong>de</strong>nte<br />

<strong>de</strong> la figura 7-18.<br />

SECCiÓN 7-15<br />

7-39. Dibuje el diagrama para un contador <strong>de</strong> cinco bits usando flip-flops J-K.<br />

7-40. Combine el contador <strong>de</strong> anillo <strong>de</strong>l problema 7-39 con un solo flip-flop J-K para<br />

producir un contador MOD-IO. Determine la secuencia <strong>de</strong> estados para es--<br />

te contador. Este es un ejemplo <strong>de</strong> un contador <strong>de</strong> <strong>de</strong>cenas que no es BCD.<br />

7-41. Dibuje el diagrama para un contador Johnson MOD-IO usando flip-flops J-K y<br />

<strong>de</strong>termine su secuencia <strong>de</strong> conteo. Dibuje el circuito <strong>de</strong> <strong>de</strong>codificación necesario<br />

para <strong>de</strong>codificar cada uno <strong>de</strong> los 10 estados. Este es otro ejemplo <strong>de</strong> un<br />

contador <strong>de</strong> <strong>de</strong>cenas que no es un contador BCD.


Prc..blc'.níIS<br />

405<br />

9 of 37<br />

fl~~ Contador w Contador x Contador y Contador z<br />

= - <strong>de</strong> anillo en paralelo -. <strong>de</strong> rizo ~ Johnson<br />

160 kHz 1o-bit <strong>de</strong> 4-bits MOD-25 <strong>de</strong> 4-bits<br />

PARTE 11<br />

c :<br />

.,.'<br />

i..<br />

';:,<br />

~..<br />

.;;L<br />

."<br />

..<br />

7-42. Determine la frecuencia <strong>de</strong> los pulsos en l~s puntos w, x, y y z en el circuito<br />

<strong>de</strong> la figura 7-68.<br />

7-43. (a) En una máquina <strong>de</strong> pinball hay un grupo <strong>de</strong> ocho luces <strong>de</strong> visualización<br />

que está controlado por los flip-flops <strong>de</strong> un contador <strong>de</strong> anillo <strong>de</strong> ocho<br />

bits, los cuales se sincronizan mediante una señal <strong>de</strong> reloj <strong>de</strong> 2 pps. Describa<br />

el efecto visual que se produce.<br />

(b) Repita para un contador johnson <strong>de</strong> ocho bits.<br />

SECCIÓN 7-16<br />

7-44. Como se indicó, el contador <strong>de</strong> frecuencia <strong>de</strong> la figura 7-46 tiene la <strong>de</strong>sventaja<br />

<strong>de</strong> que el visualizador muestra todas las operaciones <strong>de</strong>l contador (restablecimiento,<br />

conteo, retención) y por lo tanto resulta confuso, si no es que ilegible.<br />

Esto se pue<strong>de</strong> superar mediante la adición <strong>de</strong> registros <strong>de</strong> memoria intermedia<br />

para almacenar el contenido <strong>de</strong>l contador al final <strong>de</strong> cada intervalo <strong>de</strong> conteo<br />

(t3 a t4 en la figura 7-46), y mantenerlo para visualización hasta el final <strong>de</strong>l siguiente<br />

intervalo <strong>de</strong> conteo (17 a tg). En la figura 7-69 se muestra esta modificación.<br />

Entre cada contador BCD y su unidad <strong>de</strong> <strong>de</strong>codificación-visualización<br />

se ha insertado un registro <strong>de</strong> memoria intermedia que consta <strong>de</strong> cuatro flipflops<br />

D.<br />

(a) Analice este circuito y <strong>de</strong>scriba su operación, particularmente la transfe-<br />

. rencia <strong>de</strong> datos <strong>de</strong> los contadores al visualizador.<br />

(b) ¿Qué se vería en un visualizador <strong>de</strong> tres dígitos si la frecuencia <strong>de</strong>sconocida<br />

fuera constante a 2570 pps Y el intervalo <strong>de</strong> muestreo fuera <strong>de</strong> 0.1 s?<br />

(c) ¿Qué se vería en este visualizador si la frecuencia <strong>de</strong>sconocida cambiara<br />

repentinamente a 3230 pps?<br />

7-45. En el contador <strong>de</strong> frecuencia <strong>de</strong> la figurd 7-69 se empelan tres contadores<br />

BCD y un intervalo <strong>de</strong> muestreo <strong>de</strong> 100 p..s. Determine las lecturas en los tres<br />

visualizadores <strong>de</strong>l contador <strong>de</strong> frecuencia para cada una <strong>de</strong> las siguientes frecuencias<br />

<strong>de</strong> entrada.<br />

(a) 220 kpps<br />

(b) 4.5 Mpps<br />

(c) 750 pps<br />

,,;: ::<br />

.<br />

D<br />

D<br />

D<br />

SECCiÓN 7-17<br />

7-46. Diseñe el circuito completo para la sección <strong>de</strong> SEGUNDOS <strong>de</strong>l circuito <strong>de</strong> reloj<br />

digital <strong>de</strong> la figura 7-47. Use un 74LS293 para el MOD-6 y otro 74LS90 para<br />

el BCD (véase el manual <strong>de</strong> TI1. o el CD ROM para obtener información<br />

acerca <strong>de</strong>l 74LS290).<br />

7-47. El reloj digital <strong>de</strong> la figura 7-47 <strong>de</strong>be tener algún medio para establecer manualmente<br />

las secciones <strong>de</strong> HORAS y MINUTOS con el tiempo correcto <strong>de</strong> inicio.<br />

Por ejemplo, esto se pue<strong>de</strong> hacer cambiando la señal <strong>de</strong> 1 pps hacia la<br />

sección <strong>de</strong> MINUTOS cuando se active el botón <strong>de</strong> presión ESTABLECER<br />

MINUTOS. Una opción similar se pue<strong>de</strong> hacer con el botón <strong>de</strong> presión ES-<br />

TABLECER HORAS. Diseñe la lógica necesaria para proporcionar esta capacidad<br />

usando dos interruptores <strong>de</strong> botón <strong>de</strong> presión.<br />

7-48. Modifique la sección <strong>de</strong> HORAS <strong>de</strong>l reloj digital (figura 7-48) <strong>de</strong> modo que<br />

cuente y represente el tiempo en formato militar (es <strong>de</strong>cir, 00 a 23 horas).


406<br />

Capít nao 7 I <strong>Contadores</strong> y registros<br />

10 of 37<br />

Q, 00<br />

Jl<br />

1<br />

Rr<br />

FIGURA 7-69<br />

Problemas 7-44 Y 7-49.<br />

D<br />

SECCIONES 7-19 Y 7-20<br />

7-49. Modifique el contador <strong>de</strong> frecuencia <strong>de</strong> la figura 7-69 <strong>de</strong> modo que se<br />

pleen circuitos integrados 74ALS174 para registros <strong>de</strong> memoria in<br />

Suponga que la sección <strong>de</strong>l contador contiene tres contadores BCD y un"<br />

sualizador <strong>de</strong> tres dígitos.<br />

7-50. En el ejemplo 7-20 se analizó cómo un 74ALS174 se pue<strong>de</strong> alambrar como<br />

registro <strong>de</strong> <strong>de</strong>splazamiento. Muestre cómo conectar el 74ALS174 (y<br />

lógica necesaria) <strong>de</strong> manera que opere como un contador Johnson.<br />

el número MOD?<br />

7-51. Suponga que un 74ALS174 está conectado como sigue:<br />

Mil = ALTO; ~ -+ ~; ~ -+ ~; ~ -+ Do<br />

~ = ~ = ALTO; D4 = BAJO<br />

Suponga que todos los flip-flops tienen un tiempo <strong>de</strong> retención cero Y<br />

inicialmente están en BAJO.<br />

(a) Determine los estados <strong>de</strong> cada FF <strong>de</strong>spués que se aplica un solo pulso a<br />

(b) Repita para un segundo pulso <strong>de</strong> reloj.<br />

7-52. Consi<strong>de</strong>re la situación que se representa mediante las formas <strong>de</strong> onda <strong>de</strong><br />

gura 7-52. Si Ds pasa a BAJO justo antes <strong>de</strong> ~47, ¿cuándo Qó3 pasará a


Probl('nla~<br />

11 of 37<br />

1 407<br />

7-53. Muestre cómo el chip 4731B se pue<strong>de</strong> conectar como un registro <strong>de</strong> <strong>de</strong>splazamiento<br />

<strong>de</strong> 256 bits.<br />

c,D<br />

SECCIONES 7-21 Y 7-22<br />

7-54. Modifique el circuito <strong>de</strong> la figura 7-55, <strong>de</strong> ~era que la salida <strong>de</strong>l INVERSOR<br />

esté conectada a la entrada A en lugar <strong>de</strong> MR.<br />

(a) Dibuje las formas <strong>de</strong> onda en cada salida <strong>de</strong> los flip-flops en respuesta a<br />

las formas <strong>de</strong> onda <strong>de</strong> entrada que se muestran en la figura 7-70.<br />

(b) Agregue la lógica necesaria para producir una salida <strong>de</strong> señal <strong>de</strong> sincronización<br />

que pase a ALTO sólo durante los intervalos tI a t2 y fg a ~.<br />

(c) Agregue la lógica necesaria para producir una señal <strong>de</strong> sincronización<br />

que pase a BAJO sólo durante el intervalo t4 a ry.<br />

C p -_JLrU1.J-U1JlJ-l_J-1JU-U-U-ULJ-U-UL<br />

I I I I I I I I I I I I I I I I 1<br />

I I I I I I I I I I 1 I I I I I I<br />

MR ~ .1 1 1 I I I I I ~ t!! -t i~ I<br />

: u; : : : : : : : : : : : : : : :<br />

to 11 12 13 ~ ~ te 17 te ~ 1~o 111 112 113 1'4 1f& 1'8<br />

FIGURA 7-70<br />

Problema 7-54.<br />

N<br />

7-55. Un 74HC165 está conectado como se muestra en la fi~ra 7-71. Suponga que<br />

antes <strong>de</strong> 10. se aplicaron pulsos a CP y la entrada SH/ LD se ha mantenido en<br />

ALTO durante mucho tiem-E-O' Dibuje la forma <strong>de</strong> onda ~ en respuesta a las<br />

formas <strong>de</strong> onda CP y SH/ W iniciando en 10.<br />

7-56. Mientras examina el diagrama <strong>de</strong> una cierta pieza <strong>de</strong> equipo, a menudo un<br />

técnico o un ingeniero encuentra un CI con el que no está familiarizado. En<br />

esos casos, con frecuencia es necesario consultar el manual <strong>de</strong> datos <strong>de</strong>l CI<br />

<strong>de</strong>l fabricante para buscar las especificaciones <strong>de</strong>l dispositivo. La información<br />

en las hojas <strong>de</strong> datos <strong>de</strong>l CI siempre está completa, pero a veces es difícil enten<strong>de</strong>rla,<br />

en especial si se trata <strong>de</strong> alguien con poca experiencia. Este problema<br />

le proporcionará experiencia para obtener información acerca <strong>de</strong> un CI<br />

muy complejo, el registro <strong>de</strong> <strong>de</strong>splazamiento bidireccional universal 74194.<br />

Consulte el CD-ROM o su manual <strong>de</strong> datos <strong>de</strong>l CI para respon<strong>de</strong>r a las siguientes<br />

preguntas. Fundamente sus respuestas.<br />

(a) ¿Es asíncrona o síncrona la entrada CLR?<br />

(b) Cie11oo falso; cuando CLK está en BAJO, los niveles ~ y St no tienen<br />

efecto en el registro.<br />

(c) Suponga las siguientes condiciones:<br />

~QBQcQD = 1 011<br />

ABCD = O110<br />

CLR=l<br />

SR SER = O<br />

SL SER = 1<br />

(d)<br />

(c)<br />

(f)<br />

(g)<br />

Si ~ = O y St = 1, ¿cuále serán las salidas <strong>de</strong>l registro <strong>de</strong>spués <strong>de</strong> un pulso<br />

CLK? ¿Después <strong>de</strong> dos? ¿De tres? ¿De cuatro?<br />

Use las mismas condiciones, excepto ~ = 1, SI = O, y repita el inciso (c).<br />

Repita el inciso (c) con ~ = SI = 1.<br />

Repita el inciso (c) con ~ = St = O.<br />

Use las mismas condiciones que en el inciso (c), pero suponga que la salida<br />

QA está conectada a SL SER. ¿Cuále serán las salidas <strong>de</strong> los registros<br />

<strong>de</strong>spués <strong>de</strong> cuatro pulsos CLK?


408<br />

(:apílul(» 7 / <strong>Contadores</strong> y registros<br />

12 of 37<br />

SH/LO<br />

CP<br />

FIGURA 7-71<br />

Problema 7-55.<br />

(h) Muestre cómo conectar este<br />

110 que cuente a través <strong>de</strong><br />

0010, 0100, 1000 Y repita.<br />

CI para que funcione como contador <strong>de</strong><br />

la siguiente secuencia QA QB Qc Qn: 0001,<br />

T<br />

T<br />

T<br />

SECCIÓN 7-24<br />

7-57. Un técnico prueba el contador <strong>de</strong> la figura 7-57(a) aplicando una señal<br />

reloj <strong>de</strong> baja frecuencia y monitoreando las salidas <strong>de</strong> los flip-flops en los<br />

indicadores. Observe la secuencia repetitiva que indican los LEDs (tabla 7-9)<br />

¿Cuáles son las posibles razones por las que el contador no cuenta apropiadamente?<br />

7-58. Consulte el circuito <strong>de</strong> reloj digital <strong>de</strong> las figuras 7-47 y 7-48. Un técnico que<br />

está probando el circuito observa que las secciones <strong>de</strong> SEGUNDOS y MINU-:<br />

TOS cuentan <strong>de</strong> manera a<strong>de</strong>cuada, pero la sección <strong>de</strong> HORAS lo hace<br />

sigue: 01, 02, 03, 04, 05, 06, 07, 08, 09, 10, 11, 12, 11, 12, 11, 12, . . .<br />

es la causa probable <strong>de</strong>l mal funcionamiento?<br />

7-59. Un técnico prueba el circuito <strong>de</strong> reloj digital (figuras 7-47 y 7-48) Y<br />

que la sección <strong>de</strong> HORAS no cuenta y la sección <strong>de</strong> MlNUfOS cuenta <strong>de</strong> 00<br />

TABLA 7-9


IJrc.I)lc~m.ls<br />

TABLA 7.10<br />

13 of 37<br />

1 409<br />

T 7-60.<br />

T 7-61.<br />

T 7-62.<br />

T 7-63.<br />

T 7-64.<br />

39, luego se recicla a 00 y repite. ¿Cuáles son las causas probables <strong>de</strong> este<br />

comportamiento incorrecto?<br />

Consulte el contador <strong>de</strong> frecuencia modificado <strong>de</strong> la figura 7-69. Suponga que<br />

hay tres etapas <strong>de</strong> contadores BCD con registros <strong>de</strong> memoria intermedia respectivos.<br />

El intervalo <strong>de</strong> muestreo se fija en 1 s y la frecuencia <strong>de</strong>sconocida es<br />

<strong>de</strong> 125 pps. Describa qué aparecerá en el visualizador para cada una <strong>de</strong> las siguientes<br />

fallas <strong>de</strong>l circuito.<br />

(a) Una conexión abierta en la entrada superior <strong>de</strong> la compuerta AND.<br />

(b) Una resistencia RT quemada.<br />

Un técnico prueba el contador <strong>de</strong> frecuencia <strong>de</strong> la figura 7-69 usando un intervalo<br />

<strong>de</strong> muestreo <strong>de</strong> 1 s y una frecuencia <strong>de</strong>sconocida <strong>de</strong> 125 pps. El técnico<br />

espera ver una visualización <strong>de</strong> 125, pero en vez <strong>de</strong> eso ve que el<br />

visualizador cambia cada cierto número <strong>de</strong> segundos como sigue: 125, 250,<br />

375, 500, 625, 750, 875, 000, 125, 250, . . . ¿Cuál pue<strong>de</strong> ser la causa <strong>de</strong> este<br />

mal funcionamiento?<br />

Consulte el contador ascen<strong>de</strong>nte-<strong>de</strong>scen<strong>de</strong>nte <strong>de</strong> la figura 7-18. Describa cómo<br />

cada una <strong>de</strong> las siguientes fallas <strong>de</strong>l circuito afectan las operaciones <strong>de</strong><br />

conteo ascen<strong>de</strong>nte y <strong>de</strong>scen<strong>de</strong>nte.<br />

(a) La salida <strong>de</strong> la compuerta AND 4 está internamente cortocircuitada a V cc.<br />

(b) Un puente <strong>de</strong> soldadura está cortocircuitando la salida <strong>de</strong> la compuerta<br />

AND 1 a la salida <strong>de</strong> la compuerta AND 3.<br />

Un técnico realiza una prueba en el circuito temporizador Csincronizador) <strong>de</strong><br />

la figura 7-65 y registra los resultados que se muestran en la tabla 7-10. Examine<br />

los datos registrados y <strong>de</strong>termine las causas posibles <strong>de</strong> la operación <strong>de</strong>fectuosa.<br />

Un técnico alambra el circuito contador <strong>de</strong> la figura 7-62. Luego aplica una señal<br />

exacta <strong>de</strong> 8.64 kpps a la entrada y mi<strong>de</strong> una frecuencia <strong>de</strong> 54 pps en X en<br />

lugar <strong>de</strong> la esperada <strong>de</strong> 60 pps. ¿Qué error probable cometió en el alambrado?<br />

SECCiÓN 7-25<br />

7-65. Escriba el archivo CUPL para crear el contador que se <strong>de</strong>scribe en la figura 7-33<br />

usando operaciones booleanas.<br />

7-66. Escriba el archivo CUPL para crear el contador que se <strong>de</strong>scribe en la figura 7-33<br />

usando el operador <strong>de</strong> igualdad.<br />

B<br />

PREGUNTAS DE EJERCICIO<br />

7-67. Para cada un~ <strong>de</strong> los siguientes enunciados indique el o los tipos <strong>de</strong> contadores<br />

que se <strong>de</strong>scriben.<br />

(a) Cada FF se sincroniza al mismo tiempo.<br />

(b) Cada FF divi<strong>de</strong> la frecuencia en su entrada CLKentre 2.<br />

(c) La secuencia <strong>de</strong> conteo es 111, 110, 101, 100,011,010,001,000.<br />

(d) El contador tiene 10 estados diferentes.<br />

(e) El retardo total en la conmutación es la suma <strong>de</strong> los retardos individuale.s.<br />

(t) Este contador no requiere lógica <strong>de</strong> <strong>de</strong>codificación.


410<br />

Capítulo 7 / <strong>Contadores</strong> y registros<br />

14 of 37<br />

(g) El número MOD siempre es <strong>de</strong>l doble <strong>de</strong>l número <strong>de</strong> flip-flops.<br />

(h) Este contador divi<strong>de</strong> la frecuencia <strong>de</strong> entrada entre su número J<br />

(i) Este contador pue<strong>de</strong> iniciar su secuencia <strong>de</strong> conteo a partir <strong>de</strong><br />

estado <strong>de</strong>seado.<br />

(j)<br />

Este contador pue<strong>de</strong> contar en cualquier dirección.<br />

(k) Pue<strong>de</strong> afectarse por fallas o mal funcionamiento en la <strong>de</strong>codifi(<br />

(1) Cuenta <strong>de</strong> O a 99.<br />

(m)<br />

Pue<strong>de</strong> ser diseñado para contar a través <strong>de</strong> secuencias arbitrari2<br />

lo <strong>de</strong>terminar la lógica necesaria en las entradas] y K <strong>de</strong> cada j<br />

c,D<br />

APLICACIONES EN MICROCOMPUTADORA<br />

7-68. Un microprocesador que se usa en una aplicación <strong>de</strong> control con f<br />

<strong>de</strong>be controlar la sincronización <strong>de</strong> eventos externos, tales como el<br />

do o apagado <strong>de</strong> dispositivos como solenoi<strong>de</strong>s, motores, y relevadacciones<br />

se pue<strong>de</strong>n temporizar (sincronizar) usando software que e<br />

petitivamente un ciclo <strong>de</strong>l programa durante un número específico<br />

Sin embargo, esto pone una carga pesada en la MPU, porque no pu<br />

otra cosa mientras ejecuta el ciclo una y otra vez. Por esta razón, 1:<br />

<strong>de</strong> los intervalos temporizados por lo general los crea el hardware<br />

bajo el control <strong>de</strong> la MPU En otras palabras, la MPU enviará datos 3<br />

re para especificarle la duración <strong>de</strong>l intervalo que <strong>de</strong>be generar.<br />

En el problema 7-23 se vio cómo el CI 74HC193 se podría usar<br />

cuito temporizador (figura 7-65) para generar intervalos <strong>de</strong> tiemp(<br />

correspondientes a los datos binarios provenientes <strong>de</strong> cuatro inte<br />

Este circuito se pue<strong>de</strong> modificar <strong>de</strong> modo que los datos binarios r<br />

<strong>de</strong> una MPU y no <strong>de</strong> los interruptores. En la sección S-20 se vio t<br />

MPU podía transferir datos a un dispositivo externo usando sus sali<br />

rección, <strong>de</strong> datos y <strong>de</strong> reloj (figura 5-48).<br />

Muestre cómo combinar estos dos circuitos <strong>de</strong> modo que la salid<br />

porizador X genere un nivel ALTO para un intervalo (en segundos) i!<br />

mero binario que la MPU preestablece en el contador 74HC193.<br />

eliminar cualquier circuitería que no se use. SuP0.!!8a que la señal CP<br />

es una onda cuadrada <strong>de</strong> 1 MHz. Recuer<strong>de</strong> que PL es una entrada a:<br />

RESPUESTAS A LAS PREGUNTAS DE REPASO<br />

PARTE 1<br />

SECCiÓN 7-1<br />

l. Falso. 2. 0000.<br />

3. 128.<br />

SECCiÓN 7-5<br />

l. Cada FF agrega su retardo <strong>de</strong> propagación;<br />

total <strong>de</strong>l contador en respuesta a un pulso <strong>de</strong> I<br />

2. MOD-256.<br />

SECCiÓN 7-2<br />

1. D, C y A estados distintos. 2. Cierto, ya que un<br />

contador BCD tiene 10. 3. 5 kHz.<br />

SECCIÓN 7-3<br />

1. 250 Hz. 2. fenl60. 3. 4096. 4. El contador<br />

es MOO-64 y divi<strong>de</strong> la frecuencia entre 64. 5. Q6,<br />

Q~, Q~, Q,.<br />

SECCIÓN 7-4<br />

1. En un contador ascen<strong>de</strong>nte, el conteo se incrementa<br />

en 1 con cada pulso <strong>de</strong> reloj; en un contador <strong>de</strong>scen<strong>de</strong>nte<br />

el conteo disminuye en 1 con cada pulso. 2.<br />

La salida invertida <strong>de</strong> cada FF está conectada a la entrada<br />

CLK <strong>de</strong>l siguiente FF.<br />

SECCiÓN 7-6<br />

l. Pue<strong>de</strong> operar a mayores frecuencias <strong>de</strong> relc<br />

circuiteña más compleja. 2. Seis flip-flops<br />

compuertas AND. 3. ABCDE.<br />

SECCIÓN 7-8<br />

1. Se pue<strong>de</strong> preestabler a cualquier conteo ini<br />

do. 2. El preestablecimiento asíncrono es<br />

diente <strong>de</strong> la entrada <strong>de</strong> reloj, en tanto que el r<br />

cimiento síncrono ocurre en el bor<strong>de</strong> activo dI<br />

<strong>de</strong> reloj.<br />

SECCIÓN 7-9<br />

1. Cuando Pi se pulsa BAJO, el contador se J<br />

ce con el número binario presente en las entro


Rt'sput'stas<br />

él las<br />

prCAulltaN d(' 411<br />

2. Un estado en ALTO en MRelimina todas las<br />

entradas para restablecer el contador a 0000.<br />

4. 1, 1, O, respectivamente. 5. De O a<br />

Véase el texto apropiado. 2. (a) operación <strong>de</strong> contaascen<strong>de</strong>nte.<br />

(b) Esta entrada se opera con AND con<br />

. entrada o salida que tenga un "4" en su eti-<br />

. (c) Esta entrada controla el efecto <strong>de</strong> cualquier<br />

que tenga "S" en su etiqueta. (d) La entrada <strong>de</strong><br />

que está controlada por la entrada etiquetada CS.<br />

. Sesenta y cuatro. 2. Una compuerta NAND <strong>de</strong><br />

entradas A, B, C, D, Ey F.<br />

CIÓN 7-12<br />

Los estados transitorios podrian ser causados por escambiantes<br />

<strong>de</strong> los flip-flops uno a la vez durante<br />

transiciones <strong>de</strong> estados <strong>de</strong>l contador. 2. La señal<br />

selección estroboscópica inhibe las compuertas <strong>de</strong><br />

ción hasta que todos los flip-flops hayan comsus<br />

transiciones.<br />

2. Muestra los niveles necesarios<br />

.1 y K para producir cada transición <strong>de</strong> estado <strong>de</strong>l FF<br />

3. Muestra los niveles necesarios en las en-<br />

] y K <strong>de</strong> cada flip-flop para producir las transicio<strong>de</strong><br />

estado <strong>de</strong>l contador. 4. Cierto.<br />

2. Contador Johnson. 3.<br />

salida invertida <strong>de</strong>l último FF está conectada a la en<strong>de</strong>l<br />

primer FF. 4. (a) Falso. (b) Cierto.<br />

Cierto. 5. Dieciséis; ocho.<br />

PARTE II<br />

SECCiÓN 7-16<br />

l. 1 ms. 2. Contador borrado; el contador cuenta<br />

pulsos durante el intervalo <strong>de</strong> muestreo; el contador para<br />

y mantiene el conteo para visualización. 3. Un<br />

contador <strong>de</strong> anillo usa más flip-flops que un contador<br />

)ohnson.<br />

15 of 37<br />

SECCiÓN 7-17<br />

1. Forrnador <strong>de</strong> pulsos, divisor <strong>de</strong> frecuencia, contador<br />

<strong>de</strong> segundos y visualizador, contador <strong>de</strong> minutos y visualizador,<br />

contador <strong>de</strong> horas y visualizador. 2. Para<br />

cambiar la TPN <strong>de</strong> la sección <strong>de</strong> MINUTOS a una TPP<br />

necesaria por el 74192.<br />

SECCIONES 7-18 A 7-22<br />

1. Entrada en paralelo/salida serial. 2. Cierto.<br />

3. Entrada serial-salida en paralelo. 4. Entrada serial-salida<br />

serial. 5. El 74165 usa transferencia asíncrona<br />

<strong>de</strong> datos en paralelo; el 74174 usa la síncrona.<br />

6. Un estado en ALTO previene el <strong>de</strong>splazamiento en<br />

CP.<br />

SECCIÓN 7-3<br />

1. Separa las dos funciones idénticas que realiza esa entrada.<br />

2. SRG 64.<br />

SECCiÓN 7-25<br />

l. Flip-flops D. 2. Si la ecuación se escribe con una<br />

extensión .D, el compilador conectará el flip-flop con el<br />

pin <strong>de</strong> salida. De otro modo, conectará la compuerta<br />

ORo 3. Hará IWisted.D = 011 siempre que el estado<br />

presente <strong>de</strong> IWistedsea 111 (7).


<strong>Ejercicios</strong><br />

8.22 ¿ Qué tiene que <strong>de</strong>cir el n'L Data Book sobre poner en corto momentáneamente las salidas<br />

16 of 37<br />

<strong>de</strong> una compuerta a tierra como lo hacemos en el circuito <strong>de</strong> inhibición <strong>de</strong> rebote <strong>de</strong> interruptor<br />

<strong>de</strong> la figura 8-5?<br />

8.23 Investigue el comportamiento <strong>de</strong>l circuito <strong>de</strong> inhibición <strong>de</strong> rebote <strong>de</strong> interruptor <strong>de</strong> la figura<br />

8-5 si se utilizan inversores 74HCr04; repita lo anterior para inversores 74AC04.<br />

8.24 Suponga que se le solicita diseñar un circuito que produzca una entrada lógica sin rebote<br />

<strong>de</strong>s<strong>de</strong> un interruptor SPST (single-pole. single throw). ¿Con qué problema inherenle se<br />

enfrentará usted?<br />

8.25 Explique por qué los circuitos <strong>de</strong> bus <strong>de</strong> retención CMOS no trabajan bien en buses <strong>de</strong> lreS<br />

estados con dispositivos TrL conectados. (Sugerrncia; consi<strong>de</strong>re las características <strong>de</strong><br />

entrada 1TL).<br />

8.26 ~ba un JX'Ogr3JDa VHDL simple que combine en latch la dirección y el ckJ::odificm-


<strong>Ejercicios</strong> 789<br />

Repita el ejercicio 8.32 para el circuito contador binario paralelo sincrónicoen la figura 8-29,<br />

y compare los resultados.<br />

Repita el ejercicio 8.32 para un contador binario serie sincrónico <strong>de</strong> n bits.<br />

8.35 Repita el ejercicio 8.32 para un contador binario paralelo sincrónico <strong>de</strong> n bits. ¿Más allá<br />

<strong>de</strong> qué valor <strong>de</strong> n <strong>de</strong>ja <strong>de</strong> ser válida o en su fórmula?<br />

8.36 Con la ayuda <strong>de</strong> un contador binario <strong>de</strong> 4 bits 74x 163, diseñe un circuito contador modulo<br />

11 con la secuencia<strong>de</strong>conteo 3,4,5, ..., 12" 13,3,4, ...<br />

8.37 Busque el diagnuna lógico interno para un contador <strong>de</strong> décadas sincrónico 74x 162 en un libro<br />

<strong>de</strong> datos, y escriba su tabla <strong>de</strong> estado en el estilo <strong>de</strong> la tabla 8-11, incluyendo su comportamiento<br />

<strong>de</strong> conteo en los estados sin utilizar 10-15.<br />

8.38 Elabore un esquema en cascada para el 74x163, <strong>de</strong> manera análoga a la estructura <strong>de</strong>l contador<br />

~n paralelo sincrónico <strong>de</strong> la figura 8-29, <strong>de</strong> tal modo que la velocidad <strong>de</strong> conteo máxima<br />

sea la misma para cualquier contador con hasta 36 bits (nueve '163). Determine la máxima<br />

velocidad <strong>de</strong> conteo, utilizando las especificaciones <strong>de</strong> retardo en el peor <strong>de</strong> los casos, <strong>de</strong><br />

la hoja <strong>de</strong> especificaciones técnicas <strong>de</strong>l fabricante, para los dispositivos número' 163 Y cualquier<br />

componente SSI que se utiliza en la conexión cascada.<br />

8.39 Diseñe un contador módulo 129 empleando dos 74xl63 y un inversor simple.<br />

8.40 Escriba un programa ABEL para un contador módulo N <strong>de</strong> 8 bits con entrada <strong>de</strong> carga que<br />

utiliza un PAL22V 1O, don<strong>de</strong> el valor <strong>de</strong> N está especificado por una constante N en el programa.<br />

8.41 Diseñe un circuito sincrónico temporizado con cuatro entradas, N3, N2, N1 y NO, que<br />

representen un entero N en el intervalo 0-15. El circuito tiene una salida simple Z que se<br />

asertiva para exactamente N tics <strong>de</strong> reloj durante cualquier intervalo <strong>de</strong> 16 tic s (suponiendo<br />

que N se mantiene constante durante el intervalo <strong>de</strong> observación). (Sugerencia: utilice<br />

lógica combinacional con un 74x 163 establecido como un contador <strong>de</strong> división entre 16<br />

libre <strong>de</strong> carrera. Los tics en los cuales Z es asertiva <strong>de</strong>berían espaciarse tan equidistantes<br />

como sea posible, es <strong>de</strong>cir, el segundo tic cuando N = 8, el cuarto cuando N = 4, Y así sucesivamente.)<br />

8.42 Modifique el circuito <strong>de</strong>l ejercicio 8.41 <strong>de</strong> manera que Z produzca N transiciones en cada<br />

intervalo <strong>de</strong> 16 tics. El circuito resultante se conoce como un multiplicador <strong>de</strong> velocidad<br />

binaria y alguna vez fue vendido como una parte TfL MSI, la 7497. (Sugerencia: Dispare<br />

el reloj con la salida <strong>de</strong> nivel <strong>de</strong>l circuito anterior.)<br />

8.43 Repita los ejercicios 8.41 y 8.42 usando una entrada <strong>de</strong> 8 bits N7..NO, y realice el circuito<br />

empleando un programaABEL para un simple PAL22VI0.<br />

8.44 Repita los ejercicios 8.41 y 8.42 utilizando una entrada <strong>de</strong> 8 bits N7..NO, y <strong>de</strong>scriba el<br />

diseño con la ayuda <strong>de</strong> un programa VHDL <strong>de</strong> comportamiento.<br />

8.45 A un diseñador digital (jel autor!) se le solicitó en el último minuto agregar nueva funcionalidad<br />

a un PCB que tenía lugar para solamente un CI MSI <strong>de</strong> 16 terminales más. El PCB<br />

ya tenía una señal <strong>de</strong> reloj <strong>de</strong> 16 MHz, MCLK, y una señal <strong>de</strong> selección <strong>de</strong> reserva, controlada<br />

por microprocesador SEL. Se había pedido al diseñador que proporcionara una<br />

nueva señal <strong>de</strong> reloj, UCLK, cuya frecuencia sería <strong>de</strong> 8 MHz o 4 MHz <strong>de</strong>pendiendo <strong>de</strong>l<br />

valor <strong>de</strong> SEL. Para poner peores las cosas, el PCB no tenía compuertas SSI <strong>de</strong> reserva, y<br />

se requería que el UCLK tuviera un ciclo <strong>de</strong> trabajo <strong>de</strong> 50% para ambas frecuencias. Le<br />

tomó al diseñador aproximadamente cinco minutos proponer un circuito. Ahora es su turno<br />

para hacer lo mismo. (Sugerencia: el diseñador ya había consi<strong>de</strong>rado que el 74x 163 sería<br />

el bloque <strong>de</strong> construcción fundamental <strong>de</strong>l diseño <strong>de</strong> circuito secuencial truncado.)<br />

~.46 Diseñe un contador módulo 16, utilice un 74xl69 y como máximo un encapsulado SSI,<br />

con la siguiente secuencia <strong>de</strong> conteo: 7,6,5,4,3,2,1, 0,8,9,10,11,12,13, 14, 15,7,<br />

17 of 37<br />

multiplicador <strong>de</strong><br />

velocidad


"<br />

790 Capítulo 8 Prácticas <strong>de</strong> diseño lógico secuencial<br />

8.47 Escriba un programa ABEL para un contador <strong>de</strong> 8 bits que realice una 18 secuencia of 37 <strong>de</strong> conteo<br />

similar a la <strong>de</strong>l ejercicio 8.46.<br />

8.48 Diseñe un contador binario ascen<strong>de</strong>nte/<strong>de</strong>scen<strong>de</strong>nte para controlar el elevador <strong>de</strong> un edificio<br />

<strong>de</strong> 20 pisos, mediante un 16V8 simple. El contJkk>r <strong>de</strong>belÍa tener en~ <strong>de</strong> habilitación<br />

y <strong>de</strong> control ascen<strong>de</strong>nte/<strong>de</strong>scen<strong>de</strong>nte. Debería enclavarse en el estado I cuando se Cuente<br />

hacia abajo, enclavarse en el estado 21 cuando se cuente hacia arriba, y saltar el estado 13<br />

en cualquiera <strong>de</strong> los modos. Dibuje un diagrama lógico y escriba las ecuaciones ABEL<br />

para su diseño.<br />

8.49 Repita el ejercicio anterior utilizando VHDL.<br />

8.50 Escriba un programa VHDL para un contador <strong>de</strong> n bits que realiza una secuencia <strong>de</strong> conteo<br />

similar a la <strong>de</strong>l ejercicio 8.46. Escriba el programa <strong>de</strong> manera tal que el tamaño <strong>de</strong>l contador<br />

pueda ser cambiado mediante la modificación <strong>de</strong>l valor <strong>de</strong> una constante simple N.<br />

8.51 Modifique el programa VHDL en la tabla 8-14 <strong>de</strong> manera que el tipo <strong>de</strong> puertos D y Q sea<br />

STD_LCX:;IC_VECroR. incluyendo las funciones <strong>de</strong> conversión que sean requeridas.<br />

8.52 Modifique el programa en la tabla 8-16 para utilizar VHDL estructural, <strong>de</strong> modo que con.<br />

fornle exactamente el circuito en la figura 8-45, incluyendo los nombres <strong>de</strong> señal mostrcIOOs<br />

en la figura. Defina y haga uso <strong>de</strong> cualquiera <strong>de</strong> las entida<strong>de</strong>s siguientes que ya no existen en<br />

su librería AND2, INV, NOR2, OR2, XNOR2, Vdffqqn.<br />

8.53 Modifique el programa en la tabla 8-17 para utilizar la instrucción generic <strong>de</strong> VHDL. <strong>de</strong><br />

manera que el tamaño <strong>de</strong>l contador pueda modificarse utilizando la <strong>de</strong>finición generic.<br />

8.54 Diseñe un circuito <strong>de</strong> conversión paralelo en serie con ocho enlaces serie <strong>de</strong> 32 canales y<br />

2.048 Mbps, Y un solo bus <strong>de</strong> datos en paralelo <strong>de</strong> 8 bits y 2.048 MHz que conduzca 256<br />

bytes por cuadro. Cada enlace serie <strong>de</strong>bería tener el formato <strong>de</strong> cuadro <strong>de</strong>finido en la figura<br />

8-55. Cada línea <strong>de</strong> datos serie SDATAi <strong>de</strong>bería tener su propia señal <strong>de</strong> sincronía SYNCi;<br />

los pulsos <strong>de</strong> sincronía <strong>de</strong>berían estar alternados <strong>de</strong> modo que SYNCi + I tenga un pulso<br />

un tic <strong>de</strong>spués <strong>de</strong> SYNCi.<br />

8.55 Muestre la temporización <strong>de</strong>l bus en paralelo y los enlaces en serie, y escriba una tabla o<br />

fórmula que muestre cuáles segmentos <strong>de</strong> tiempo <strong>de</strong>l bus en paralelo son transmitidos en<br />

qué enlaces en serie y segmentos <strong>de</strong> tiempo. Dibuje un diagrama lógico para el circuito<br />

utilizando partes MSI <strong>de</strong> este capítulo; usted pue<strong>de</strong> abreviar elementos repetidos (p>r<br />

ejemplo, registros <strong>de</strong> corrimiento), mostrando solamente las conexiones únicas para cada<br />

una.<br />

8.56 Repita el ejercicio 8.54, suponiendo que todas las líneas <strong>de</strong> datos en serie <strong>de</strong>ben referenciar<br />

sus datos a una sola señal SYNC común. ¿Cuántos chips más requiere este diseño?<br />

8.57 Muestre cómo mejorar el circuito en serie a paralelo <strong>de</strong>l ejercicio 8-57 <strong>de</strong> modo que el byte<br />

recibido en cada segmento <strong>de</strong> tiempo sea almacenado en su propio registro por 125 ps.<br />

hasta que el siguiente byte <strong>de</strong> ese segmento <strong>de</strong> tiempo sea recibido. Dibuje el contador y<br />

lógica <strong>de</strong> <strong>de</strong>codificación para 32 segmentos <strong>de</strong> tiempo <strong>de</strong> manera <strong>de</strong>tallada, a<strong>de</strong>más <strong>de</strong> los<br />

registros <strong>de</strong> datos en paralelo y conexiones para los segmentos <strong>de</strong> tiempo 31, O Y l. También<br />

dibuje un diagrama <strong>de</strong> temp>rización en el estilo <strong>de</strong> la figura 8-58 que muestra las<br />

señales <strong>de</strong> datos y <strong>de</strong>codificación asociadas con los segmentos <strong>de</strong> tiempo 31, O Y l.<br />

8.58 Supongamos que a usted se le ha solicitado diseñar una computadora en serie, una que<br />

mueve y procesa los datos un bit a la vez. La primera <strong>de</strong>cisión que usted <strong>de</strong>be tomar es cuál<br />

bit transmitir y procesar primero, el LSB o el MSB. ¿Cuál <strong>de</strong> ellos elegiría, y por qué?<br />

8.59 Diseñe un contador en anillo <strong>de</strong> autocorreccioo cuyos estados son 11111110, 11111101,<br />

..., O 1111111, utilizando solamente dos encapsulados SSI/MSI.


<strong>Ejercicios</strong><br />

19 of 37<br />

79 t.",<br />

1.61<br />

8.62<br />

8.63<br />

8.64<br />

8.65<br />

8.66<br />

8.67<br />

8.68<br />

8.69<br />

8.70<br />

8.71<br />

8.72<br />

8.73<br />

8.74<br />

8.75<br />

Diseñe dos contadores diferentes <strong>de</strong> 2 bits Y 4 estados, don<strong>de</strong> cada diseño utiliza solamente<br />

un encapsulado 74x74 (dos ftip-ftops [dispositivos biestables] D disparados por flanco) y<br />

ninguna otra compuerta.<br />

Diseñe un contador Johnson <strong>de</strong> 4 bits y <strong>de</strong>codificaciÓD para los ocho estados utilizando<br />

solamente cuatro ftip-ftops (dispositivos biestables) y ocho compuertas. Su contador no<br />

necesita ser <strong>de</strong> autocorreccióo.<br />

Demuestre que un número par <strong>de</strong> salidas <strong>de</strong> registro <strong>de</strong> corrimiento <strong>de</strong>be conectarse al circuito<br />

<strong>de</strong> paridad impar en un contador LFSR <strong>de</strong> n bits si genera una secuencia <strong>de</strong> longitud<br />

máxima (Advierta que éste es un requerimiento necesario pero no suficiente. También,<br />

aunque la tabla 8-21 es consistente con lo que usted está suponiendo <strong>de</strong>mostrar, jcitar simplemente<br />

la tabla no es una <strong>de</strong>mostración!)<br />

Demuestre que XC <strong>de</strong>be aparecer en el lado <strong>de</strong>recho <strong>de</strong> cualquier ecuación <strong>de</strong> retroalimentación<br />

LFSR que genere una secuencia <strong>de</strong> longitud máxima. (Nola: Suponga que la<br />

or<strong>de</strong>nación <strong>de</strong> bit LFSR Y dirección <strong>de</strong> corrimiento son como las dadas en el texto; es <strong>de</strong>cir,<br />

el LFSR se corre a la <strong>de</strong>recha, hacia la etapa XC).<br />

Supongamos que un contador LFSR <strong>de</strong> n bits está diseñado <strong>de</strong> acuerdo con la figura 8-68<br />

y la tabla 8-21. Demuestre que si el circuito <strong>de</strong> paridad impar se cambia a un circuito <strong>de</strong><br />

paridad par, el circuito resultante es un contador que visita 2n - 1 etapas, incluyendo todos<br />

los estados excepto 11.. .11.<br />

Encuentre una ecuación <strong>de</strong> retroalimentación para un contador LFSR <strong>de</strong> 3 bits, aparte <strong>de</strong>l<br />

dado en la tabla 8-2 1, que produzca una secuencia <strong>de</strong> longitud máxima.<br />

Dado un contador LFSR <strong>de</strong> n bits que genera una secuencia <strong>de</strong> longitud máxima (2n - I<br />

estados), <strong>de</strong>muestre que una compuerta XOR extra y una compuerta NOR <strong>de</strong> n - 1 entradas<br />

conectadas como se sugiere en la figura 8-69 producen un contador con 2n estados.<br />

Demuestre que una secuencia <strong>de</strong> 2n estados se obtiene todavía si se sustituye una compuerta<br />

NAND por una NOR arriba, pero la secuencia <strong>de</strong> estado es diferente.<br />

Diseñe un circuito iterativo para verificar la paridad <strong>de</strong> una palabra <strong>de</strong> datos <strong>de</strong> 16 bits con<br />

un solo bit <strong>de</strong> paridad par. ¿Importa el or<strong>de</strong>n <strong>de</strong> transmísión <strong>de</strong>l bit?<br />

Modifique el programa <strong>de</strong>l registro <strong>de</strong> conimiento en la tabla 8-23 para proporcionar una<br />

entrada <strong>de</strong> borrado asincrónica utilizando un 22V 10.<br />

Escriba un programa ABEL que suministre la misma funcionalidad que un registro <strong>de</strong> corrimiento<br />

74x299. Demuestre cómo ajustar esta función en un 22VIO simple, o explique<br />

por qué no se pue<strong>de</strong> hacer.<br />

Determine el número <strong>de</strong> términos <strong>de</strong> producto requeridos para cada salida <strong>de</strong>l PLD RING8<br />

en la tabla 8-25. ¿Entrará en un 16R8 o en un 16V8R?<br />

¿En qué situaciones los programas ABEL <strong>de</strong> las tablas 8-26 y 8-27 dan diferentes resultados<br />

operacionales?<br />

Modifique el programaABEL en la tabla 8-26 <strong>de</strong> manera que las fases sean siempre <strong>de</strong> por<br />

lo menos dos tics <strong>de</strong> reloj <strong>de</strong> extensión, incluso si RESTART es asertiva al principio <strong>de</strong><br />

una fase. RESET <strong>de</strong>bería tener efecto inmediatamente.<br />

Repita el ejercicio anterior para el programa <strong>de</strong> la tabla 8-27.<br />

Suponga que el generador <strong>de</strong> temporización <strong>de</strong> la tabla 8-26 se utiliza para controlar un<br />

sistema <strong>de</strong> memoria dinámica, <strong>de</strong> modo que todas las seis fases <strong>de</strong>ben completarse para<br />

leer o escribir la memoria. Si el generador <strong>de</strong> temporización es reestablecido durante<br />

una operación <strong>de</strong> escritura sin completar la totalidad <strong>de</strong> las seis fases, el contenido <strong>de</strong> la<br />

memoria pue<strong>de</strong> corromperse. Modifique las ecuaciones en la tabla 8-26 para evitar este<br />

problema.


92 Capítulo 8 Prácticas <strong>de</strong> diseño lógico secuencial ;.<br />

"<br />

8.76 Un estudiante propuso crear las formas <strong>de</strong> onda <strong>de</strong> temporización <strong>de</strong> la figura 20 of 378-72<br />

COmenzando<br />

con el programa ABEL en la tabla 8-27 y cambiando la codificación <strong>de</strong> cada uno <strong>de</strong><br />

los estados P1 F, P2F, ... , P6F <strong>de</strong> manera que la salida <strong>de</strong> fase con'espondiente es 1 en<br />

vez <strong>de</strong> O, <strong>de</strong> modo que la salida <strong>de</strong> fase es O solamente durante el segundo tic <strong>de</strong> cada fase<br />

como se requiere. ¿Es esto un buen enfoque? Haga un comentario sobre los resultados ~~<br />

ducidos por el compilador ABEL cuando intente esto.<br />

8.77 Las formas <strong>de</strong> onda <strong>de</strong> salida producidas por los programas ABEL en las tablas 8-29 y<br />

8-30 no son idénticas, cuando las entradas RESTART y RUN son cambiadas. Explique la<br />

razón para esto y posteriormente modifique el programa en la tabla 8-30 <strong>de</strong> modo que su<br />

comportamiento satisfaga el <strong>de</strong> la tabla 8-29.<br />

8.78 La implementación <strong>de</strong>l contador en anillo ABEL en la tabla 8-26 00 es autosincronizante.<br />

Por ejemplo, <strong>de</strong>scriba qué ocurre si las salidas [Pl_L. . P6_L] se encuentran inicialmente<br />

en O, y la entrada RUN es aseniva sin activar RESET o RESTART. ¿Qué otros estados <strong>de</strong><br />

arranque exhiben esta clase <strong>de</strong> comportamiento 00 autosincronizante? Modifique el programa<br />

<strong>de</strong> manera que sea autosincronizante.<br />

8.79 Repita el ejercicio anterior para la implementación <strong>de</strong>l contador en anillo VHDL en la<br />

tabla 8-33.<br />

8.80 Disefte un circuito iterativo con una entrada Bj por etapa y dos entradas <strong>de</strong> frontera X y y<br />

<strong>de</strong> modo que X = 1 si por lo menos dos entradas Bj son 1 y a<strong>de</strong>más Y = I si por lo menos<br />

entradas Bj consecutivas son l.<br />

8.81 Diseñe una máquina <strong>de</strong> cerradura <strong>de</strong> combinación <strong>de</strong> acuerdo a la tabla <strong>de</strong> estado 7-14 con<br />

un contador 74x 163 y lógica combinacional para las entradas LO_L. CLA_L y A-D <strong>de</strong>l<br />

'163. Utilice valores <strong>de</strong> contador 0-7 para los estados A-H.<br />

8.82 Escriba un programa ABEL correspondiente al diagrama <strong>de</strong> estado en la figura 8-84 para<br />

la unidad <strong>de</strong> control <strong>de</strong>l multiplicador.<br />

8.83 Escriba un programa VHDL correspondiente al diagrama <strong>de</strong> estado en la figura 8-84 para<br />

la unidad <strong>de</strong> control <strong>de</strong>l multiplicador.<br />

8.84 Escriba un programa VHDL que se <strong>de</strong>sempeñe con Ia.~ mismas entradas, salidas y funciones<br />

que la unidad <strong>de</strong> datos <strong>de</strong>l multiplicador en la figura 8-82.<br />

8.85 Escriba un programa VHDL que combine los programas <strong>de</strong> los dos anteriores ejercicios<br />

para formar un multiplicador completo <strong>de</strong> corrimiento y suma <strong>de</strong> 8 bits.<br />

8.86 El texto establece que el diseñador no necesita preocuparse <strong>de</strong> ningún problema <strong>de</strong> temporización<br />

en el diseño sincrónico <strong>de</strong> la figura 8-83. En realidad, si existe una pequeña preocupación.<br />

Examine las especificaciones <strong>de</strong> temporizaciÓD para el 74x377 y discuta al respecto.<br />

8.87 Determine el mínimo periodo <strong>de</strong> reloj para el circuito multiplicador <strong>de</strong> corrimiento y suma<br />

en la figura 8-83, suponiendo que la máquina <strong>de</strong> estado está realizada con un solo<br />

GAL16V8-20 y que las partes MSI son tOdas n1.. 74LS. Haga uso <strong>de</strong> la información <strong>de</strong><br />

temporización <strong>de</strong>l peor <strong>de</strong> los casos, dada en las tablas en este libro. Para el '194, 'pd es,<br />

<strong>de</strong>s<strong>de</strong> el reloj hasta cualquiera <strong>de</strong> las salidas, <strong>de</strong> 26 ns y '5 es <strong>de</strong> 20 ns para las entradas <strong>de</strong><br />

datos serie y paralelo y <strong>de</strong> 30 ns para entradas en modo <strong>de</strong> control.<br />

8.88 Diseñe una unidad <strong>de</strong> datos y una máquina <strong>de</strong> estado <strong>de</strong> unidad <strong>de</strong> control para multiplicar<br />

números <strong>de</strong> complemento a dos <strong>de</strong> 8 bits utilizando el algoritmo discutido en la<br />

sección 2.8.


<strong>Ejercicios</strong> 7'<br />

SI~<br />

, 74F74- A 74F7¡-' 74F74<br />

21 of 37<br />

META SYNCIN OSYNCIN<br />

ASYNCIN O Q O Q O Q<br />

(SYNCIN<br />

(entrada asincrónica) ,-- ~QJ( - >QJ( - >QJ( sin sesgo) Sistema sincrónK:o<br />

FF1 FF2 FF4<br />

74F74<br />

~D Q-<br />

-)QJ( Q ~<br />

FF3<br />

CLOCK Flaura XI<br />

Figura X8.91<br />

(reloj <strong>de</strong>l sistema)<br />

8.92<br />

8.93<br />

8.95<br />

Diseñe una unidad <strong>de</strong> datos y una máquina <strong>de</strong> estado <strong>de</strong> unidad <strong>de</strong> control para dividir<br />

números sin signo <strong>de</strong> 8 bits aplicando el algoritmo <strong>de</strong> corrimiento y resta que se analizó<br />

en la sección 2.9.<br />

Suponga que la señal SYNCIN <strong>de</strong>l problema 8.21 se conecta a un circuito combinacional<br />

en el sistema sincrónico, el cual a su vez controla las enttadas D <strong>de</strong> los flip-flops (dispositivos<br />

biestables) 74ALS74 que están temporizados por CLOCK. ¿Cuál es el máximo<br />

retardo <strong>de</strong> propagación permisible <strong>de</strong> la lógica combinaciona1?<br />

El circuito <strong>de</strong> la figura X8.9l incluye un flip-flop (dispositivo biestable) eliminador <strong>de</strong><br />

sesgo <strong>de</strong> manera que la salida sincronizada <strong>de</strong>l sincronizador <strong>de</strong> ciclo múltiple se encuentre<br />

disponible tan pronto como es posible <strong>de</strong>spués <strong>de</strong>l flanco <strong>de</strong> CLOCK. Ignorando con-<br />

~i<strong>de</strong>raciones <strong>de</strong> metaestabilidad, ¿cuál es la frecuencia máxima <strong>de</strong> CLOCK? Suponga<br />

que para un 74F74, 'establecimiento = 5 ns y 'pd = 7 ns.<br />

Aplicando la máxima frecuencia <strong>de</strong> reloj que se <strong>de</strong>terminó en el ejercicio 8.91, y suponiendo<br />

una velocidad <strong>de</strong> b-ansición asincronica <strong>de</strong> 4 MHz, <strong>de</strong>termine el MTBF <strong>de</strong>l sincronizador.<br />

Determine el MTBF <strong>de</strong>l sincronizador <strong>de</strong> la figura X8. 91, suponiendo una velocidad <strong>de</strong><br />

transición asincrónica <strong>de</strong> 4 MHz y una frecuencia <strong>de</strong> reloj <strong>de</strong> 40 MHz, que es menor que<br />

la máxima <strong>de</strong>terminada en la figura X8.91. En esta situación, se presenta en realidad una<br />

"falla <strong>de</strong>l sincronizador" solamente si DSYNCIN es metaestable. En otras palabras,<br />

SYNCIN pue<strong>de</strong> permitirse ser metaestable durante un tiempo corto, mientras no afecte<br />

DSYNCIN. Esto produce un MTBF mejor.<br />

Examine la patente <strong>de</strong> U.S. número 4,999,528, "Metastable-proof flip-flop (dispositivo<br />

biestable)" y <strong>de</strong>scriba por qué no siempre funciona como se anuncia. (Sugerencias: Las<br />

patentes pue<strong>de</strong>n hallarse en www. pa tents. ibm. com. Hay suficiente información en<br />

este resumen <strong>de</strong> patente para averiguar cómo pu<strong>de</strong> fallar el circuito.)<br />

En el circuito <strong>de</strong> sincronización <strong>de</strong> las figuras 8-102, 8-104 Y 8-106, se pue<strong>de</strong> reducir el<br />

retardo <strong>de</strong> la transferencia <strong>de</strong> un byte <strong>de</strong>s<strong>de</strong> el dominio RCLK hasta el dominio SCLK si<br />

se utiliza una versión más anterior <strong>de</strong>l pulso SYNC para arrancar el sincronizador. Suponiendo<br />

que usted pueda generar SYNC durante cualquier bit <strong>de</strong>l byte recibido, ¿cuál bit<br />

<strong>de</strong>bería utilizar para minimizar el retardo? También <strong>de</strong>termine si su solución satisface los<br />

requerimientos <strong>de</strong> retardo máximo para el circuito. Suponga que todos los componentes<br />

tienen temporizaci6n 74AHCT que ellatch S-A está construido a partir <strong>de</strong> un par <strong>de</strong><br />

compuertas NOA acopladas en cruz, y muestre un <strong>de</strong>tallado análisis <strong>de</strong> temporizaci6n<br />

para sus respuestas.


794 Capitulo 8 Prácticas <strong>de</strong> diseño lógico secuencial<br />

8.96<br />

8.97<br />

En vez <strong>de</strong> utilizar un latch en el circuito <strong>de</strong> conuul <strong>de</strong> sincron~ión sincroni~i6n <strong>de</strong> 22 la of figura 37 8-1~, algunas<br />

aplic~iones utilizan un flip-flop (dispositivo biestable) D disparado por frontera COfOO se<br />

muestra en la figura 8-111. Obtenga los requerimientos <strong>de</strong> retaftk) máximo y retardo mínin»<br />

para este circuito, COn'esJX>ndiente a las ecuaciones 8-1 hasta la 8-3, y discuta si este enfoque<br />

facilita o em~ los requerimientos <strong>de</strong> retank>.<br />

Un famoso diseftador digital i<strong>de</strong>ó el circuito mostrado en la figura X8.97(a), que se suPOIM<br />

elimina la metaestabilidad en un periodo <strong>de</strong> un reloj <strong>de</strong> sistema. El circuito M es un ~.<br />

tor <strong>de</strong> voltaje analógico sin memoria cuya salida es I si a se encuentra en el es~<br />

metaestable y O <strong>de</strong> otro modo. La i<strong>de</strong>a <strong>de</strong>l diseftador <strong>de</strong>l circuito era que si la línea Q 14<br />

<strong>de</strong>tecta que está en el estado metaestable cuando CLOCK se va al nivel bajo, la com~<br />

NAND bon-ará el ftip-ftop (dispositivo biestable) D, el cual a su vez eliminará la salid¡<br />

metaestable, provocando una salida O <strong>de</strong>l circuito M y negando así la entrada CLR <strong>de</strong>l ftip<br />

ftop (dispositivo biestable). Los circuitos son todos suficientemente rápidos para que t


250 Capítulo 6 <strong>Registros</strong> y contadores<br />

PROBLEMAS<br />

23 of 37<br />

6-1 Incluya una COmpuerta NAND <strong>de</strong> dos entradas con el registro <strong>de</strong> la figura 6-1 y conecte la salida <strong>de</strong><br />

la compuerta a las entradas C <strong>de</strong> todos los flip-flops. Una entrada <strong>de</strong> la compuerta NANO recibe los<br />

pulsos <strong>de</strong> reloj <strong>de</strong>l generador <strong>de</strong> reloj, y la otta entrada <strong>de</strong> la compuerta se encarga <strong>de</strong> controlar la carga<br />

en paralelo. Explique el funcionamiento <strong>de</strong>l registro modificado.<br />

6-2 Incluya una entrada <strong>de</strong> <strong>de</strong>speje sincrónica para el registro <strong>de</strong> la figura 6-2. El registro modificado<br />

tendrá una capacidad <strong>de</strong> carga en paralelo y una capacidad <strong>de</strong> <strong>de</strong>speje sincrónico. El registro<br />

se <strong>de</strong>speja (pone en ceros) sincrónicamente cuando el reloj tiene una transición positiva y la entrada<br />

<strong>de</strong> <strong>de</strong>speje es 1.<br />

6- 3 ¿Qué diferencia hay entre transferencia en serie y en paralelo? Explique cómo convertir datos en serie<br />

a paralelo y datos en paralelo a datos en serie. ¿Qué tipo <strong>de</strong> registro se necesita?<br />

6-4 El contenido <strong>de</strong> un registro <strong>de</strong> cuatro bits es inicialmente 110 1. El registro se <strong>de</strong>splaza seis veces<br />

a la <strong>de</strong>recha, siendo la entrada en serie 10 lI O 1. ¿Qué contiene el registro <strong>de</strong>spués <strong>de</strong> cada <strong>de</strong>splazamiento?<br />

6-5 El registro universal <strong>de</strong> <strong>de</strong>splazamiento <strong>de</strong> cuatro bits mostrado en la figura 6-7 se encierra en un<br />

paquete <strong>de</strong> CI.<br />

a) Dibuje un diagrama <strong>de</strong> bloques <strong>de</strong>l circuito integrado que señale todas las entradas y salidas.<br />

Incluya dos entradas para la alimentación eléctrica.<br />

b) Dibuje un diagrama <strong>de</strong> bloques empleando dos CI para producir un registro <strong>de</strong> <strong>de</strong>splazamiento<br />

universal <strong>de</strong> ocho bits.<br />

6-6 Diseñe un registro <strong>de</strong> <strong>de</strong>splazamiento <strong>de</strong> cuatro bit' con carga paralela empleando flip-flops D. Hay<br />

dos entradas <strong>de</strong> control: <strong>de</strong>splazar y cargar. Cuando <strong>de</strong>splazar = 1, el contenido <strong>de</strong>l registro se <strong>de</strong>splaza<br />

una posición. Se transfieren nuevos datos al registro cuando cargar = l Y <strong>de</strong>splazar = O. Si<br />

ambas entradas <strong>de</strong> control son O, el contenido <strong>de</strong>l registro no cambia.<br />

6-7 Dibuje el diagrama lógico <strong>de</strong> un registro <strong>de</strong> cuatro bits con cuatro flip-flops D y cuatro multiplexores<br />

4 X 1, con entradas <strong>de</strong> selección <strong>de</strong> mOOo SI y So- El registro opera según la siguiente tabla<br />

<strong>de</strong> función:<br />

s, ft Oper8Clón <strong>de</strong>l ngistro<br />

8c -<br />

O O Sin cambio<br />

O 1 Complementar las cuab"O salidas<br />

1 O Poner el regisb"O en ceros (sincrónico con el reloj)<br />

I I Cargar datos en paralelo<br />

6-8 El sumador en serie <strong>de</strong> la figura 6-6 usa dos registros <strong>de</strong> cuatro bits. El registro A contiene el<br />

número binario 0101, y el registro 8, 0111. El flip-flop <strong>de</strong> acarreo se restablece inicialmente en<br />

O. Numere los valores binarios que están en el registro A y en el flip-flop <strong>de</strong> acarreo <strong>de</strong>spués <strong>de</strong><br />

cada <strong>de</strong>splazamiento.<br />

6-9 En la sección 6-2 se <strong>de</strong>scribieron dos formas <strong>de</strong> implementar un sumador en serie (A + B). Es<br />

necesario modificar los circuitos para convertirlos en restadores en serie (A - 8).<br />

a) Utilizando el circuito <strong>de</strong> la figura 6-5, indique los cambios necesarios para obtener A + complemento<br />

a dos <strong>de</strong> B.<br />

b) Utilizando el circuito <strong>de</strong> la figura 6-6, indique los cambios requeridos modificando la tabla<br />

6-2, <strong>de</strong> un circuito sumador a uno restador. (Véase el problema 4-12.)<br />

6- 10 Diseñe un complementador a dos en serie con un registro <strong>de</strong> <strong>de</strong>splazamiento y un flip-flop. El número<br />

binario se <strong>de</strong>splaza hacia afuera por un lado y su complemento a dos se <strong>de</strong>splaza hacia<br />

a<strong>de</strong>ntro por el otro lado <strong>de</strong>l registro <strong>de</strong> <strong>de</strong>splazamiento.


6-11 Un contador binario <strong>de</strong> rizo usa flip-flops que se disparan con el bor<strong>de</strong> positivo <strong>de</strong>l reloj. ¿Cuál<br />

será el conteo si a) las salidas normales <strong>de</strong> los flip-flops se conectan al reloj y b) las salidas <strong>de</strong><br />

complemento <strong>de</strong> los flip-flops se conectan al reloj?<br />

6-12<br />

6-13<br />

6-14<br />

6-15<br />

Problemas 251<br />

24 of 37<br />

Dibuje el diagrama lógico <strong>de</strong> un sumador binario <strong>de</strong> rizo <strong>de</strong> cuatro bits <strong>de</strong> cuenta regresiva utilizando<br />

a) flip-flops que se disparan con el bor<strong>de</strong> positivo <strong>de</strong>l reloj y b) flip-flops que se disparan<br />

con el bor<strong>de</strong> negativo <strong>de</strong>l reloj.<br />

Demuestre que es posible construir un contador BCD <strong>de</strong> rizo empleando un contador binario <strong>de</strong><br />

rizo <strong>de</strong> cuatro bits con <strong>de</strong>speje asincrónico y una compuerta NANO que <strong>de</strong>tecta la ocurrencia<br />

<strong>de</strong> la cuenta 1010.<br />

¿Cuántos flip-flops se complementarán en un contador binario <strong>de</strong> rizo <strong>de</strong> 10 bits para llegar a la<br />

siguiente cuenta <strong>de</strong>spués <strong>de</strong> la cuenta?: a) 1001100111; b) 0011111111; c) 1111111111.<br />

Un flip-flop tiene un retardo <strong>de</strong> 5 ns <strong>de</strong>s<strong>de</strong> el momento en que se da el bor<strong>de</strong> <strong>de</strong> reloj hasta el momento<br />

en que la salida se complementa. ¿Qué retardo máximo tendría un contador binario <strong>de</strong> rizo<br />

<strong>de</strong> 10 bits que usara esos flip-flops? ¿Con qué frecuencia máxima pue<strong>de</strong> operar el contador <strong>de</strong><br />

manera confiable? .<br />

6-16<br />

6-17<br />

6-18<br />

6-19<br />

6-20<br />

6-21<br />

El contador BCD <strong>de</strong> rizo que se representa en la figura 6-10 tiene cuatro flip-flops y 16 estados,<br />

<strong>de</strong> los cuales sólo se usan 10. Analice el circuito y <strong>de</strong>tennine el siguiente estado para cada uno <strong>de</strong><br />

los otros seis estados no utilizados. ¿Qué suce<strong>de</strong>rá si una señal <strong>de</strong> ruido hace que el circuito pase<br />

a uno <strong>de</strong> los estados no utilizados?<br />

Diseñe un contador binario sincrónico <strong>de</strong> cuatro bits con flip-flops D.<br />

¿ Qué operación se efectúa en el contador ascen<strong>de</strong>nte-<strong>de</strong>scen<strong>de</strong>nte <strong>de</strong> la figura 6-13 cuando ambas<br />

entradas, arriba y abajo, están habilitadas? Modifique el circuito <strong>de</strong> modo que cuando ambas<br />

entradas sean 1, el contador no cambie <strong>de</strong> estado, sino que perntanezca en la misma cuenta.<br />

Las ecuaciones <strong>de</strong> entrada <strong>de</strong> flip-flops para un contador BCD construido con flip-flops T se incluyen<br />

en la sección 6-4. Obtenga las ecuaciones <strong>de</strong> entrada para un contador BCD construido con a)<br />

flip-flops JK y b) flip-flops D. Compare los tres diseños para <strong>de</strong>tenninar cuál es el más eficiente.<br />

Encierre el contador binario con carga paralela <strong>de</strong> la figura 6-14 en un diagrama <strong>de</strong> bloques que<br />

muestre todas las entradas y salidas.<br />

a) Muestre las conexiones <strong>de</strong> cuatro <strong>de</strong> esos bloques para formar un contador <strong>de</strong> 16 bits con carga<br />

paralela.<br />

b) Construya un contador binario que cuente <strong>de</strong>s<strong>de</strong> O hasta 64 binario.<br />

El contador <strong>de</strong> la figura 6-14 tiene dos entradas <strong>de</strong> control-Cargar (L) y Conteo c)- y una entrada<br />

<strong>de</strong> datos (1;).<br />

a) Deduzca las ecuaciones <strong>de</strong> entrada <strong>de</strong> flip-flops para J y K <strong>de</strong> la primera etapa, en ténninos<br />

<strong>de</strong> L, C e l.<br />

b) En la figura P6-21 se observa el diagrama lógico <strong>de</strong> la primera etapa <strong>de</strong> un circuito integrado<br />

equivalente (74161). Compruebe que este circuito sea equivalente al <strong>de</strong> a).<br />

Conteo (C)<br />

Datos (D)<br />

FIGURA P6-21


252 Capítulo 6 <strong>Registros</strong> y contadores<br />

6-22 Utilizando el circuito <strong>de</strong> la figura 6-14, ~ tres alternativas para un contador mod-12:<br />

a) Utilizando una compuerta AND y la entrada <strong>de</strong> carga.<br />

b) Utilizando el acarreo <strong>de</strong> salida.<br />

c) Utilizando una compuerta NAND y la entrada <strong>de</strong> <strong>de</strong>speje asincrónico.<br />

25 of 37<br />

6-23<br />

6-24<br />

6-25<br />

6"26<br />

6-27<br />

6"28<br />

6-29<br />

6.30<br />

6-31<br />

6~32<br />

6-33<br />

Diseñe un circuito <strong>de</strong> temporización que genere una señal <strong>de</strong> salida que se mantenga encendida<br />

durante exactamente ocho ciclos <strong>de</strong> reloj. Una señal <strong>de</strong> inicio hace que la salida pase al estado 1;<br />

<strong>de</strong>spués <strong>de</strong> ocho ciclos <strong>de</strong> reloj, la señal vuelve al estado O.<br />

Disefte con flip-flops T un contador que pase por la siguiente sucesión binaria repetida: O, 1,<br />

3,7,6,4. Demuestre que si los estados binarios 010 y 101 se consi<strong>de</strong>ran condiciones <strong>de</strong> indiferencia,<br />

el contador podría no funcionar correctamente. Encuentre una forma <strong>de</strong> corregir el<br />

disefto.<br />

Es necesario generar seis señales repetidas <strong>de</strong> temporización Toa T 5 similares a las que se indican<br />

en la figura 6-17c). Diseñe el circuito utilizando:<br />

a) Únicamente flip-flops.<br />

b) Un contador y un <strong>de</strong>codificador.<br />

Un sistema digital tiene un generador <strong>de</strong> reloj que produce pulsos con una frecuencia <strong>de</strong> 80<br />

MHz. Diseñe un circuito que genere un reloj con un tiempo <strong>de</strong> ciclo <strong>de</strong> 50 ns.<br />

Diseñe un contador que siga esta sucesión binaria repetida: O, 1,2,3,4,5,6. Use flip-flops JK.<br />

Diseñe un contador que siga esta sucesión binaria repetida: O, 1,2,4,6. Use flip-flops D.<br />

Numere los ocho estados no utilizados <strong>de</strong>l contador <strong>de</strong> anillo con extremo conmutado <strong>de</strong> la figura<br />

6-18a).<br />

Determine el siguiente estado para cada uno <strong>de</strong> estos estados y <strong>de</strong>muestre que, si el contador llega<br />

a estar en un estado no válido, no volverá a un estado válido. Modifique el circuito como se<br />

recomienda en el texto y <strong>de</strong>muestre que el contador produce la misma sucesión <strong>de</strong> estados y que<br />

el circuito llega a un estado válido <strong>de</strong>s<strong>de</strong> cualquiera <strong>de</strong> los estados no utilizados.<br />

Demuestre que un contador Johnson con" flip-flops produce una sucesión <strong>de</strong> 2" estados. Numere<br />

los 10 estados producidos con cinco flip-flops y los ténninos booleanos <strong>de</strong> cada una <strong>de</strong> las<br />

diez salidas <strong>de</strong> compuerta AND.<br />

Escriba las <strong>de</strong>scripciones HDL <strong>de</strong> comportamiento y estructural <strong>de</strong>l registro <strong>de</strong> cuatro bits <strong>de</strong> la<br />

figura 6-1.<br />

a) Escriba la <strong>de</strong>scripción HDL <strong>de</strong>l comportamiento <strong>de</strong> un registro <strong>de</strong> cuatro bits con carga paralela<br />

y <strong>de</strong>speje asincrónico.<br />

b) Escriba la <strong>de</strong>scripción HDL estructural <strong>de</strong>l registro <strong>de</strong> cuatro bits con carga paralela <strong>de</strong> la figura<br />

6-2. Utilice un multiplexor 2 x 1 para las entradas <strong>de</strong> flip-flops. Incluya una entrada <strong>de</strong><br />

<strong>de</strong>speje asincrónico.<br />

c) Verifique ambas <strong>de</strong>scripciones con un conjunto <strong>de</strong> pruebas.<br />

Se usa el programa <strong>de</strong> estímulo siguiente para simular el contador binario con carga paralela<br />

<strong>de</strong>scrito en el ejemplo HDL 6-3. Examine el programa y prediga qué salida tendrá el contador y<br />

el acarreo entre t = O Y t = 155 ns. -<br />

~


Estimulo para probar<br />

//<strong>de</strong>1 ejemplo 6-3<br />

-.


SECCIÓN 8.1.<br />

27 of 37<br />

Funcionamiento <strong>de</strong>l contador asincrono<br />

1. Para el contador asíncrono <strong>de</strong> la Figura 8.73, dibujar el diagrama <strong>de</strong> tiempos completo para<br />

ocho impulsos <strong>de</strong> reloj, indicando las fonnas <strong>de</strong> onda <strong>de</strong> la señal <strong>de</strong> reloj, <strong>de</strong> Qo y <strong>de</strong> Qr<br />

ru-U1fl.ru-U-UL<br />

FIGURA 8.73<br />

2. Para el contador asíncrono <strong>de</strong> la Figura 8.74, dibujar el diagrama <strong>de</strong> tiempos completo para<br />

dieciséis impulsos <strong>de</strong> reloj, indicando las formas <strong>de</strong> onda <strong>de</strong> la señal <strong>de</strong> reloj, Qo, QJ Y Q2'<br />

3. En el contador <strong>de</strong>l Problema 2, suponer que cada flip-flop tiene un retardo <strong>de</strong> propagación,<br />

entre el impulso <strong>de</strong> disparo <strong>de</strong> reloj y el cambio en la salida Q. <strong>de</strong> 8 ns. Detenninar el retardo


28 of 37<br />

PROBLEMAS. 539<br />

ALTO<br />

lo e , Q2<br />

CLK<br />

C<br />

KO<br />

FIGURA 8.74<br />

en el caso peor (el más largo) a partir <strong>de</strong> que se aplica un impulso <strong>de</strong> reloj hasta que el contador<br />

alcanza un <strong>de</strong>terminado estado. Especificar el estado o estados para los que se produce<br />

dicho caso peor.<br />

4. Indicar cómo se conecta un contador asíncrono <strong>de</strong> 4 bits 74LS93, para obtener cada uno <strong>de</strong> los<br />

siguientes módulos:<br />

(a) 9 (b) 11 (c) 13 (d) 14<br />

(e) 15<br />

SECCIÓN 8.2<br />

Funcionamiento <strong>de</strong>l contador sincrono<br />

S. Si el contador <strong>de</strong>l Problema 3 fuera síncrono en lugar <strong>de</strong> asincrono, ¿cuál seria el retardo más<br />

largo?<br />

6. Dibujar el diagrama <strong>de</strong> tiempos completo para el contador binario síncrono <strong>de</strong> cinco etapas <strong>de</strong><br />

la Figura 8.75. Verificar que las fonnas <strong>de</strong> onda <strong>de</strong> las salidas Q representan el número binario<br />

correcto <strong>de</strong>spués <strong>de</strong> cada impulso <strong>de</strong> reloj.<br />

FIGURA 8.75<br />

7. Analizando las enb'adas J y K <strong>de</strong> cada flip-flop antes <strong>de</strong> cada impulso <strong>de</strong> reloj, probar que el<br />

contador <strong>de</strong> décadas <strong>de</strong> la Figura 8.76 avanza a través <strong>de</strong> una secuencia BCD. Explicar, en<br />

cada caso, cómo estas condiciones hacen que el contador pase al siguiente estado correcto.<br />

8. Las formas <strong>de</strong> onda <strong>de</strong> la Figura 8.77 se aplican a las entradas <strong>de</strong> habilitación, borrado y <strong>de</strong><br />

reloj, como se indica. Dibujar las señales <strong>de</strong> salida <strong>de</strong>l contador en función <strong>de</strong> estas entradas.<br />

La entrada <strong>de</strong> borrado es asíncrona.<br />

9. En la Figura 8.78 se muestra un contador <strong>de</strong> décadas BCD. Se aplican las entradas <strong>de</strong> reloj y<br />

<strong>de</strong> borrado que se indican. Determinar las formas <strong>de</strong> onda <strong>de</strong> las salidas <strong>de</strong>l contador (Qqo Q"<br />

Q2 y QJ). La entrada <strong>de</strong> borrado es sincrona y el contador, inicialmente, está en el estado binano<br />

1000.<br />

10. Las formas <strong>de</strong> onda <strong>de</strong> la Figura 8.79 se aplican a un contador 74HC163. Determinar las salidas<br />

Q y RCO. Las entradas son Do = 1, DI = 1, D2 = O y DJ = l.


540 .<br />

CONTADORES<br />

29 of 37<br />

CLK<br />

FIGURA 8.76<br />

CTEN 1-- -,r l -<br />

CLKJU1.JU1J1.J1JlJ-l.J1 n (TEN<br />

a.K<br />

CiR.-J LJ ciR<br />

oQo Q, Q2 Q3<br />

FIGURA 8.n<br />

CLR<br />

CTR DIV 10<br />

FIGURA 8.78<br />

ao al Q2 o)<br />

CLK<br />

_r1_n___I-LJ--l__n__J-la..K<br />

-'JJ-UUU.1.J1JV-l-..JL1-lJ-U~J-l_J.-U-l.n-<br />

I I I I I I I<br />

CLR~ : : !: ! !<br />

I I I I I I<br />

ENP<br />

:: LJ ~!<br />

,<br />

I I I I<br />

ENT i i LJ<br />

I I<br />

LOAD<br />

SECCIÓN 8.3.<br />

U<br />

FIGURA 8.79<br />

11. Las fonDas <strong>de</strong> onda <strong>de</strong> la Figura 8.79 se aplican a un contador 74F162. Detenninar las salidas<br />

Q y TC. Las entradas son Do = 1, DI = O, D2 = O y DJ = l.<br />

<strong>Contadores</strong> ascen<strong>de</strong>ntes/<strong>de</strong>scen<strong>de</strong>ntesfncronos<br />

12. Dibujar un diagrama <strong>de</strong> tiempos completo para un contador ascen<strong>de</strong>nte/<strong>de</strong>scen<strong>de</strong>nte<br />

3 bits<br />

que sigue la siguiente secuencia. Indicar cuándo el contador está en modo ascen<strong>de</strong>nte y cuándo<br />

está en modo <strong>de</strong>scen<strong>de</strong>nte. Suponer que es disparado por flanco positivo.


PROBLEMAS. 30 of 541 37<br />

0,1,2,3,2,1,2,3,4,5,6,5,4,3,2,1,0<br />

13. Dibujar la fonDa <strong>de</strong> onda <strong>de</strong> salida Q <strong>de</strong> un contador ascen<strong>de</strong>nte/<strong>de</strong>scen<strong>de</strong>nte 74HCI90 con<br />

las fonDas <strong>de</strong> onda <strong>de</strong> entrada mostradas en la Figura 8.80. Las entradas <strong>de</strong> datos están a cero.<br />

Comenzar la cuenta en el estado 0000.<br />

CLK<br />

CTEN<br />

_J--l-fl_J-l-rLJ.~-l-rl-f1-.r1-rUL.n_~-l~L_JL<br />

I I I I I I I I I<br />

~ !<br />

r1¡ ¡<br />

!! ¡<br />

I lit I<br />

- i II ¡: II 1: II<br />

I~ -<br />

o/u<br />

I<br />

1 I I I<br />

¡¡jAij UL__j- -<br />

FIGURA 8.80<br />

SECCIÓN 8.4<br />

Diseño <strong>de</strong> los contadores síncronos<br />

14. Detenninar la secuencia <strong>de</strong>l contador <strong>de</strong> la Figura 8.81.<br />

Q l!t J D,<br />

C<br />

Q2<br />

a.I:<br />

FIGURA 8.81<br />

15. Determinar la<br />

<strong>de</strong>l contador <strong>de</strong> la Figura 8.82. Comenzar con el contador borrado.<br />

CLK<br />

16. Diseftar un contadorque genere la siguientesecuencia. Utilizar flip-flops J-K.<br />

00,10,01, 11,00...<br />

17. Diseftar un contador que genere la siguientesecuencia binaria. Utilizar flip-flops J-K.<br />

1,4,3,5,7,6,2,1...<br />

18. Diseftar un contador que genere la siguientesecuencia binaria. Utilizar flip-flops J-K.<br />

0,9,1,8,2,7,3,6,4,5,0,...<br />

FIGURA 8.82


542 . CONTADORES<br />

19. Disei\ar un contador binario que genere la secuencia que indica<br />

Figura 8.83.<br />

el<br />

31 of 37<br />

<strong>de</strong> estados <strong>de</strong> la<br />

FIGURA 8.83<br />

SECCIÓN 8.S<br />

<strong>Contadores</strong> en cascada<br />

20. Para cada una <strong>de</strong> las configuraciones en cascada <strong>de</strong> la Figura 8.84, <strong>de</strong>tenninar la frecuencia <strong>de</strong><br />

la sefl.al en cada punto sefl.alado con un número encerrado en un círculo, y calcular los módulos<br />

globales.<br />

1 k H z --l~J--~L~.!J-~L~:!.J~<br />

(a)<br />

- ~r:::::-:'l~_r=:-:1~_I";-;-'_~<br />

J:=:-::1 ~~I=:-::1~_J-=~~_I-=:-:-l~<br />

100kHz --l~.!!!I---l~.!.!?J--l~~--~~.:I~<br />

(b)<br />

-r=:"l q¿~r::~~~I-=':1~_I-;::::-::1_~_I-;:::-::l- ~<br />

21 MHz -~l~~J---l~!J--~t~~J---l~l.?J---~..!!!.J-~<br />

(c)<br />

39 . 4 k H z -~L~:!:.J-~l~~---L~!!-J-~l~~J-~~ __~I-=:-:1~~r;::7:1~_I=~~~r-=;:'~_I;:;;;-::;1_~<br />

~ - ~<br />

(d)<br />

FIGURA 8.84<br />

21. Ampliar el contador <strong>de</strong> la Figura 8.41 para crear un contador divisor por 10.000 y un contador<br />

divisor por 100.000.<br />

22. Por medio <strong>de</strong> diagramas <strong>de</strong> bloques generales, indicar cómo se obtendrán las siguientes frecuencias<br />

a partir <strong>de</strong> una seftal <strong>de</strong> reloj a 10 MHz, empleando flip-flops, contadores <strong>de</strong> módulo<br />

5 y contadores <strong>de</strong> décadas.<br />

(8) 5 Mliz (b) 2,5 Mliz (c) 2 ~z<br />

(d) 1 MHz' (e) 500 kHz (f) 250 kHz<br />

(g) 62,5 kHz (b) 40 kHz (1) 10kHz (j) 1 kHz


PROBLEMAS. 32 of 543 37<br />

SECCIÓN 8.6<br />

Decodificación <strong>de</strong> los contadores<br />

23. Dado un codificador <strong>de</strong> décadas BCD con sólo disponibles las salidas Q, <strong>de</strong>finir la lógica<br />

requerida para <strong>de</strong>codificar cada uno <strong>de</strong> los estados futuros e indicar cómo se conectaría al contador.<br />

Se precisa una salida a nivel ALTO para indicar cada estado <strong>de</strong>codificado. El MSB es el<br />

<strong>de</strong> la izquierda.<br />

(a) 0001<br />

(b)OOll<br />

(c)OlOl<br />

(d)Olll<br />

(e) 1000<br />

24. Para el contador binario <strong>de</strong> 4 bits conectado al <strong>de</strong>codificador <strong>de</strong> la Figura 8.85, <strong>de</strong>tenninar<br />

cada fonna <strong>de</strong> onda <strong>de</strong> salida <strong>de</strong>l <strong>de</strong>codificador en función <strong>de</strong> 10$ impulsos <strong>de</strong> reloj.<br />

r~"U1J"Ul.rtnnru1.IUU1.rt<br />

1 2 3 4 S 6 7 8 9 1011121314 IS 16<br />

FIGURA 8.85<br />

25. Si el contador <strong>de</strong> la Figura 8.85 es asíncrono, <strong>de</strong>terminar dón<strong>de</strong> se producen los glitches en las<br />

sefiales <strong>de</strong> salida <strong>de</strong>l <strong>de</strong>codificador.<br />

26. Modificar el circuito <strong>de</strong> la Figura 8.85 para eliminar los g/itches <strong>de</strong> <strong>de</strong>codificación.<br />

27. Analizar la ocurrencia <strong>de</strong> g/itches en la salida <strong>de</strong> la puerta <strong>de</strong> <strong>de</strong>codificación en el contador <strong>de</strong><br />

la Figura 8.45. Si se producen g/itches, sugerir una forma <strong>de</strong> eliminarlos.<br />

28. Analizar la ocurrencia <strong>de</strong> g/itches en las salidas <strong>de</strong> las puertas <strong>de</strong> <strong>de</strong>codificación en el contador<br />

<strong>de</strong> la Figura 8.46. Si éstos se producen, modificar el diseño para eliminarlos.<br />

SECCIÓN 8.7<br />

Aplicaciones <strong>de</strong> los contadores<br />

29. Suponer que el reloj digital <strong>de</strong> la Figura 8.51 se inicializa a las doce horas. Determinar el estado<br />

binario <strong>de</strong> cada contador <strong>de</strong>spués <strong>de</strong> que se hayan producido sesenta y dos impulsos <strong>de</strong> 60<br />

Hz <strong>de</strong> frecuencia.<br />

30. ¿Cuál es la frecuencia <strong>de</strong> salida <strong>de</strong> cada contador en el circuito <strong>de</strong>l reloj digital <strong>de</strong> la Figura<br />

8.51?


544 . CONTADORES<br />

33 of 37<br />

31. Para el sistema <strong>de</strong> control <strong>de</strong>l aparcamiento <strong>de</strong> coches <strong>de</strong> la Figura 8.54, en la Figura 8.86 se<br />

presenta una secuencia patrón <strong>de</strong> entrada y los impulsos <strong>de</strong>l sensor para un <strong>de</strong>terDlinado periodo<br />

<strong>de</strong> 24 horas. Si ya había 53 coches en el garaje al inicio <strong>de</strong>l periodo, ¿cuál es el estado<br />

<strong>de</strong>l contador pasadas las 24 horas?<br />

S e n sor d eum.n_-ll1_JJlllJl_j~.u-1-llJLL.n1-JLUJLUj_.lL.n.n.n<br />

entrada<br />

Sensor <strong>de</strong> ll~ ~l_J ll.l_u.nJllU___I_-1llJLJ- LlJ<br />

salida!<br />

O<br />

AGURA 8.86<br />

.L1J<br />

I<br />

I<br />

I<br />

I<br />

I<br />

24 bu<br />

32. El número binario correspondiente al <strong>de</strong>cimal 57 se presenta en las entradas <strong>de</strong> datos en paralelo<br />

<strong>de</strong>l convertidor paralelo-serie <strong>de</strong> la Figura 8.56 (Do es el LSB). Inicialmente, el contador<br />

contiene todo ceros y se aplica una señal <strong>de</strong> reloj a 10kHz. Desarrollar el diagrama <strong>de</strong> tiempos<br />

que muestre el reloj, las salidas <strong>de</strong>l contador y la salida <strong>de</strong> datos serie.<br />

SECCIÓN 8.9<br />

Localización <strong>de</strong> ayertas<br />

33. Para el contador <strong>de</strong> la Figura 8.1, dibujar el diagrama <strong>de</strong> tiempos para las formas <strong>de</strong> onda Qo<br />

y Q¡ si se produce alguno <strong>de</strong> los fallos siguientes (suponer que, inicialmente, Qo y Q. están a<br />

nivel BAJO):<br />

(a) la entrada <strong>de</strong> reloj <strong>de</strong> FFO está cortocircuitada a masa.<br />

(b) la salida Qo está en circuito abierto.<br />

(c) la entrada <strong>de</strong> reloj <strong>de</strong> FFl está en circuito abierto<br />

(d) la entrada J <strong>de</strong> FFO está en circuito abierto<br />

(e) la entrada K <strong>de</strong> FFl está cortocircuitada a masa.<br />

34. Resolver el Problema 33 para el contador <strong>de</strong> la Figura 8.11.<br />

35. Aislar el fallo <strong>de</strong>l contador <strong>de</strong> la Figura 8.3, analizando las formas <strong>de</strong> onda<strong>de</strong> la Figura 8.87.<br />

CLK<br />

JlLJ2LJ31_J4lJ5l~~7l_J8L<br />

I I I I I I I I<br />

f - I I I I I I I<br />

ao r-l.-II_S-L..J--L--<br />

I I I I I I I I<br />

I I I I I I I I<br />

Q) J~i: i: r :<br />

I I I I I I I I<br />

I I I I I I . I<br />

Q I<br />

2 O .<br />

I<br />

. -,<br />

I I<br />

.<br />

I<br />

..<br />

I I<br />

.<br />

I<br />

.<br />

FIGURA 8.87<br />

36. A partir <strong>de</strong>l diagrama <strong>de</strong> seftales <strong>de</strong> la Figura 8.88, <strong>de</strong>tenninar el fallo más probable en el contador<br />

<strong>de</strong> la Figura 8.14.<br />

37. Resolver el Problema 36, si la salida Q2 se correspon<strong>de</strong> con la forma <strong>de</strong> onda <strong>de</strong> la Figura 8.89.<br />

Las salidas Qo y QI son las <strong>de</strong> la Figura 8.88.<br />

38. Se aplica una señal <strong>de</strong> reloj <strong>de</strong> 5 MHz al contador en cascada <strong>de</strong> la Figura 8.44 y se mi<strong>de</strong> una<br />

frecuencia <strong>de</strong> 76,2939 Hz en la última salida RCO. ¿Es esto correcto? Si no lo es, ¿cuál es el<br />

fallo más probable?<br />

39. Desarrollar una tabla para probar el contador <strong>de</strong> la Figura 8.44, que muestre la frecuencia <strong>de</strong><br />

la última salida RCO, para todos los posibles fallos que se producen cuando cada una <strong>de</strong> las<br />

entradas <strong>de</strong> datos (Do, DI' D2 Y D3) está en circuito abierto. Utilizar una frecuencia <strong>de</strong> prueba<br />

<strong>de</strong> reloj <strong>de</strong> 10 MHz.


PROBLEMAS. 34 of 545 37<br />

CLK<br />

ao<br />

QI<br />

Q2<br />

J t j-.i---!.--t !--t.-<br />

t I t I I I I I<br />

J r-1--1___l r I I 1._.<br />

I I I I<br />

I<br />

t<br />

I I I I I I I I<br />

..r--l.._J i j---l r t<br />

FIGURA 8.88<br />

CLK<br />

Qz<br />

FIGURA 8.89<br />

t I I I<br />

[ '-1[.--1- -<br />

40. El display <strong>de</strong> 7-segmentos para las <strong>de</strong>cenas <strong>de</strong> horas <strong>de</strong>l sistema <strong>de</strong> reloj digital <strong>de</strong> la Figura<br />

8.51 presenta continuamente en el display un l. Los restantes digitos funcionan correctamente.<br />

¿Cuál es el problema?<br />

41. ¿Cuál seria la indicación visual si la salida QI <strong>de</strong>l contador <strong>de</strong> <strong>de</strong>cenas <strong>de</strong> minutos <strong>de</strong> la Figura<br />

8.51 estuviera en circuito abierto? Consultar también la Figura 8.52.<br />

42. Un <strong>de</strong>terminado día (posiblemente un lunes) los dueftos <strong>de</strong> las plazas <strong>de</strong>l garaje que tiene el<br />

sistema <strong>de</strong> control <strong>de</strong>scrito en las Figuras 8.54 y 8.55, comienzan a presentar quejas. Los dueftos<br />

dicen que pue<strong>de</strong>n entrar en el garaje PQrque la barrera está levantada y el cartel <strong>de</strong> COM-<br />

PLETO está apagado, pero que una vez que han entrado no pue<strong>de</strong>n encontrar una plaza vacía.<br />

Si fuera el técnico encargado <strong>de</strong> mantener este sistema, ¿cuál pensaria que era el problema?<br />

¿Cómo localizaria la avería y repararia el sistema lo más rápidamente posible?<br />

Aplicación a lossistemasdigitales<br />

43. Implementar la lógica <strong>de</strong> entrada <strong>de</strong>l circuito secuencial <strong>de</strong>l sistema <strong>de</strong> control <strong>de</strong> semáforos<br />

utilizando sólo puertas NAND.<br />

44. Reemplazar los flip-flops D <strong>de</strong>l contador en código Gray <strong>de</strong> dos bits <strong>de</strong> la Figura 8.67 por flipflops<br />

J-K.<br />

45. Especificar cómo se cambiaría el intervalo <strong>de</strong> la luz ver<strong>de</strong> <strong>de</strong> 25 s a 60 s.<br />

Problemas especiales <strong>de</strong> diseno<br />

46. Diseñar un contador <strong>de</strong> módulo 1000, utilizando contadores <strong>de</strong> décadas 74F162.<br />

47. Modificar el disefto <strong>de</strong>l contador <strong>de</strong> la Figura 8.44 para conseguir un módulo <strong>de</strong> 30.000.<br />

48. Repetir el Problema 47 para obtener un módulo <strong>de</strong> 50.000.<br />

49. Modificar el reloj digital <strong>de</strong> las Figuras 8.51, 8.52 y 8.53 para que pueda reinicializarse en<br />

cualquier instante.<br />

50. Diseñar un circuito <strong>de</strong> alarma para que el reloj digital pueda <strong>de</strong>tectar un intervalo <strong>de</strong> tiempo<br />

pre<strong>de</strong>terminado (horas y minutos únicamente) y generar un sefial que active una alarma audible.<br />

51. Modificar el diseño <strong>de</strong>l circuito <strong>de</strong> la Figura 8.55 para 1000 y 3000 plazas <strong>de</strong> garaje.<br />

52. Implementar la lógica <strong>de</strong> conversión <strong>de</strong> datos paralelo-serie <strong>de</strong> la Figura 8.56 con dispositivos<br />

<strong>de</strong> función fija especificos.


546 . CONTADORES<br />

35 of 37<br />

53. En el Problema 15, se ha <strong>de</strong>tenninado que el contador entra en un bucle y alterna entre dos<br />

estados. Esto suce<strong>de</strong> como resultado <strong>de</strong> un fallo <strong>de</strong> diseño. Diseñar <strong>de</strong> nuevo el contador para<br />

que cuando entre en el segundo <strong>de</strong> los estados <strong>de</strong>l bucle, se inicie un nuevo ciclo en el estado<br />

<strong>de</strong> todo ceros con el siguiente impulso <strong>de</strong> reloj.<br />

54. Modificar el diagrama <strong>de</strong> bloques <strong>de</strong>l sistema <strong>de</strong> control <strong>de</strong> semáforos <strong>de</strong> la Figura 8.63, para<br />

añadir una señal <strong>de</strong> giro a la izquierda durante 15 segundos en la calle principal, inmediatamente<br />

antes <strong>de</strong> la luz ver<strong>de</strong>.<br />

REVISIONES DE CADA SECCiÓN<br />

SECCIÓN 8.1.<br />

Funcionamiento <strong>de</strong>l contador asíncrono<br />

l. Asíncrono significa que cada flip-flop posterioral primero se activa por medio <strong>de</strong> la salida <strong>de</strong>l<br />

flíp-flop prece<strong>de</strong>nte.<br />

SECCiÓN 8.2<br />

2.<br />

3.<br />

El contador se pue<strong>de</strong> inicializar en cualquier estado.<br />

El contador se activa cuando ENP y ENT están a nivel ALTO; RCO pasa a nivel ALTO cuando<br />

se alcanza el estado final <strong>de</strong> la secuencia.<br />

SECCIÓN 8.3.<br />

SECCiÓN 8.4<br />

SECCIÓN 8.S<br />

SECCIÓN 8.6<br />

<strong>Contadores</strong> ascen<strong>de</strong>ntes/<strong>de</strong>scen<strong>de</strong>ntesincronos<br />

l. El contador pasa al estado 1001<br />

2. ASCENDENTE: 1111, DESCENDENTE: 0000; el siguiente estado es 1111.<br />

Disefto <strong>de</strong> los contadores siDcronos<br />

l. J= I,K=X(indiferente)<br />

2. J =X (indiferente), K = O<br />

3. (a) El estado siguiente es 1011<br />

(b) QJ (MSB): modo no cambio o SET; Q2: modo no cambio o RESET; QI: modo no cambio<br />

o SET; Qo (LSB): modo SET o <strong>de</strong> basculación.<br />

<strong>Contadores</strong> en cascada<br />

l. Tres contadores <strong>de</strong> décadas producen -:,,-<br />

-:,,- 10.000.<br />

2. (a) -:,,-20: flip-flop y divisor por 10<br />

(b) -:,,-32: flip-flop y divisor por 16<br />

(c) -:,,- 160: divisor por 16 y divisor por 10<br />

(d) -:,,-320: divisor por 16, divisor por 10 y flip-flop.<br />

Decodificación <strong>de</strong> los contadores<br />

l. (a) No hay ningún estado transitorio, porque hay un único<br />

1000, cuatro contadores <strong>de</strong> décadasproducen<br />

<strong>de</strong> bit.<br />

(b) 0000, 0001, 0010, 0101, 0110,0111<br />

(c) No hay ningún estado transitorio, porque hay un único cambio<strong>de</strong> bit.


RESPUESTAS.<br />

36 of<br />

547<br />

37<br />

(d) 0001, 0010, 0011, 0100, 0101, 0110, 0111,1000,1001,1010,1011,1100,1101,1110<br />

SECCiÓN 8.7<br />

SECCIÓN 8.8<br />

SECCIÓN 8.9<br />

Aplicaciones <strong>de</strong> los contadores<br />

1. La puerta G¡ pone a cero el flip-flop en el primer impulso <strong>de</strong> reloj <strong>de</strong>spués <strong>de</strong> alcanzar el valor<br />

12. La puerta G2 <strong>de</strong>codifica el valor 12 para inicializar el contador a 0001.<br />

2. El contador <strong>de</strong> décadas para las horas avanza a través <strong>de</strong> cada estado <strong>de</strong>s<strong>de</strong> cero hasta nueve<br />

y, al pasar <strong>de</strong> nueve a cero para iniciar un nuevo ciclo, el flip-flop bascula al estado SET. Esto<br />

da lugar a que se presente un 10 en el display. Cuando el contador <strong>de</strong> décadas para las horas<br />

está en el estado 12, las puertas <strong>de</strong> <strong>de</strong>codificación NANO hacen que el contador inicie un<br />

nuevo ciclo en el estado l con el siguiente impulso <strong>de</strong> reloj. El flip-flop pasa a estado RESET.<br />

Esto hace que aparezca un 1 (01) en el display.<br />

Simbolos lógicos con notación <strong>de</strong> <strong>de</strong>pen<strong>de</strong>ncia<br />

1. C: control, usualmente reloj; M: modo; G: AND<br />

2. D indica almacenamiento <strong>de</strong> datos<br />

Localización <strong>de</strong> averias<br />

l. No hay impulsos en las salidas TC: CTEN <strong>de</strong>l primer contador está cortocircuitada a masa o a<br />

un nivel BAJO; la entrada <strong>de</strong> reloj <strong>de</strong>l primer contador está en circuito abierto; la línea <strong>de</strong> reloj<br />

está cortocircuitada a masa o a un nivel BAJO; la salida TC <strong>de</strong>l primer contador está cortocircuitada<br />

a masa o a un nivel BAJO.<br />

2. Con la salida <strong>de</strong>l inversor en circuito abierto, el contador no pue<strong>de</strong> comenzar un nuevo ciclo<br />

en el valor <strong>de</strong> carga pre<strong>de</strong>terminado, sino que actúa como un contador <strong>de</strong> módulo completo.<br />

PROBLEMAS RELACIONADOS<br />

8.1 Véase la Figura 8.90.<br />

CLK<br />

Qo<br />

o.<br />

~<br />

o)<br />

FIGURA 8.90<br />

8.2<br />

8.3<br />

Conectar Qo a l~erta NANO co~ tercera entrada (Q2 y Q3 son dos <strong>de</strong> las entradas). Conectar<br />

la línea CLR a la entrada CLR <strong>de</strong> FFO, asi como <strong>de</strong> FF2 y FF3.<br />

Véase la Figura 8.91.<br />

a.KA<br />

C<br />

CLXI C 7-4LS93<br />

AGURA 8.91<br />

8.4 Véase la Figura 8.92.<br />

8.5 Véase la Tabla 8.1S.<br />

Qo Q¡ Q2 Q3


548 . CONTADORES<br />

37 of 37<br />

8.6 La aplicación <strong>de</strong>l álgebra <strong>de</strong> Boole a la lógica <strong>de</strong> la Figura 8.37 <strong>de</strong>muesb'a que la<br />

cada puerta OR está <strong>de</strong> acuerdo con la expresión <strong>de</strong>l paso 5.<br />

salida<strong>de</strong><br />

uPii)¡jWÑ - I I I I I I I I I I I I I ~ ~ ~ I<br />

a.K<br />

I<br />

Qo<br />

(1. I I I<br />

Q:z<br />

(1]<br />

FIGURA 8.92<br />

I I I I<br />

Olt,Slt4It3It211!1}411,SIOII'Olt,S11411,SIO'<br />

8.7<br />

8.8<br />

8.9<br />

Se requieren contadores <strong>de</strong> cinco décadas. 105 = 100.000<br />

fQO = 1 MH7/[(10)(2)] = SO kHz<br />

Véase la Figura 8.93.<br />

~:P-5<br />

Qo<br />

FIGURA 8.93<br />

8.10 Debería cargarse 8ACO!6. 164 - 8 ACO'6 = 65.536 - 32.520 = 30.016<br />

f1t:4<br />

= lO MH2/30,016 = 332.2 Hz<br />

8.11 Véase la Figura 8.94.<br />

CLK Jl-l-U-U-~UU"L<br />

Q<br />

o<br />

~I~I~I~II<br />

JILUIu..JIU-IIU-J<br />

TABLA 8.15<br />

Q II I I I I I I I I I II I I , I<br />

I o I I I I I I I I I I I II .., I<br />

I I I . .. . , I , I , . . . , I I<br />

Q2..I I..J I I I 1 I I-L-J<br />

AGURA 8.94<br />

AUTOTEST<br />

1. (a)<br />

6. (c)<br />

11. (c)<br />

1. (b) 3. (b) 4. (c) 5. (a)<br />

7. (b) 8. (c) 9. (d) 10. (a)<br />

U. (b) 13. (b) 14. (d)

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!