01.01.2015 Views

Tesis de Omar R. Ávila López - Cinvestav

Tesis de Omar R. Ávila López - Cinvestav

Tesis de Omar R. Ávila López - Cinvestav

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Maestría en Ciencias, <strong>Tesis</strong>: Diseño e Implementación <strong>de</strong> la Sección<br />

Digital <strong>de</strong> un Tag <strong>de</strong> RFID en la Banda <strong>de</strong> 900MHz utilizando<br />

Tecnología CMOS <strong>de</strong> 0.5 μm (Febrero 2009)<br />

<strong>Omar</strong> Roberto Ávila López, CINVESTAV-IPN.<br />

Asesor: Dr. Ramón Parra Michel, CINVESTAV-IPN Guadalajara, email: rparra@gdl.cinvestav.mx<br />

Asesor: Dr. Fe<strong>de</strong>rico Sandoval Ibarra, CINVESTAV-IPN, Guadalajara, email: sandoval@cts-<strong>de</strong>sign.com<br />

Abstract—En esta tesis se presenta el diseño y la<br />

implementación <strong>de</strong> la sección digital <strong>de</strong> un Tag <strong>de</strong> RFID en la<br />

banda <strong>de</strong> 900MHz, utilizando tecnología <strong>de</strong> fabricación <strong>de</strong><br />

circuitos integrados CMOS <strong>de</strong> 0.5μm. Se obtuvieron los<br />

requerimientos <strong>de</strong>l sistema <strong>de</strong> acuerdo al estándar<br />

ISO/IEC18000-6 parte A, a partir <strong>de</strong> ahí se planteó el diseño <strong>de</strong><br />

tal forma que se cumple con el estándar. Se hizo el diseño<br />

digital <strong>de</strong> todo el sistema, y el diseño <strong>de</strong>l oscilador a utilizar, y<br />

una vez completo se sometió a pruebas <strong>de</strong> funcionalidad para lo<br />

cual se hizo también el diseño <strong>de</strong> un “lector <strong>de</strong> RFID” básico<br />

para aplicar las secuencias <strong>de</strong> prueba. Utilizando el software <strong>de</strong><br />

Synopsys Design Compiler se realizó un análisis <strong>de</strong> consumo <strong>de</strong><br />

potencia, área <strong>de</strong> circuito y frecuencia máxima <strong>de</strong> trabajo <strong>de</strong> la<br />

sección digital. Finalmente se generó automáticamente y a<br />

partir <strong>de</strong> los archivos <strong>de</strong> lógica digital, el layout, el cual se juntó<br />

con el layout manual realizado para el oscilador.<br />

I.INTRODUCCIÓN<br />

El mundo en el que vivimos está hecho <strong>de</strong> objetos que<br />

pue<strong>de</strong>n distinguirse y separarse unos <strong>de</strong> otros. Siendo <strong>de</strong> tal<br />

importancia el i<strong>de</strong>ntificar objetos, y muchas veces almacenar<br />

la información acerca <strong>de</strong> las propieda<strong>de</strong>s <strong>de</strong> dicho objeto, es<br />

entonces que surge la llamada “i<strong>de</strong>ntificación automática”.<br />

Lo primeros métodos utilizados fueron los ópticos (códigos<br />

<strong>de</strong> barras, etc); sin embargo <strong>de</strong>bido a sus limitaciones (línea<br />

<strong>de</strong> vista, cantidad <strong>de</strong> información, etc), surge la<br />

I<strong>de</strong>ntificación por Radiofrecuencia (RFID), <strong>de</strong> la cual el<br />

dispositivo utilizado el el “Tag <strong>de</strong> RFID” [1] . Su utilización<br />

está sujeta a ciertas normas, <strong>de</strong> las cuales la que aplica para<br />

este trabajo es la correspondiente a RIFD en la banda <strong>de</strong> 860<br />

a 960MHz correspondiente al ISO/IEC18000-6 [2] . El<br />

esquema general <strong>de</strong> un sistema RFID se muestra en la figura<br />

1.<br />

Fig. 1: Vista general <strong>de</strong> un Sistema <strong>de</strong> RFID. Figura tomada <strong>de</strong> [1]<br />

II.PLANTEAMIENTO DEL PROYECTO<br />

El Sistema Digital realizado es parte <strong>de</strong> un proyecto<br />

mayor, cuyo objetivo es la implementación <strong>de</strong> un tag <strong>de</strong><br />

RFID en UHF completamente funcional utilizando el<br />

protocolo <strong>de</strong> comunicación <strong>de</strong> acuerdo al estándar ISO/IEC<br />

18000-6 parte A. Este tipo <strong>de</strong> dispositivos consta <strong>de</strong> un<br />

circuito integrado (IC) conectado directamente a una antena,<br />

por medio <strong>de</strong> la cual utiliza comunicación por<br />

radiofrecuencia para recibir y transmitir información. Dicho<br />

circuito se compone internamente <strong>de</strong> un front-end analógico<br />

y <strong>de</strong> una sección digital <strong>de</strong> procesamiento (figura 2). El<br />

front-end analógico es la interfaz entre la antena y la sección<br />

<strong>de</strong> procesamiento <strong>de</strong> la información (etapa digital).<br />

Fig. 2: Diagrama a bloques <strong>de</strong> una etiqueta RFID <strong>de</strong> UHF pasiva.<br />

La sección digital se encarga <strong>de</strong> compren<strong>de</strong>r y procesar la<br />

información recibida por el Front-End analógico y generar<br />

una respuesta <strong>de</strong> acuerdo a un protocolo establecido,<br />

regresándola <strong>de</strong> vuelta a la sección analógica para su<br />

transmisión, es la parte digital precisamente la <strong>de</strong>sarrollada<br />

en esta tesis, cuya interfaz se muestra en la figura 3.<br />

x<br />

Fig. 3: Interfaz entre el front-end analógico y el Sistema Digital.<br />

III.FUNCIONAMIENTO DEL SISTEMA DE ACUERDO AL<br />

ESTÁNDAR ISO/IEC18000-6<br />

El diseño <strong>de</strong>l sistema está hecho en función <strong>de</strong> los<br />

requerimientos planteados, y los requerimientos surgen a<br />

partir <strong>de</strong> las especificaciones <strong>de</strong>l estándar. En el estándar se<br />

<strong>de</strong>scribe también el protocolo <strong>de</strong> comunicación y modo <strong>de</strong><br />

operación <strong>de</strong>l Tag, <strong>de</strong> acuerdo a ello se pue<strong>de</strong> operar en 6<br />

estados, y el cambiar <strong>de</strong> un estado a otro está en función <strong>de</strong><br />

los comandos recibidos <strong>de</strong>s<strong>de</strong> el lector. Los estados y<br />

transiciones se muestran en la figura 4.


El funcionamiento <strong>de</strong>l sistema <strong>de</strong> acuerdo al protocolo es<br />

como sigue: En ausencia <strong>de</strong> una señal <strong>de</strong> RF las etiquetas<br />

permanecen en estado RF_Fiel_Off, cuando son energizadas<br />

pasan al Ready.<br />

Fig. 4: Esquema básico <strong>de</strong> transiciones entre estados [2] .<br />

El proceso inicia cuando el lector envía un comando<br />

Init_Round¸ las etiquetas pasan al estado Ready y<br />

seleccionan aleatoriamente un número <strong>de</strong> slot (espacio <strong>de</strong><br />

tiempo) para respon<strong>de</strong>r, si alguna escogió el slot 1, envía su<br />

respuesta al lector; si el slot es mayor que 1 espera al<br />

siguiente comando. Después <strong>de</strong> que el lector haya enviado el<br />

comando <strong>de</strong> inicio <strong>de</strong> ronda existen tres posibilida<strong>de</strong>s:<br />

- El lector no recibe respuesta, ninguna etiqueta eligió el<br />

slot 1. El lector envía un comando Close_Slot.<br />

- El lector <strong>de</strong>tecta una colisión entre dos o más respuestas,<br />

no guarda la in formación y envía un Close_Slot.<br />

- El lector recibe una respuesta sin error, guarda la<br />

información y envía un comando Next_Slot, que contiene<br />

la firma <strong>de</strong> la etiqueta para confirmar (valor que se envió<br />

al lector <strong>de</strong>ntro <strong>de</strong> la respuesta).<br />

Cuando las etiquetas que no han respondido reciben un<br />

Close_Slot o un Next_Slot, incrementan su contador <strong>de</strong> slot<br />

en 1 y cuando dicho campo alcanza su número <strong>de</strong> slot<br />

entonces envían su respuesta.<br />

Cuando una etiqueta que ha transmitido sus datos en el<br />

slot actual recibe un comando Next_Slot: verifica que la<br />

firma <strong>de</strong>l comando coincida con su firma, y que el comando<br />

se recibió <strong>de</strong>ntro <strong>de</strong> la ventana <strong>de</strong> tiempo a<strong>de</strong>cuada (un<br />

tiempo <strong>de</strong>finido <strong>de</strong>spués <strong>de</strong> haber enviado la respuesta:<br />

RIW). Si la etiqueta corrobora las condiciones anteriores,<br />

entonces pasa al estado Quiet, <strong>de</strong> lo contrario permanece en<br />

su estado actual.<br />

La ronda continua hasta que todas las etiquetas han sido<br />

exploradas. Durante una ronda el lector pue<strong>de</strong> suspen<strong>de</strong>rla<br />

con un comando Standby_Round, con lo que la etiqueta <strong>de</strong><br />

la que se envía la firma entra en el estado Selected para tener<br />

un diálogo directo con el lector, mientras que las <strong>de</strong>más<br />

entran al estado Round_Standby [2] .<br />

IV.REQUERIMIENTOS DEL SISTEMA<br />

Esta sección <strong>de</strong>l trabajo plantea <strong>de</strong> manera concreta cuáles<br />

son los requerimientos <strong>de</strong>l sistema, es <strong>de</strong>cir, señales <strong>de</strong><br />

entrada y salida, funcionalidad, recursos necesarios, etcétera.<br />

La importancia <strong>de</strong> esto radica, en que a partir <strong>de</strong> dichos<br />

requerimientos se <strong>de</strong>fine el correcto funcionamiento <strong>de</strong> la<br />

arquitectura y cada uno <strong>de</strong> los bloques digitales diseñados<br />

posteriormente.<br />

Los requerimientos <strong>de</strong>l sistema están basados en:<br />

- El estándar <strong>de</strong> RFID ISO IEC 18000-6 parte A.<br />

- Las señales <strong>de</strong> entrada y salida necesarias para el correcto<br />

funcionamiento <strong>de</strong>ntro <strong>de</strong>l sistema completo (la tarjeta<br />

RFID)<br />

Y dichos requerimientos se divi<strong>de</strong>n en:<br />

Requerimientos Generales: Consumo <strong>de</strong> potencia (menor a<br />

12μW), voltaje <strong>de</strong> alimentación (3.0V), interfaz como en la<br />

figura 3, diseño hasta nivel layout.<br />

Requerimientos Funcionales <strong>de</strong> Recepción: Describen el<br />

formato <strong>de</strong> las tramas <strong>de</strong> entrada, y los “símbolos”<br />

(segmentos <strong>de</strong> trama) que representan bits. También el tipo<br />

<strong>de</strong> codificación en la recepción y el la frecuencia <strong>de</strong> trabajo.<br />

Requerimientos Funcionales <strong>de</strong> Transmisión: Indica bajo<br />

qué condiciones se envía una respuesta; el formato <strong>de</strong> la<br />

trama <strong>de</strong> respuesta y el tipo <strong>de</strong> codificación <strong>de</strong> la<br />

información; así como la frecuencia <strong>de</strong> trabajo.<br />

Requerimientos Funcionales <strong>de</strong> Operación: A gran<strong>de</strong>s<br />

rasgos <strong>de</strong>scribe la operación representada en la figura 4.<br />

Requerimientos <strong>de</strong> Hardware y Software: Herramientas<br />

utilizadas: FPGA para pruebas y software <strong>de</strong> diseño digital,<br />

síntesis y layout.<br />

Requerimientos <strong>de</strong> Memoria: Describe la información que<br />

<strong>de</strong>be ser almacenada en la etiqueta, así como los registros<br />

necesarios para la operación.<br />

La lista <strong>de</strong>sglosada <strong>de</strong> requerimientos (en nombre<br />

código), junto con los bloques que los representan se<br />

muestra en la tabla 1.<br />

V.ARQUITECTURA Y DISEÑO<br />

El Sistema Digital <strong>de</strong>l Tag se encarga <strong>de</strong> realizar todas las<br />

funciones <strong>de</strong>scritas en los requerimientos (comandos<br />

mandatarios) <strong>de</strong> acuerdo al estándar ISO/IEC18000-6 tipo<br />

A.<br />

El diseño fue hecho mediante la herramienta esquemática<br />

<strong>de</strong>l software Quartus II <strong>de</strong> Altera, compilado para un<br />

dispositivo Cyclone II (con objetivo <strong>de</strong> simulación y<br />

verificación solamente); posteriormente fue obtenido su<br />

código en VHDL para trasladarlo al software <strong>de</strong> síntesis.<br />

De acuerdo a las funciones a realizar, la arquitectura <strong>de</strong>l<br />

sistema propuesta es la mostrada en la figura 5. Cada<br />

rectángulo representa un bloque que realiza una o varias<br />

funciones para cumplir con los requerimientos. Cada línea


epresenta una señal o un conjunto <strong>de</strong> señales que son<br />

salidas <strong>de</strong> un bloque y entradas para otro u otros. Para<br />

distinguir a que etapa (Reloj, Recepción, Procesamiento y<br />

Transmisión) pertenece cada uno <strong>de</strong> los bloques, se<br />

encerraron en un rectángulo mayor <strong>de</strong> color tenue, don<strong>de</strong> en<br />

la parte superior indica el nombre <strong>de</strong> la etapa que representa.<br />

Asimismo, se distinguen con diferentes colores los bloques<br />

principales, los secundarios, y los registros.<br />

Fig. 5: Diagrama a Bloques <strong>de</strong>l Sistema Digital <strong>de</strong>l Tag.<br />

El objetivo principal <strong>de</strong>l diseño, es cumplir con todos los<br />

requerimientos establecidos en el capítulo 3, razón por la<br />

cual es importante verificar y a su vez <strong>de</strong>finir cuál bloque es<br />

el encargado <strong>de</strong> cumplir con la función para cada uno <strong>de</strong> los<br />

requerimientos. Por ello a continuación en la Tabla 1 se<br />

presentan los “Requerimientos <strong>de</strong>l Sistema” (con su código<br />

correspondiente) contra “Bloques <strong>de</strong> Diseño” (con la letra<br />

que representa al bloque en la figura 5 ).<br />

Bloque<br />

/<br />

Requerimiento<br />

RFR_01<br />

RFR_02<br />

RFR_03<br />

RFR_04<br />

RFR_05<br />

RFR_06<br />

A<br />

B<br />

C<br />

D E I J G N F M H L K R Q P<br />

♦<br />

♦ ♦<br />

♦<br />

♦<br />

♦<br />

♦<br />

RFT_01<br />

♦ ♦<br />

RFT_02 ♦ ♦ ♦<br />

RFT_03<br />

♦<br />

RFT_04 ♦ ♦<br />

RFT_05 ♦<br />

RFT_06 ♦<br />

RFT_07<br />

♦ ♦ ♦<br />

RFT_08<br />

♦ ♦ ♦<br />

RFT_09<br />

♦<br />

RFT_10 ♦ ♦<br />

RFO_01 ♦<br />

RFO_02 ♦ ♦ ♦ ♦ ♦ ♦ ♦ ♦ ♦ ♦<br />

RFO_03<br />

♦<br />

RFO_04 ♦ ♦ ♦ ♦ ♦ ♦<br />

RFM_01 T_SUID ♦<br />

RFM_01 T_DSFI ♦<br />

RFM_01 TIPO ♦<br />

RFM_01 FIRMA ♦<br />

RFM_01 SLOT<br />

♦<br />

RFM_01 CONT_SLOT ♦<br />

RFM_01 RIW<br />

♦<br />

RFM_01 RESP_PREVIA<br />

♦<br />

Tabla 1: “Requerimientos <strong>de</strong>l Sistema” contra “Bloques <strong>de</strong><br />

Diseño”.<br />

VI.RESULTADOS<br />

Debido a que el consumo <strong>de</strong> potencia es <strong>de</strong> vital<br />

importancia en un proyecto como este, para <strong>de</strong>cidir el tipo<br />

<strong>de</strong> diseño a utilizar se realizó un estudio previo bajando un<br />

circuito digital realizado <strong>de</strong> ambas formas (VHDL y<br />

compuertas y flip-flops) a FPGA, don<strong>de</strong> los resultados se<br />

muestran en la tabla 2.<br />

Tipo <strong>de</strong> Diseño:<br />

Elementos lógicos <strong>de</strong>l FPGA<br />

utilizados:<br />

Porcentaje respecto <strong>de</strong>l<br />

menor valor:<br />

VHDL<br />

Compuertas y flipflops.<br />

38 35<br />

108.6% 100%<br />

Diferencia respecto <strong>de</strong>l<br />

menor valor:<br />

+8.6% -<br />

Tipo <strong>de</strong> diseño elegido (): <br />

Tabla 2: Prueba para seleccionar el tipo <strong>de</strong> diseño.<br />

Por utilizar menor cantidad <strong>de</strong> componentes y por lo tanto<br />

consumir menor potencia, se eligió el diseño a nivel <strong>de</strong><br />

“compuertas y flip-flops” (cuando se diseña en algún<br />

lenguaje <strong>de</strong> <strong>de</strong>scripción <strong>de</strong> hardware se está sujeto a lo que<br />

el compilador interprete con las instrucciones, en vez <strong>de</strong><br />

tenerla <strong>de</strong>scripción explícita <strong>de</strong> compuertas.).<br />

El oscilador utilizado es un VCO <strong>de</strong>l tipo “current starved”<br />

[3] , diseñado para una frecuencia <strong>de</strong> 400kHz, como lo<br />

muestra la figura 6a.<br />

a) b)<br />

Fig. 6: Oscilador. a) Frecuencia <strong>de</strong> oscilación. b) Ajuste <strong>de</strong> voltaje.<br />

Permite reajustar la frecuencia mediante un voltaje <strong>de</strong><br />

referencia Vref, para consi<strong>de</strong>rar las variaciones en el voltaje


<strong>de</strong> alimentación. En la figura 6b se muestra la gráfica <strong>de</strong><br />

ajuste para preservar la frecuencia <strong>de</strong> 400kHz.<br />

Para probar la sección digital, se utilizaron 4 secuencias <strong>de</strong><br />

prueba generadas por un “lector básico” diseñado para este<br />

propósito. Dichas secuencias se encargan <strong>de</strong> probar todos<br />

los bloques en sus diversas modalida<strong>de</strong>s (por ello hay<br />

bloques probados por distintas secuencias), en la tabla 3 se<br />

indica los bloques que involucra cada secuencia, y si<br />

relacionamos dicha tabla, con la número 1 se relaciona cada<br />

secuencia (mediante los bloques) con el requerimiento que<br />

está probando.<br />

Secuencia<br />

\<br />

Bloque<br />

Generador <strong>de</strong> Señales <strong>de</strong> Reloj ♦ ♦ ♦ ♦<br />

Receptor y Codificador <strong>de</strong> ♦ ♦ ♦ ♦<br />

Máquina <strong>de</strong> Estados <strong>de</strong>l Sistema ♦ ♦ ♦ ♦<br />

Slot ♦ ♦ ♦ ♦<br />

Firma ♦ ♦ ♦ ♦<br />

Tamaño <strong>de</strong> Ronda ♦ ♦ ♦ ♦<br />

Respuesta Previa ♦ ♦ ♦<br />

RIW ♦ ♦ ♦<br />

Firma Coinci<strong>de</strong> ♦ ♦ ♦<br />

Contador <strong>de</strong> Slot ♦ ♦ ♦ ♦<br />

Contador <strong>de</strong> Slot es igual a Slot ♦ ♦ ♦ ♦<br />

Generador Aleatorio ♦ ♦ ♦ ♦<br />

Bloque <strong>de</strong> Envío <strong>de</strong> Datos ♦ ♦ ♦<br />

Selector <strong>de</strong> Datos ♦ ♦ ♦<br />

Transmisor ♦ ♦ ♦<br />

Tabla 3: Bloques probados con cada secuencia <strong>de</strong> prueba.<br />

Una prueba <strong>de</strong> funcionamiento típico se muestra en la fig. 7.<br />

Sec. 1<br />

Sec. 2<br />

Sec. 3<br />

Sec. 4<br />

y entonces el bloque <strong>de</strong> envío <strong>de</strong> datos trabaja para generar<br />

la secuencia <strong>de</strong> respuesta en la salida OUT. Ahora se recibe<br />

un nuevo comando Next_Slot, <strong>de</strong>ntro <strong>de</strong> la ventana <strong>de</strong><br />

tiempo RIW, por lo que se pasa al estado Quiet (#4), para<br />

posteriormente con un Reset_to_Ready, volver al estado<br />

Ready (#0). Después <strong>de</strong> ello, se envía un comando no<br />

soportado por lo que la señal <strong>de</strong> Error lo indica con un<br />

pulso, y sin cambiar el estado <strong>de</strong> funcionamiento, se envía la<br />

trama <strong>de</strong> respuesta a un comando no soportado en la salida<br />

OUT.<br />

Para generar el layout se utilizó la herramienta L-Edit,<br />

don<strong>de</strong> <strong>de</strong>be leerse el archivo <strong>de</strong> diseño *.edif junto con la<br />

librería <strong>de</strong> celdas <strong>de</strong> la tecnología a utilizar (mamis05.tdb) .<br />

Entonces se configura la colocación <strong>de</strong> las entradas y salidas<br />

<strong>de</strong>l circuito, así como las señales globales que serán ruteadas<br />

(en este caso las señales <strong>de</strong> reloj, que <strong>de</strong>ben llegar con el<br />

mismo retardo a todos los flip-flops). Se proce<strong>de</strong> a generar<br />

automáticamente el layout, dando como resultado el sistema<br />

digital <strong>de</strong> la figura 8, y entonces se agrega manualmente el<br />

bloque “custom” diseñado como oscilador, quedando así<br />

todo el sistema propuesto <strong>de</strong>s<strong>de</strong> la figura 5.<br />

Fig. 7: Prueba <strong>de</strong> funcionamiento típico.<br />

La <strong>de</strong>scripción <strong>de</strong> la operación <strong>de</strong> tal figura es la siguiente:<br />

CLK0 correspon<strong>de</strong> al oscilador <strong>de</strong> 400kHz, mientras que<br />

CLK_A y CLK_B a los requeridos <strong>de</strong>ntro <strong>de</strong>l sistema (<strong>de</strong><br />

100kHz y 80kHz respectivamente). Los valores iniciales <strong>de</strong>l<br />

sistema son:<br />

- Contador <strong>de</strong> slot (Cnt): 0.<br />

- Estado inicial (Estado): Ready (#0, en la figura).<br />

- Slot seleccionado (forzado para la prueba): 1.<br />

La señal <strong>de</strong> “Válido” indica con un pulso cuando se<br />

terminó <strong>de</strong> recibir un comando válido, y la señal <strong>de</strong> “Error”<br />

indica también con un pulso cuando se recibe un comando<br />

no soportado.<br />

Para comenzar, se recibe un comando válido<br />

Init_Rount_All, por lo que se pasa al estado <strong>de</strong><br />

Round_Active (#1), posteriormente un Next_Slot, por lo que<br />

el contador <strong>de</strong> slot (Cnt) va a 1, que coinci<strong>de</strong> con el <strong>de</strong>l tag,<br />

Fig. 8: Layout <strong>de</strong>l sistema completo (Sistema Digital y Oscilador).<br />

De acuerdo al análisis realizado en “Synopsys Design<br />

Compiler” y el layout previo, la caracterización <strong>de</strong> potencia,<br />

área y frecuencia máxima <strong>de</strong> trabajo como en la tabla 4.<br />

(T. CMOS <strong>de</strong> 0.5μm)<br />

Sistema Digital<br />

Oscilador<br />

Potencia 5.4μW 3.3μW<br />

Área 1.116mm2 0.004mm2<br />

Máx. Frec. 60MHz 400kHz<br />

Tabla 4: Caracterización <strong>de</strong>l sistema.<br />

VII.CONCLUSIONES<br />

El objetivo general <strong>de</strong>l proyecto, <strong>de</strong> implementar un diseño<br />

funcional <strong>de</strong> acuerdo al estándar, y consumiendo una<br />

potencia menor a 12μW fue alcanzado. Este circuito pue<strong>de</strong><br />

ser unido con el correspondiente al front-end, y la antena<br />

para formar la etiqueta RFID completa.<br />

La otra parte importante <strong>de</strong> este trabajo, es la<br />

ejemplificación <strong>de</strong>l flujo <strong>de</strong> diseño <strong>de</strong> un circuito integrado,<br />

en este caso <strong>de</strong> señal mezclada. Des<strong>de</strong> el planteamiento<br />

teórico y el establecer requerimientos, para entonces realizar<br />

el diseño jerárquico <strong>de</strong> los bloques. Posteriormente, para la<br />

parte digital el diseño RTL y su traslación al software <strong>de</strong>


síntesis y generación <strong>de</strong> layout; para la parte analógica el<br />

diseño “custom”, y finalmente la integración <strong>de</strong> ambos en un<br />

solo layout. Ambos con sus respectivos análisis para su<br />

caracterización. Este documento fue creado con base en la<br />

tesis <strong>de</strong> maestría [4].<br />

REFERENCIAS<br />

[1] Dobkin-Daniel, “The RF in RFID : passive UHF RFID in<br />

practice”; Ed. Newnes, 2008; pp. 1-4, 22-23.<br />

[2] Estándar: ISO/IEC FDIS 18000-6:2003(E): Information<br />

technology automatic i<strong>de</strong>ntification and data capture<br />

techniques — Radio frequency i<strong>de</strong>ntification for item<br />

management air interface — Part 6: Parameters for air<br />

interface communications at 860-960 MHz; pp. i-ix, 1-81,<br />

130-135.<br />

[3] Jacob Baker, “CMOS Circuit Design, Layout, and<br />

Simulation”, 2dn ed., Ed. Wiley-Interscience, 2005, pp. 561-<br />

565.<br />

[4] <strong>Omar</strong> R. Ávila López, R. Parra–Michel, & A. F. Sandoval–<br />

Ibarra. & V. Kontorovitch “Diseño e Implementación <strong>de</strong> la<br />

Sección Digital <strong>de</strong> un Tag <strong>de</strong> RFID en la Banda <strong>de</strong><br />

900MHz utilizando Tecnología CMOS <strong>de</strong> 0.5 μm”,<br />

Febrero 2009, Guadalajara, México.

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!