30.06.2015 Views

j_ug1046-ultrafast-design-methodology-guide

j_ug1046-ultrafast-design-methodology-guide

j_ug1046-ultrafast-design-methodology-guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

第 2 章 : システム レベルの 考 慮 事 項<br />

クロック ゲーティングおよびロジッ ク ゲーテ ィ ン グ 信 号 を 作 成 し て、 不 要 な ス イ ッ チ イ ング ア ク テ ィ ビ テ ィ を 除 去<br />

します。<br />

消 費 電 力 最 適 化 は、 専 用 ブ ロ ッ ク RAM に も 適 用 さ れます。 節 約 さ れる 消 費 電 力 のほ と んどは、 データ が 書 き 込 まれ<br />

ていないと きおよび 出 力 が 使 用 されていないと きに、 専 用 ブロ ッ ク RAM をデ ィ スエーブルにする こ と に よ り 達 成 さ<br />

れます。<br />

消 費 電 力 最 適 化 オプシ ョ ンの 使 用 方 法 およびデザ イ ンの 消 費 電 力 情 報 の 取 得 方 法 の 詳 細 は、『Vivado Design Suite ユー<br />

ザー ガイド : 消 費 電 力 の 解 析 と 最 適 化 』 (UG907) [ 参 照 10] を 参 照 して く ださい。<br />

PL 消 費 電 力 バジ ェ ッ ト の 超 過 の 修 正<br />

デザイ ン サイ クルの 最 後 の 方 では、 システムを 市 場 に リ リ ースする 圧 力 が 大 き く 、 ボード 環 境 および 冷 却 ソ リ ュー<br />

ションなどのほとんどのシステム パラ メ ーターが 詳 細 に 定 義 されています。そのためエンジニア リ ング 作 業 のや り 直<br />

しは 制 限 されますが、 PL で さ ら に 消 費 電 力 の 削 減 が 可 能 な 場 合 があ り ます。 次 のプ ロ セス を 使 用 する と 、 PL の 消 費<br />

電 力 を 削 減 で き る 可 能 性 が 高 い 部 分 に 集 中 で き ます。<br />

1. 消 費 電 力 バジ ェ ッ ト を 超 えてい る 箇 所 の 特 定<br />

Vivado GUI を 使 用 している 場 合 は Vivado 消 費 電 力 解 析 レポー ト の [Summary] ページ、 コ マン ド ラ イ ン を 使 用 し<br />

てい る 場 合 は 消 費 電 力 レ ポー ト ファイルの Summary セクションを 確 認 します。 [On-Chip Power] および [Power<br />

Supply] セ ク シ ョ ンで、 消 費 電 力 分 配 の 概 要 を 確 認 で き ます。[Summary] ページでバジ ェ ッ ト を 超 えてい る 消 費 電<br />

力 の 種 類 および 電 力 量 を 確 認 し ます。<br />

2. 集 中 する エ リ アの 特 定<br />

Vivado 消 費 電 力 解 析 レ ポー ト ま たは Xilinx Power Estimator で 詳 細 を 確 認 し ます。 環 境 パ ラ メ ーター と 、 各 リ ソー<br />

ス、 デザ イ ン 階 層 、 ク ロ ッ ク ド メ イ ンで 消 費 さ れる 電 力 を 解 析 し ます。 消 費 電 力 が 高 いエ リ ア を 見 つけた ら、 示<br />

さ れてい る 情 報 を 使 用 し てその 原 因 を 特 定 し ます。<br />

3. 試 行<br />

消 費 電 力 最 適 化 を 実 行 するデザ イ ン 箇 所 の リ ス ト を 作 成 し た ら、 簡 単 な も のか ら 困 難 な も のの 順 に 並 び 替 えて、<br />

実 行 する 最 適 化 ま たは 試 みを 決 定 し ます。 消 費 電 力 解 析 機 能 では What-If 解 析 が 可 能 であ り 、 コー ド や 制 約 を 変<br />

更 せず、 イ ンプ リ メ ンテーシ ョ ン を 再 実 行 せずに、 デザ イ ンにすばや く 変 更 を 加 えて 消 費 電 力 予 測 を 実 行 で き ま<br />

す。<br />

消 費 電 力 最 適 化 の 手 法 は こ のガ イ ド に も リ ス ト さ れていますが、 詳 細 は 『Vivado Design Suite ユーザー ガイド :<br />

消 費 電 力 の 解 析 と 最 適 化 』 (UG907) [ 参 照 10] を 参 照 して く ださい。<br />

Vivado 消 費 電 力 最 適 化 機 能 での 試 行<br />

Vivado ツールで 消 費 電 力 最 適 化 を 実 行 し た と き に 消 費 電 力 が 最 大 限 に 削 減 さ れる よ う にする には、 消 費 電 力 最 適 化 を<br />

デザ イ ン 全 体 に 実 行 し、 デザ イ ンの 一 部 を 除 外 し ない よ う に し ます。 消 費 電 力 最 適 化 を 有 効 に し て も 消 費 電 力 を 削 減<br />

できない 場 合 は、 次 の 3 つのエ リ ア を 詳 細 に 調 べます。<br />

• グローバル セッ ト/ リセット 信 号<br />

• ブロック RAM イネーブルの 生 成<br />

• レジスタのクロック ゲーテ ィ ング<br />

こ れ ら の 部 分 で 消 費 電 力 最 適 化 に よ り 生 成 さ れた イ ネーブルの 数 が 少 ない 場 合 、 コーデ ィ ン グ 手 法 およびデザ イ ンに<br />

設 定 さ れてい る プ ロパテ ィ を 見 直 す 必 要 があ る 可 能 性 があ り ます。<br />

Vivado 消 費 電 力 解 析 機 能 での 試 行<br />

Vivado の [Report Power] ダイアログ ボ ッ ク スで 設 定 を 変 更 し て 解 析 を 実 行 し、 消 費 電 力 への 影 響 を 確 認 で き ます。 最<br />

適 な 結 果 を 取 得 するために Vivado 消 費 電 力 解 析 の さ ま ざ ま なオプシ ョ ン を 使 用 する 方 法 の 詳 細 は、 『Vivado Design<br />

Suite ユーザー ガイド : 消 費 電 力 の 解 析 と 最 適 化 』 (UG907) [ 参 照 10] を 参 照 して く ださい。<br />

UltraFast エンベデッ ド デザイ ン 設 計 手 法 ガ イ ド japan.xilinx.com 28<br />

UG1046 (v2.1) 2015 年 4 月 22 日

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!