02.04.2013 Views

Projektni zadaci 16-01-2010.pdf - Elektrotehnički fakultet Osijek

Projektni zadaci 16-01-2010.pdf - Elektrotehnički fakultet Osijek

Projektni zadaci 16-01-2010.pdf - Elektrotehnički fakultet Osijek

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

<strong>Elektrotehnički</strong> <strong>fakultet</strong> Sveučilišta u <strong>Osijek</strong>u Dizajn računalnih sustava: 5.0 ECTS<br />

Upute za izradu projektnih zadataka<br />

<strong>Projektni</strong> zadatak (PZ) trebate izraditi i skupiti odgovarajudi broj bodova prema pravilniku o<br />

polaganju ovog kolegija. <strong>Projektni</strong> zadatak je potrebno prezentirati na prezentaciji projekata. Na<br />

prezentaciji projekata sudjeluju svi. Izlaganje traje 5 minuta po projektnom zadatku. Slajdovi smiju<br />

imati najviše 7 stranica. <strong>Projektni</strong> zadatak dete dokumentirati u formatu koji je definiran u uputama<br />

za izradu završnog rada. Dokumentaciju projekta dete donijeti na uvid Prof. dr. sc. Željku Hocenskom<br />

na upisu ocijene ili na usmenom dijelu ispita. Dokumentacija projektnog zadatka bi trebala sadržavati<br />

slijedede.<br />

o Slikovit opis projekta.<br />

o Blokovsku shemu projekta (algoritam).<br />

o Analognu shemu projekta izrađenu u TTL logici (ili nekoj drugoj tehnologiji, osim FPGA).<br />

Postoji i u Xilinx-u pogled na Register Transfer Level (RTL) shemu, kao i Technology schematic<br />

koji daju uvid u cijeli dizajn na razini digitalnih sklopova u obliku osnovnih logičkih shema.<br />

Trebate prikazati glavne dijelove sheme, jer je uobičajeno nepregledno prikazati sve.<br />

o Vremenski dijagram dobiven tijekom simulacije projekta.<br />

o Tablicu sa procijenjenom potrošnjom (mW), vremena propagacije (nS), te zauzetosti resursa<br />

dizajna (LUT). To se dobije u Xilinx Design Sumarry prozoru kada se otvori novi projekt.<br />

o VHDL kod projekta.<br />

Blokovska shema projekta slikovito predstavlja algoritam projekta. U blokovskoj shemi se<br />

crtaju funkcionalni blokovi dizajna koji zajedno obavljaju određenu funkciju. Za logičke funkcije<br />

pojedinog bloka iz blokovske sheme treba izraditi analognu shemu u TTL logici. Sve dijelove projekta<br />

je potrebno predstaviti pomodu TTL logičkih sklopova serije 7400. Tijekom simulacije potrebno je<br />

promatrati naponsko-vremenske dijagrame signala u simulatoru Xilinx ISE Behavioral Simulation.<br />

potrebno je opisati nekoliko značajnijih dijagrama signala na kojima se vidi da sklop radi kako treba.<br />

Nakon izrađenog VHDL koda mogude je dobiti informaciju o potrošnji, vremenima propagacije i<br />

zauzetosti resursa za određeni dizajn. Ove informacije je mogude dobiti pomodu Xilinx ISE Design<br />

Summary dokumenta. Pored svega potrebno je dodati i VHDL kod projekta u dokumentaciju projekta.<br />

2009./2<strong>01</strong>0.ak.g.


<strong>Elektrotehnički</strong> <strong>fakultet</strong> Sveučilišta u <strong>Osijek</strong>u Dizajn računalnih sustava: 5.0 ECTS<br />

<strong>Projektni</strong> <strong>zadaci</strong> (PZ)<br />

<strong>Projektni</strong> <strong>zadaci</strong> za 2 studenta, odnosno studentice.<br />

1. Ripple carry adder, carry look-ahead adder. Potrebno je napraviti VHDL datoteke ovih<br />

metoda za zbrajanje. Potrebno je implementirati 8-bitno zbrajanje brojeva učitanih sa<br />

sklopki. Rezultat zbrajanja je potrebno prikazati na 7-segmentnom pokazniku. Osim rezultata<br />

od velike je važnosti brzina rada sklopa ili najveda frekvencija, postotak resursa koje zauzima<br />

samo sklop za zbrajanje, bez ostalih dijelova projekta, te procijenjena potrošnja energije.<br />

2. Ripple-block carry look-ahead adder, block carry look-ahead adder. Potrebno je napraviti<br />

VHDL datoteke ovih metoda za zbrajanje. Potrebno je implementirati 8-bitno zbrajanje<br />

brojeva učitanih sa sklopki. Rezultat zbrajanja je potrebno prikazati na 7-segmentnom<br />

pokazniku. Osim rezultata od velike je važnosti brzina rada sklopa ili najveda frekvencija,<br />

postotak resursa koje zauzima samo sklop za zbrajanje, bez ostalih dijelova projekta, te<br />

procijenjena potrošnja energije.<br />

3. Ladner-Fischer adder, Kogge-Stone adder. Potrebno je napraviti VHDL datoteke ovih metoda<br />

za zbrajanje. Potrebno je implementirati 8-bitno zbrajanje brojeva učitanih sa sklopki.<br />

Rezultat zbrajanja je potrebno prikazati na 7-segmentnom pokazniku. Osim rezultata od<br />

velike je važnosti brzina rada sklopa ili najveda frekvencija, postotak resursa koje zauzima<br />

samo sklop za zbrajanje, bez ostalih dijelova projekta, te procijenjena potrošnja energije.<br />

4. Brent-Kung adder, Han-Carlson adder. Potrebno je napraviti VHDL datoteke ovih metoda za<br />

zbrajanje. Potrebno je implementirati 8-bitno zbrajanje brojeva učitanih sa sklopki. Rezultat<br />

zbrajanja je potrebno prikazati na 7-segmentnom pokazniku. Osim rezultata od velike je<br />

važnosti brzina rada sklopa ili najveda frekvencija, postotak resursa koje zauzima samo sklop<br />

za zbrajanje, bez ostalih dijelova projekta, te procijenjena potrošnja energije.<br />

5. Conditional sum adder, carry select adder, carry-skip adder. Potrebno je napraviti VHDL<br />

datoteke ovih metoda za zbrajanje. Potrebno je implementirati 8-bitno zbrajanje brojeva<br />

učitanih sa sklopki. Rezultat zbrajanja je potrebno prikazati na 7-segmentnom pokazniku.<br />

Osim rezultata od velike je važnosti brzina rada sklopa ili najveda frekvencija, postotak<br />

resursa koje zauzima samo sklop za zbrajanje, bez ostalih dijelova projekta, te procijenjena<br />

potrošnja energije.<br />

6. Algoritam za cjelobrojno 8-bitno množenje. Potrebno je napraviti VHDL datoteku određene<br />

metode za množenje. Potrebno je implementirati 8-bitno množenje brojeva učitanih sa<br />

sklopki. Rezultat množenja je potrebno prikazati na 7-segmentnom pokazniku. Osim rezultata<br />

od velike je važnosti brzina rada sklopa ili najveda frekvencija, postotak resursa koje zauzima<br />

samo sklop za zbrajanje, bez ostalih dijelova projekta, te procijenjena potrošnja energije.<br />

7. Algoritam za cjelobrojno 8-bitno dijeljenje. Potrebno je napraviti VHDL datoteku ovu metode<br />

za dijeljenje. Potrebno je implementirati 8-bitno dijeljenje brojeva učitanih sa sklopki.<br />

Rezultat dijeljenja je potrebno prikazati na 7-segmentnom pokazniku. Osim rezultata od<br />

velike je važnosti brzina rada sklopa ili najveda frekvencija, postotak resursa koje zauzima<br />

samo sklop za zbrajanje, bez ostalih dijelova projekta, te procijenjena potrošnja energije.<br />

8. Izrada digitalnog dekodera za 4x4 polja tipkala. Potrebno je učitati broj sa polja tipkala na<br />

DIO5 pločici Spartan razvojne makete. Učitani broj iz skupa {0,1,2,3,4,5,6,7,8,9,A,b,c,d,E,F}<br />

treba prikazati na sedam segmentnom pokazniku Spartan razvojne makete.<br />

2009./2<strong>01</strong>0.ak.g.


<strong>Elektrotehnički</strong> <strong>fakultet</strong> Sveučilišta u <strong>Osijek</strong>u Dizajn računalnih sustava: 5.0 ECTS<br />

9. Paralelna 8-bitna A/D pretvorba. Na ulaz A/D pretvornika treba spojiti mikrofon sa<br />

pojačalom. Napon na mikrofonu treba pretvoriti u digitalni signal primjenom A/D pretvornika<br />

i proslijediti u FPGA. Dobiveni digitalni broj treba prikazati na 7-segmentnom pokazniku, te<br />

na LED diodama u obliku pokaznika intenziteta zvuka (engl. equillizer). [LINK]<br />

10. Paralelna 8-bitna D/A pretvorba. S pomodu 8 sklopki na razvojnoj maketi FPGA čipa i D/A<br />

pretvornika, potrebno je regulirati osvijetljenost LED diode na izlazu iz D/A pretvornika na<br />

prototipnoj pločici koja se spaja na Spartan maketu. Izradite FSM u kojem se mijenjaju stanja<br />

sa različitim intenzitetom osvijetljenosti LED dioda. [LINK]<br />

11. Pulsno-širinska modulacija (engl. pulse width modulation (PWM)). Ovaj projektni zadatak<br />

uključuje izradu pulsno-širinskog modulatora za upravljanje brzine vrtnje istosmjernog<br />

motora ventilatora. Treba implementirati dva brojača koji broje koliko je vremena signal u<br />

jedinici, odnosno u nuli. Time se mijenja duty cycle signala koji se prosljeđuje motoru. Što je<br />

duty cycle bliži 100% motor se brže vrti, a smanjivanjem duty cycle-a motor smanjuje brzinu.<br />

Brzinomjer treba regulirati sa 8 prekidača.<br />

12. Precizni izvor proizvoljne frekvencije signala takta. Pomodu sklopki treba odabrati željenu<br />

frekvenciju signala takta na nekom od izlaznih pinova. Postavljena frekvencija se treba<br />

prikazati na 7-segmentnom pokazniku. Dobivenu frekvenciju signala je potrebno izmjeriti<br />

osciloskopom.<br />

13. Sklop za mjerenje frekvencije ulaznog signala takta. Pomodu funkcijskog generatora potrebno<br />

je dovesti na ulaz FPGA čipa signal takta određene frekvencije. Potrebno je podesiti FPGA čip<br />

tako da se na 7 segmentnom pokazniku prikazuje frekvencija ulaznog signala.<br />

14. Sklop za tjeranje ptica. Potrebno je podesiti FPGA maketu tako da se na izlaznom pinu<br />

pojavljuje signal takta vremenski promjenjive frekvencije. Taj pin se spaja na zvučnik.<br />

Potrebno je napraviti mašinu sa konačnim brojem stanja u kojoj se izmjenjuje frekvencija<br />

zvuka u četiri režima rada: sklop je bez zvuka 5 sekundi (1), zvuk na 5kHz traje 1 sekundu (2),<br />

zvuk na 15kHz traje 1 sekundu (3), zvuk na 25kHz traje 1 sekundu (4). Između stanja je pauza<br />

od 200 *ms+. Područje zvuka koje ljudsko uho čuje je od 10Hz do 20kHz. Frekvencije zvuka<br />

iznad 20kHz spadaju u područje ultrazvuka. Slušno područje ptica je i u području ultrazvuka.<br />

15. Svjetlo za bicikl. Pomodu prototipne pločice koja se spaja na Spartan maketu izradite svjetlo<br />

za bicikl sa 4 LED diode, 4 otpornika otpornosti 330 Ω, te jednim tipkalom sa makete. Na 4<br />

izlazna pina potrebno je postaviti četiri otpornika sa kojih signal ide na diode. Diode trebaju<br />

raditi u 4 režima rada: isključene (1), svijetle stalno (2), svijetle 50% vremena (3), svijetle 25%<br />

vremena (4). Pritiskom na jedno tipkalo mijenja se režim rada dioda.<br />

<strong>16</strong>. Dekoder 3 na 8 u MATLAB -Simulink sučelju za FPGA. Za paljenje i gašenje 8 LED dioda s<br />

pomodu 3 sklopke potrebno je izraditi dekoder 3 na 8. Ovaj projektni zadatak obuhvada<br />

glavnim dijelom ispitivanje MATLAB sučelja za VHDL kod. Dizajn je zbog toga jednostavniji.<br />

17. Određivanje smjera izvora zvuka u MATLAB-Simulink sučelju za FPGA. Izvore zvuka simulirajte<br />

skokovitim (engl. step) funkcijama. VHDL kod koji predstavlja 8-kanalni detektor zvuka dete<br />

dobiti gotov. Samo trebate simulirati njegov rad u Simulinku. Ovaj projektni zadatak<br />

obuhvada glavnim dijelom ispitivanje MATLAB sučelja za VHDL kod.<br />

18. Prikaz stanja prekidača na VGA monitoru. Podesite VGA prikaz na monitoru tako da se<br />

područje prikaza podijeli u 9 pravokutnih sektora. Sektor u sredini treba svijetliti ako je sklop<br />

upaljen, u suprotnom taj sektor je ugašen, kao i svi ostali sektori. Paljenje, odnosno gašenje<br />

sklopa regulira se tipkalom (engl. button). Dok je tipkalo pritisnuto sklop je upaljen, a kada je<br />

2009./2<strong>01</strong>0.ak.g.


<strong>Elektrotehnički</strong> <strong>fakultet</strong> Sveučilišta u <strong>Osijek</strong>u Dizajn računalnih sustava: 5.0 ECTS<br />

tipkalo otpušteno sklop je ugašen. Preostalih osam sektora se pale ovisno dali je postavljen<br />

neki od 8 prekidača (engl. switch) na FPGA maketi.<br />

19. Prikaz 2D slike pomodu 1D niza LED dioda na mehaničkom njihalu. Pomodu elektromotora i<br />

mehaničkog njihala potrebno je prikazati sliku pohranjenu u memoriji čipa. Brzinu motora<br />

potrebno je regulirati pomodu jednostavne sheme na temelju NE555 sklopa. Pod<br />

pretpostavkom da se njihalo klati konstantnom brzinom, potrebno je uspostaviti<br />

odgovarajudu brzinu vrtnje motora. Sliku koja je pohranjena u memoriji potrebno je<br />

prikazivati na 8 LED dioda konstantnom frekvencijom. Shema i dizajn ovog zadatka su prilično<br />

jednostavni.<br />

20. Sigma-delta modulirani izvor sinusnog signala. Potrebno je izraditi 512x1 look-up tablicu sa<br />

zapisanim vrijednostima sigma-delta moduliranog sinusnog signala. Te vrijednosti možete<br />

dobiti pomodu priloženog C++ koda. Na ulaz tablice potrebno je dovesti brojač signala takta.<br />

Na izlaz je potrebno dovesti dvije nožice, međusobno invertirane, na tranzistorski par koji<br />

pune i prazne kondenzator. Napon na kondenzatoru je potrebno prikazati na osciloskopu. Taj<br />

napon je potrebno pojačati i spojiti na zvučnik.<br />

21. Slanje SMS poruka pomodu FPGA. Pomodu RAM memorije u koju se upisuju ASCII znakovi i<br />

broj telefona, potrebno je poslati PDU format poruke na mobitel. Projekt je potrebno<br />

napraviti u VHDL-u.<br />

22. Posmačni prikaz znakova na četiri 7 segmentna pokaznika. Znakove je potrebno čitati iz<br />

128x8 RAM memorije. Potrebno je definirati jednu konstantu koja definira broj znakova za<br />

prikazivanje, a najviše 128. U memoriju spremite 128 znamenki broja π=3.14159... U jednom<br />

trenutku je na pokaznicima prikazano 4 znaka. U slijededem trenutku je potrebno<br />

pomicanjem u lijevo prikazati prethodna tri znaka, a na četvrti pokaznik ubaciti slijededi znak<br />

iz RAM memorije. To treba ponavljati sve dok ima znakova u memoriji.<br />

23. Kalkulator izrađen pomodu PicoBlaze mikroprocesora. Potrebno je spojiti mikroprocesor<br />

PicoBlaze kao što je to napravljeno na LV4. Potrebno je isprogramirati mikroprocesor tako da<br />

se za učitana dva broja odabere jedna od operacija {+, -, *, /}, koja se potom izvrši i rezultat<br />

se prikaže na 7-segmentnim pokaznicima.<br />

24. Sat izrađen pomodu PicoBlaze mikroprocesora. Potrebno je spojiti mikroprocesor PicoBlaze<br />

kao što je to napravljeno na LV4. Podesite djelitelj frekvencije tako da se vrijeme na<br />

pokaznicima mijenja frekvencijom 1Hz (otprilike). Potrebno je isprogramirati mikroprocesor<br />

tako da se na 7-segmentnim pokaznicima prikazuje trenutno vrijeme. Potrebno je<br />

implementirati dva tipkala s kojima se vrijednosti minuta, odnosno sekundi, mogu povedavati<br />

za 1. Sate je potrebno ispisati na LED-icama.<br />

25. Sat izrađen u VHDL-u. Potrebno je izraditi brojač sekundi, minuta i sati, te na 7-segmentnim<br />

pokaznicima prikazati minute i sekunde, a sate na LED-icama. Potrebno je implementirati dva<br />

tipkala s kojima se vrijednosti minuta, odnosno sekundi, mogu povedavati za 1.<br />

2009./2<strong>01</strong>0.ak.g.

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!