05.08.2013 Views

Houman Homayoun - Department of Electrical and Computer ...

Houman Homayoun - Department of Electrical and Computer ...

Houman Homayoun - Department of Electrical and Computer ...

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

TEACHING EXPERIENCE<br />

§ Group Leader Teaching Assistant, leadership, management, <strong>and</strong> manufacturing<br />

engineering, University <strong>of</strong> California, Irvine, The Paul Merage School <strong>of</strong> Business<br />

§ Teaching Assistant, Fundamental Data Structures<br />

University <strong>of</strong> California Irvine, <strong>Computer</strong> Science <strong>Department</strong>.<br />

§ Teaching Assistant, Logic Design Lab<br />

University <strong>of</strong> California Irvine, <strong>Computer</strong> Science <strong>Department</strong>.<br />

§ Teaching Assistant, Senior Design Project<br />

University <strong>of</strong> California Irvine, <strong>Computer</strong> Science <strong>Department</strong>.<br />

§ Teaching Assistant, Introduction to <strong>Computer</strong> Design<br />

University <strong>of</strong> California Irvine, <strong>Computer</strong> Science <strong>Department</strong>.<br />

§ Laboratory <strong>and</strong> Tutorial Instructor, Advanced Internet Communications<br />

McMaster University, <strong>Electrical</strong> <strong>and</strong> <strong>Computer</strong> Engineering <strong>Department</strong>.<br />

§ Laboratory instructor, General Physics<br />

University <strong>of</strong> Victoria, Physics <strong>Department</strong>.<br />

§ Laboratory <strong>and</strong> Tutorial instructor, Linear Circuit I<br />

University <strong>of</strong> Victoria, <strong>Electrical</strong> <strong>and</strong> <strong>Computer</strong> Engineering <strong>Department</strong>.<br />

§ Laboratory instructor, Electronic Circuit I<br />

University <strong>of</strong> Victoria, <strong>Electrical</strong> <strong>and</strong> <strong>Computer</strong> Engineering <strong>Department</strong>.<br />

§ Laboratory instructor, Microprocessor Systems<br />

University <strong>of</strong> Victoria, <strong>Electrical</strong> <strong>and</strong> <strong>Computer</strong> Engineering <strong>Department</strong>.<br />

§ Tutorial instructor, Digital Circuit Design<br />

Sharif University <strong>of</strong> Technology, <strong>Electrical</strong> <strong>and</strong> <strong>Computer</strong> Engineering <strong>Department</strong>.<br />

PRESENTATIONS/INVITED TALKS/TUTORIALS<br />

ü System-Level Exploration <strong>of</strong> Power, Performance, <strong>and</strong> Area for Multicore Architectures<br />

(Tutorial)<br />

ü “Multiple Sleep Modes Leakage Control in Peripheral Circuits <strong>of</strong> All Major SRAM-Based<br />

Processor Units”<br />

ü “FFT-Cache:A Flexible Fault-Tolerant Cache Architecture for Low Voltage Operation”<br />

(Invited Talk)<br />

ü “Beyond Memory Cells for Leakage <strong>and</strong> Temperature Control in SRAM-based Units, the<br />

Peripheral Circuits Story”<br />

ü “Power Management in High Performance Processors through Dynamic Resource<br />

Adaptation <strong>and</strong> Multiple Sleep Mode Assignments” (Invited Talk)<br />

ü “Temperature-Aware SoC Optimization Framework”<br />

Semiconductor Research Corporation (SRC) ICSS Systems Contract Review.<br />

ü “Architectural <strong>and</strong> Circuit-Levels Design Techniques for Power <strong>and</strong> Temperature<br />

Optimizations in On-Chip SRAM Memories”<br />

ü “Power, Temperature, Reliability <strong>and</strong> Performance - Aware Optimizations in On-Chip<br />

SRAM-based Caches” (Invited Talk)<br />

ü “RELOCATE: Register File Local Access Pattern Redistribution Mechanism for Power <strong>and</strong><br />

Thermal Management in Out-<strong>of</strong>-Order Embedded Processor”.<br />

5th International Conference <strong>of</strong> High Performance Embedded Architectures <strong>and</strong> Compilers.<br />

ü “Dynamic Register File Resizing <strong>and</strong> Frequency Scaling to Improve Embedded Processor<br />

Performance <strong>and</strong> Energy-Delay Efficiency”.<br />

ACM/IEEE 45TH Design Automation Conference.<br />

<strong>Houman</strong> <strong>Homayoun</strong><br />

Page 6 <strong>of</strong> 9<br />

August-September 2010<br />

June-August 2010<br />

January-March 2010<br />

September-December 2009<br />

September-December 2007<br />

January 2006-March 2006<br />

September 2004-December 2004<br />

May 2004-August 2004<br />

January 2004-April 2004<br />

September 2003-December 2003<br />

March 2002-July 2002<br />

June-2012<br />

DAC Conference<br />

August-2011<br />

Florida International<br />

University<br />

November 2011<br />

9 th SOC Conference<br />

November-2010<br />

Arizona State University<br />

November 2010<br />

8 th SOC Conference<br />

SRC-2010, Pittsburgh,<br />

U.S.A.<br />

April-2010, University <strong>of</strong><br />

Southern California<br />

May-2010,<br />

UCSD<br />

HiPEAC-2010,<br />

Pisa, Italy.<br />

DAC-2008, Anaheim,<br />

U.S.A.

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!