05.08.2013 Views

Houman Homayoun - Department of Electrical and Computer ...

Houman Homayoun - Department of Electrical and Computer ...

Houman Homayoun - Department of Electrical and Computer ...

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

ü “Multiple Sleep Mode Leakage Control for Cache Peripheral Circuits in Embedded<br />

<strong>Houman</strong> <strong>Homayoun</strong><br />

Page 7 <strong>of</strong> 9<br />

CASES-2008, Atlanta,<br />

Processors”.<br />

In Proceedings <strong>of</strong> the 2008 International Conference on Compilers, Architecture, <strong>and</strong><br />

Synthesis for Embedded Systems.<br />

U.S.A.<br />

ü “Adaptive Techniques for Leakage Power Management in L2 Cache Peripheral Circuits”. ICCD-2008, Lake<br />

In Proceedings <strong>of</strong> XXVI IEEE International Conference on <strong>Computer</strong> Design.<br />

Tahoe,U.S.A.<br />

ü “Improving Performance <strong>and</strong> Reducing Energy-Delay with Adaptive Resource Resizing for<br />

Out-Of Order Embedded Processors”.<br />

ACM SIGPLAN/SIGBED 2008 Conference on Languages, Compilers, <strong>and</strong> Tools for<br />

Embedded Systems.<br />

ü “ZZ-HVS: Zig-Zag Horizontal <strong>and</strong> Vertical Sleep Transistor Sharing to Reduce Leakage<br />

Power in On Chip SRAM Peripheral Circuits”.<br />

In Proceedings <strong>of</strong> XXVI IEEE International Conference on <strong>Computer</strong> Design.<br />

ü “A Centralized Cache Miss Driven Technique to Improve Processor Power Dissipation”.<br />

International Symposium on Systems, Systems, Architectures, Modeling <strong>and</strong> Simulation.<br />

ü “Reducing Leakage Power in Peripheral Circuit <strong>of</strong> L2 Caches”.<br />

In Proceedings <strong>of</strong> XXV IEEE International Conference on <strong>Computer</strong> Design.<br />

ü “Lazy Instruction Prediction to Reduce Processor Wakeup Power Dissipation”.<br />

The 2nd workshop on unique chips <strong>and</strong> systems, in conjunction with IEEE International<br />

Symposium on Performance Analysis <strong>of</strong> Systems <strong>and</strong> S<strong>of</strong>tware.<br />

LCTES-2008, Tucson,<br />

AZ, U.S.A.<br />

ICCD-2008, Lake<br />

Tahoe,U.S.A.<br />

SAMOS-2008, Samos,<br />

Greece.<br />

ICCD-2007, Lake<br />

Tahoe,U.S.A.<br />

UCAS2-ISPASS-2006,<br />

Austin, U.S.A.<br />

CONFERENCE COMMITTEE MEMBER/REVIEWER<br />

§ Technical Program Committee <strong>of</strong> The Fourth International Green Computing Conference. IGCC-2013<br />

§ Technical Program Committee <strong>of</strong> The IEEE International Symposium on Quality Electronic Design. ISQED-2013<br />

§ Technical Program Committee <strong>of</strong> The International Symposium on Low Power Electronics Design. ISLPED-2012<br />

§ Technical Program Committee <strong>of</strong> The IEEE International Symposium on Quality Electronic Design. ISQED-2012<br />

§ Technical Program Committee <strong>of</strong> The 2011 ACM International Conference on Computing Frontiers. CF-2011<br />

§ Technical Program Committee <strong>of</strong> The IEEE International Symposium on Quality Electronic Design. ISQED-2011<br />

§ Technical Program Committee <strong>of</strong> The 9 th IEEE International Conference on <strong>Computer</strong> Systems AICCSA-2011<br />

<strong>and</strong> Applications<br />

§ Program Session Chair <strong>of</strong> The International Symposium on Low Power Electronics Design. ISLPED-2012<br />

§ Program Session Chair <strong>of</strong> The IEEE International Symposium on Quality Electronic Design. ISQED-2011<br />

§ Reviewer <strong>of</strong> International Symposium on High-Performance <strong>Computer</strong> Architecture. HPCA-2012<br />

§ Reviewer <strong>of</strong> Design, Automation & Test in Europe. DATE-2012<br />

§ Reviewer <strong>of</strong> The 19th International Conference on Parallel Architectures <strong>and</strong> Compilation Techniques. PACT-2010<br />

§ Reviewer <strong>of</strong> The First International Green Computing Conference. IGCC-2010<br />

§ Reviewer <strong>of</strong> The 23rd International Conference on Supercomputing. ICS-2009<br />

§ Reviewer <strong>of</strong> International Conference on Compilers, Architecture, <strong>and</strong> Synthesis for Embedded Systems. CASES-2009<br />

§ Reviewer <strong>of</strong> The 35th International Symposium on <strong>Computer</strong> Architecture. ISCA-2008<br />

§ Program Session Chair <strong>of</strong> The XXVI IEEE International Conference on <strong>Computer</strong> Design. ICCD-2008<br />

§ Reviewer <strong>of</strong> The XXVI IEEE International Conference on <strong>Computer</strong> Design. ICCD-2008<br />

§ Reviewer <strong>of</strong> The ACM International Conference on Computing Frontiers. CF-2008<br />

§ Reviewer <strong>of</strong> International Symposium on <strong>Computer</strong> Architecture <strong>and</strong> High Performance Computing. SBAC-PAD-2007<br />

§ Reviewer <strong>of</strong> International Symposium on Low Power Electronics <strong>and</strong> Design. ISLPED-2009<br />

§ Reviewer <strong>of</strong> the ACM Transactions on Embedded Computing Systems. TECS

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!