05.01.2015 Views

Fabrication and Characterization of SiC Thin Films - IEEE Xplore

Fabrication and Characterization of SiC Thin Films - IEEE Xplore

Fabrication and Characterization of SiC Thin Films - IEEE Xplore

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Proceedings <strong>of</strong> the 2011 6th <strong>IEEE</strong> International<br />

Conference on Nano/Micro Engineered <strong>and</strong> Molecular Systems<br />

February 20-23, 2011, Kaohsiung, Taiwan<br />

<strong>Fabrication</strong> <strong>and</strong> <strong>Characterization</strong> <strong>of</strong> <strong>SiC</strong> <strong>Thin</strong> <strong>Films</strong><br />

Lei Liu, Wei Tang, Bai-xiang Zheng <strong>and</strong> Hai-xia Zhang*, Senior Member, <strong>IEEE</strong><br />

National Key Laboratory <strong>of</strong> Science <strong>and</strong> Technology on Micro/Nano <strong>Fabrication</strong>, Institute <strong>of</strong> Microelectronics,<br />

Peking University, Beijing, 100871, China<br />

*Contacting Author: Haixia Zhang, zhang-alice@pku.edu.cn<br />

Abstract Silicon carbide (<strong>SiC</strong>) is a material with excellent<br />

properties for micro systems applications. In this paper, three<br />

chemical vapor deposition methods, low pressure chemical vapor<br />

deposition (LPCVD), plasma enhance chemical vapor deposition<br />

(PECVD) at two different temperatures have been utilized to<br />

fabricate the silicon carbide thin films. The roughness, the crystal<br />

structure, the Young modulus, the hardness <strong>and</strong> the intrinsic<br />

stress <strong>of</strong> the silicon carbide films were studied in order to obtain<br />

the discriminating applications for MEMS fabrication.<br />

Keywords- LPCVD; PECVD; <strong>SiC</strong>; MEMS<br />

I. INTRODUCTION<br />

Silicon carbide has long been known for its outst<strong>and</strong>ing<br />

mechanical, electrical <strong>and</strong> chemical properties, making it a<br />

valuable material for MEMS sensors <strong>and</strong> actuators designed for<br />

harsh environments including locations <strong>of</strong> high temperature,<br />

intense vibrations, erosive surroundings [1, 2]. So far, it has<br />

been utilized to fabricate RF MEMS devices [3], implanted<br />

biomedical devices [4], pressure sensors [5], accelerometers [6]<br />

<strong>and</strong> resonators [7], etc.<br />

There have been developed several ways to grow silicon<br />

carbide including atmospheric pressure chemical vapor<br />

deposition (APCVD) [8], LPCVD [9], PECVD [5, 10] <strong>and</strong> so<br />

on. APCVD <strong>and</strong> LPCVD are usually used to deposit poly-<strong>SiC</strong><br />

at high temperature, above 800 [8, 9], whereas Sputtering<br />

<strong>and</strong> PECVD are employed to form amorphous <strong>SiC</strong> at low<br />

temperature, below 700 [11, 5, 10]. The former takes the<br />

advantages <strong>of</strong> material properties, however the high deposition<br />

temperature makes it not compatible with POST-CMOS<br />

process; the latter is attractive for its low deposition<br />

temperature. But films made by Sputtering always have the<br />

"hollow voids" to affect the material properties [12]. PECVD<br />

<strong>SiC</strong> films have been used as coating films [13], pressure<br />

sensors [5] <strong>and</strong> resonators [14], etc. However, this film has a<br />

compressive stress, due to the hydrogen inside, which might<br />

also affect the stability <strong>of</strong> devices.<br />

In this work, three growth methods <strong>of</strong> <strong>SiC</strong> including<br />

PECVD at 300, PECVD at 900 <strong>and</strong> LPCVD have been<br />

investigated. In session II, the growth process <strong>of</strong> <strong>SiC</strong> thin film<br />

preparation including the advance-phase preparation was<br />

introduced. In session III, the characteristics <strong>of</strong> <strong>SiC</strong> thin film<br />

was researched, <strong>and</strong> the growth conditions for the optional<br />

films, the thickness, roughness, hardness, Young modulus <strong>and</strong><br />

the intrinsic stress <strong>of</strong> the <strong>SiC</strong> films deposited were measured in<br />

order to obtain the applications for MEMS fabrications.<br />

II. SIC THIN FILM PREPARATION<br />

PECVD has been one important method for <strong>SiC</strong><br />

preparation because <strong>of</strong> its low formation temperature which<br />

makes it compatible with IC processes, but normally<br />

amorphous <strong>SiC</strong> was fabricated by PECVD process. To get the<br />

poly-<strong>SiC</strong> films, LPCVD was utilized. Both amorphous <strong>SiC</strong> <strong>and</strong><br />

poly-<strong>SiC</strong> can be used in MEMS devices <strong>and</strong> packaging. Two<br />

types <strong>of</strong> <strong>SiC</strong>, poly-<strong>SiC</strong> <strong>and</strong> amorphous were fabricated, Table 1<br />

shows the three methods which were utilized, PECVD at 300<br />

<strong>and</strong> 900, LPCVD at 1200. In the LPCVD process the RF<br />

power was not loaded, <strong>and</strong> the data <strong>of</strong> pressure in vacuum<br />

chamber was not recorded.<br />

TABLE I.<br />

THREE METHODS FOR GROWING SIC THIN FILM<br />

Method Temperature Pressure RF power Source gas<br />

PECVD 300 1Torr 300W SiH 4 ,CH 4<br />

PECVD 900 414mTorr 60W SiH 4 , CH 4<br />

LPCVD 1200 NULL NULL SiH 4 ,C 2 H 4<br />

A. PECVD at 300<br />

The STS PECVD equipment was utilized to deposit <strong>SiC</strong><br />

films. The Si (100) substrate was isolated with a layer <strong>of</strong><br />

thermally grown SiO2, with an approximate thickness <strong>of</strong><br />

0.3m. The deposition parameters were as follows: 300<br />

deposition temperature, 1000mTorr pressure, 300W RF power<br />

(high frequency for 10s <strong>and</strong> low frequency for 30s), 20sccm<br />

SiH 4 flow <strong>and</strong> 400sccm CH 4 flow. The thicknesses <strong>of</strong> <strong>SiC</strong><br />

films were decided by the deposition time <strong>and</strong> deposition rate.<br />

B. PECVD at 900<br />

The PECVD equipment was from SKY Technology<br />

Development Co. Ltd. Chinese Academy <strong>of</strong> Sciences.<br />

Similarly the substrates were Si (100) with the 0.3m<br />

thermally grown SiO 2 . The deposition temperature was 900 ,<br />

the RF power was 60W, the frequency was 13.56MHz, the<br />

pressure was 414mTorr, the gas sources included 20sccm SiH 4<br />

flow (to 5% diluted by He) <strong>and</strong> 40sccm CH 4 flow. The time <strong>of</strong><br />

growing 1um <strong>SiC</strong> film was about 45minutes.<br />

C. LPCVD at 1200<br />

The LPCVD equipment was developed by Semiconductor<br />

Institute <strong>of</strong> Chinese Academy <strong>of</strong> Sciences <strong>and</strong> employed for<br />

978-1-61284-777-1/11/$26.00 ©2011 <strong>IEEE</strong><br />

146


the growth <strong>of</strong> 3C-<strong>SiC</strong> on SiO 2 /Si (100) <strong>and</strong> Si(100) substrates.<br />

The temperature <strong>of</strong> growth was 1200 . SiH4 <strong>and</strong> C 2 H 4 were<br />

used as the reactive gases <strong>and</strong> H 2 as the carrier gas, NH 3 as the<br />

dopant gas. The flow rates <strong>of</strong> SiH 4 , C 2 H 4 <strong>and</strong> NH 3 were 3sccm,<br />

4.5sccm <strong>and</strong> 0.36sccm, respectively. In the vacuum chamber,<br />

the wafer was placed on a graphite table which rotated in a<br />

high speed throughout the growth process. The duration <strong>of</strong><br />

growing 1um <strong>SiC</strong> film was also about 45minutes.<br />

The surface morphology was characterized by SEM<br />

measurement, just as shown in Fig.1. Sample (a) has the<br />

smoothest surface in the three, without pits <strong>and</strong> cracks. Sample<br />

(c) shows the crystalline grains with r<strong>and</strong>omly-oriented<br />

growth <strong>and</strong> bigger size than sample (b). Sample (d) is the side<br />

view <strong>of</strong> <strong>SiC</strong> film which grows on the oxidation silicon<br />

substrate. The thicknesses <strong>of</strong> <strong>SiC</strong> <strong>and</strong> SiO 2 film are 606nm,<br />

272nm respectively.<br />

The surfaces <strong>of</strong> the three <strong>SiC</strong> films are shown in Fig.1. The<br />

thicknesses <strong>of</strong> <strong>SiC</strong> films were measured with K-MAC coating<br />

thickness tester. The roughness, hardness, <strong>and</strong> Young modulus<br />

were obtained by TriboIndenter, moreover <strong>SiC</strong> samples were<br />

characterized by means <strong>of</strong> X-ray diffraction (XRD), scanning<br />

electron microscopy (SEM), stress meter, <strong>and</strong> X-ray<br />

photoelectron spectroscopy (XPS).<br />

The film thickness was listed in Table 2. This was<br />

controlled by time. In comparison below, we chose three<br />

different growth samples which are near the 1um X-ray<br />

diffraction measurement was used to investigate the crystalline<br />

<strong>of</strong> <strong>SiC</strong> thin films grown on SiO 2 /Si (100). Fig.2 shows the<br />

XRD spectrum <strong>of</strong> <strong>SiC</strong> films grown by LPCVD at 1200 <strong>and</strong><br />

PECVD at 900 . In (a) the second high <strong>SiC</strong> (111) peak<br />

observed at 2=35.7° <strong>and</strong> the third at 2=60.16° indicate the<br />

partial oriented crystallization; in (b) no obvious peak shows<br />

no crystallization <strong>of</strong> <strong>SiC</strong>. This is also revealed from the<br />

crystalline grains in Fig.1. These films are either amorphous,<br />

as in the case <strong>of</strong> low temperature PECVD, or polycrystalline<br />

with a low degree <strong>of</strong> texture <strong>and</strong> a temperature dependence to<br />

the distribution <strong>of</strong> grain orientations [1].<br />

Figure 1. SEM images <strong>of</strong> the <strong>SiC</strong> film, (a)PECVD at 300; (b) PECVD at<br />

900 ; (c) LPCVD at 1200; (d) LPCVD at 1200.<br />

Intensity(a.u.)<br />

2000<br />

1800<br />

1600<br />

1400<br />

1200<br />

1000<br />

800<br />

600<br />

400<br />

200<br />

0<br />

-200<br />

<strong>SiC</strong>(111)<br />

35.70<br />

(a)<br />

<strong>SiC</strong>(220)<br />

60.16<br />

20 30 40 50 60 70<br />

2theta(deg.)<br />

III. CHARACTERISTICS OF SIC THIN FILM<br />

The different growth techniques <strong>of</strong> <strong>SiC</strong> decide the different<br />

characteristics <strong>and</strong> thus decide the discriminating functions.<br />

For studying the characteristics <strong>of</strong> <strong>SiC</strong> thin film, the thickness,<br />

the roughness, the hardness, the Young modulus <strong>and</strong> the<br />

intrinsic stress <strong>of</strong> the <strong>SiC</strong> films deposited were measured.<br />

Detailed data was listed in Table 2.<br />

TABLE II. THE CHARACTERISTICS OF 6 SIC SAMPLES INCLUDING THICKNESS,<br />

YOUNG MODULUS, HARDNESS AND STRESS.<br />

Method Temp.<br />

()<br />

Thickness<br />

(nm)<br />

Young modulus<br />

(GPa)<br />

Hardness<br />

(GPa)<br />

Stress<br />

(MPa)<br />

PECVD 300 986.2 126.83 15.44 -420.2<br />

PECVD 300 1013.5 135.17 17.19 -465.7<br />

PECVD 900 295.3 123.42 10.29 104.4<br />

PECVD 900 887.9 157.52 12.89 63.6<br />

LPCVD 1200 670.8 212.40 23.00 234.3<br />

LPCVD 1200 1025.3 205.66 18.21 263.8<br />

Intensity(a.u.)<br />

2000<br />

1800<br />

1600<br />

1400<br />

1200<br />

1000<br />

800<br />

600<br />

400<br />

200<br />

0<br />

-200<br />

(b)<br />

20 30 40 50 60 70<br />

2theta(deg.)<br />

Figure 2. X-ray diffraction spectrum <strong>of</strong> the <strong>SiC</strong> film, (a) doped <strong>SiC</strong> film<br />

grown by LPCVD at 1200 ; (b) undoped <strong>SiC</strong> film grown by PECVD at<br />

900 .<br />

147


In Fig.3 the images <strong>of</strong> three growth conditions are shown,<br />

which were measured by the TriboIndenter. The average<br />

roughness <strong>of</strong> the film deposited in the 300 PECVD is<br />

44.8nm smaller than that <strong>of</strong> the film deposited in the 900 <br />

PECVD which is 63.8nm. The maximal one is 82.4nm<br />

deposited by LPCVD. From (c) the crystal particles are<br />

obviously seen, which is in agreement with the X-ray<br />

diffraction <strong>and</strong> SEM result shown in Fig.1.<br />

In Fig.4, we find that the Young modulus <strong>of</strong> the films<br />

deposited by LPCVD is around 200GPa, <strong>and</strong> it rises as the<br />

growth temperature increases. By different growth conditions<br />

the three groups <strong>of</strong> hardness data are significantly different<br />

from Fig.5. The hardness <strong>of</strong> <strong>SiC</strong> deposited by PECVD at<br />

900 was the lowest <strong>and</strong> that by LPCVD was the highest.<br />

Because <strong>of</strong> the crystal structure, the <strong>SiC</strong> film deposited by<br />

LPCVD has the high mechanical strength.<br />

Fig.6 shows the stress <strong>of</strong> <strong>SiC</strong> deposited by PECVD at<br />

300PECVD at 900 <strong>and</strong> LPCVD. The residual stress<br />

<strong>of</strong> thin films can vary significantly under different deposition<br />

conditions. The tensile stress <strong>of</strong> <strong>SiC</strong> films deposited by<br />

LPCVD is 234.3MPa <strong>and</strong> 263.8MPa, higher than that <strong>of</strong> films<br />

deposited by PECVD at 900 . The 300 <strong>SiC</strong> film has a<br />

compression stress. The PECVD <strong>SiC</strong> film at 900 has the<br />

closest data to 0 which is expected in most cases. It is known<br />

that intrinsic stress <strong>of</strong> a film is affected by chemical<br />

composition variations <strong>and</strong> the structure modes.<br />

Young Modulus(GPa)<br />

200<br />

150<br />

100<br />

50<br />

0<br />

1 2 3<br />

Figure 4. The Young modulus <strong>of</strong>~1m thin <strong>SiC</strong> films, number 1, 2, 3<br />

represent <strong>SiC</strong> film deposited by PECVD at 300, <strong>SiC</strong> film deposited by<br />

PECVD at 900, <strong>SiC</strong> film deposited by LPCVD respectively.<br />

Hardness(GPa)<br />

18<br />

16<br />

14<br />

12<br />

10<br />

8<br />

6<br />

4<br />

2<br />

0<br />

1 2 3<br />

Figure 3. The TriboIndenter images(5×5um 2 ) <strong>of</strong>~1m thin <strong>SiC</strong> films,(a) <strong>SiC</strong><br />

film deposited by PECVD at 300(b) <strong>SiC</strong> film deposited by PECVD at<br />

900(c) <strong>SiC</strong> film deposited by LPCVD.<br />

Figure 5. The hardness <strong>of</strong>~1m thin <strong>SiC</strong> films, number 1, 2, 3 represent <strong>SiC</strong><br />

film deposited by PECVD at 300, <strong>SiC</strong> film deposited by PECVD at 900,<br />

<strong>SiC</strong> film deposited by LPCVD respectively.<br />

148


Stress(MPa)<br />

300<br />

200<br />

100<br />

0<br />

-100<br />

-200<br />

-300<br />

-400<br />

-500<br />

1 2 3<br />

Figure 6. The stress <strong>of</strong>~1m thin <strong>SiC</strong> films, number 1, 2, 3 represent <strong>SiC</strong> film<br />

deposited by PECVD at 300, <strong>SiC</strong> film deposited by PECVD at 900, <strong>SiC</strong><br />

film deposited by LPCVD respectively.<br />

From these properties, we find that the 1200 growth<br />

condition <strong>of</strong> LPCVD makes the <strong>SiC</strong> film a potential material<br />

for high-temperature MEMS applications which need further<br />

research. Because <strong>of</strong> the great mechanical strength, the LPCVD<br />

<strong>SiC</strong> is also the ideal structure material for MEMS, such as the<br />

micro cantilever, suspended film, shadow mask <strong>and</strong> so on. The<br />

PECVD <strong>SiC</strong> film at 300 has a smoother surface to get a<br />

better line in the lithography process, at the same time the low<br />

growth temperature makes the MEMS process compatible with<br />

the IC process. The <strong>SiC</strong> films deposited by PECVD at 900<br />

which have the intrinsic stress closest to 0 is fit for maintaining<br />

the shape in the MEMS structure fabrication. Because <strong>of</strong> not<br />

need annealing the <strong>SiC</strong> film deposited by 900 PECVD<br />

reduces the likelihood <strong>of</strong> rupture.<br />

IV. CONCLUSIONS<br />

In this paper, three kinds <strong>of</strong> <strong>SiC</strong> films were studied. From<br />

the testing results <strong>of</strong> the crystal structure, roughness, Young<br />

modulus, hardness <strong>and</strong> stress, it can be found that LPCVD <strong>SiC</strong><br />

exhibits exceptional mechanical properties, due to the<br />

crystalline grains inside, which makes it a very large intrinsic<br />

tensile stress owing to the different lattice constants <strong>of</strong> <strong>SiC</strong><br />

<strong>and</strong> Si [16]. High temperature PECVD <strong>SiC</strong> solves stress<br />

problem for its amorphous lattice structure. However, it has a<br />

low mechanical properties compared to the former. Because <strong>of</strong><br />

their high forming temperature, these two materials are<br />

suitable for harsh temperature applications, including locations<br />

<strong>of</strong> high temperature, intense vibrations, <strong>and</strong> erosive. Moreover,<br />

low temperature PECVD <strong>SiC</strong> takes the advantage <strong>of</strong> low<br />

forming temperature, making its deivce able to be integrated<br />

with read-out circuit in one single chip. And thanks to its<br />

chemical inertness, its device can be applied in some erosive<br />

surroundings.<br />

Sciences <strong>and</strong> National Key Laboratory <strong>of</strong> Nano/Micro<br />

<strong>Fabrication</strong> Technology, Peking University. The authors wish<br />

to thank all the students <strong>and</strong> staff <strong>of</strong> the MEMS group for their<br />

help on this paper.<br />

REFERENCES<br />

[1] M. Mehregany, C. A. Zorman, N. Rajan, C. H. Wu, “Silicon Carbide<br />

MEMS for Harsh Environments,” Proceeding <strong>of</strong> the <strong>IEEE</strong>, Vol. 86, No.<br />

8, pp. 1594-1610,1998.<br />

[2] M. Mehregany, C.A. Zorman, A.J. Fleischman, C.H. Wu, <strong>and</strong> N. Rajan,<br />

"Silicon carbide for microelectromechanical systems," International<br />

Materials Review, Vol,45,pp. 85-108,2000.<br />

[3] Jeffrey M. Melzak, "Silicon Carbide for RF MEMS," Microwave<br />

Symposium Digest, Vol. 3, pp. 1629-1632, 2003.<br />

[4] A.J. Rosenbloom, D.M. Sipe, Y. Shishkin, Y. Ke, R.P. Devaty, <strong>and</strong> W.J.<br />

Chyke, "Nanoporous <strong>SiC</strong>: A C<strong>and</strong>idate Semi-Permeable material for<br />

Biomedical Applications," Biomedical Microdevices, Vol. 6,No.4, pp.<br />

261-267,2004.<br />

[5] Haixia Zhang, Hui Guo, Yu Wang, Guobing Zhang <strong>and</strong> Zhihong Li,<br />

"Study on a PECVD <strong>SiC</strong>-coated pressure sensor," Journal <strong>of</strong><br />

Micromechanics <strong>and</strong> Microengineering, Vol.17, pp. 426-431,2007.<br />

[6] L.S. Pakula, H. Yang <strong>and</strong> P.J. French, "A CMOS compatible <strong>SiC</strong><br />

accelerometer," Sensors, Proceedings <strong>of</strong> <strong>IEEE</strong>, Vol.2, pp. 761-764,2003.<br />

[7] Liudi Jiang, R. Cheung, J. Hedley, M. Hassan, A.J. Harris, J.S. Burdess,<br />

M. Mehregany, C.A. Zorman, "<strong>SiC</strong> cantilever resonators with<br />

electrothermal actuation, " Sensors <strong>and</strong> Actuators A: Physical, Vol.128,<br />

Issue 2, pp.376-386, 2006.<br />

[8] Yin-tang Yang, Hu-jun Jia, Chang-chun Chai, Yue-jin Li," Growth <strong>and</strong><br />

characterization <strong>of</strong> Si/SiN/<strong>SiC</strong> structures by APCVD process,"<br />

Solid-State <strong>and</strong> Integrated-Circuit Technology ICSICT,<br />

pp.730-733,2008.<br />

[9] C.A. Zorman, S. Rajgopal, X.A. Fu, R. Jezeski, J. Melzak <strong>and</strong> M.<br />

Mehregany," Deposition <strong>of</strong> Polycrystalline 3C-<strong>SiC</strong> <strong>Films</strong> on 100 nm<br />

Diameter Si(100) Wafers in a Large-Volume LPCVD Furnace,"<br />

Electrochem. Solid-State Lett., Vol. 5, Issue 10, pp.G99-G101, 2002.<br />

[10] Zhe Chen, Dayu Tian, Guobing Zhang, <strong>and</strong> Haixia Zhang,"<br />

Investigation <strong>of</strong> PECVD <strong>SiC</strong> Nano Film," Proceedings <strong>of</strong> the 7th <strong>IEEE</strong><br />

International Conference on Nanotechnology, 2007, Hong Kong.<br />

[11] A. Valentini, A. Convertino, R. Cingolani, T. Ligonzo, R. Lanendola<br />

<strong>and</strong> L. Tapfer," Synthesis <strong>of</strong> silicon carbide thin films by ion beam<br />

sputtering," <strong>Thin</strong> Solid <strong>Films</strong>, Vol.335, Issues 1-2, pp.80-84, 1998.<br />

[12] Sun, Yong Miyasato, Tatsuro Wigmore, J. Keith Sonoda, Nobuo Watari,<br />

Yoshihiko, "<strong>Characterization</strong> <strong>of</strong> 3C-<strong>SiC</strong> films grown on monocrystalline<br />

Si by reactive hydrogen plasma sputtering," Journal <strong>of</strong> Applied Physics,<br />

Vol.82, Issue.5, pp.2334-2341, 1997.<br />

[13] Hui Guo, Yu Wang, Sheng Chen, Guobing Zhang, Haixia Zhang,<br />

Zhihong Li," PECVD <strong>SiC</strong> as a Chemical Resistant Material in MEMS,"<br />

Proceedings <strong>of</strong> the 1st <strong>IEEE</strong> International Conference on Nano/Micro<br />

Engineered <strong>and</strong> Molecular Systems,2006.<br />

[14] Yu Wang, Hui Guo, Haixia Zhang <strong>and</strong> Zhihong Li,"<strong>Fabrication</strong> <strong>and</strong> Test<br />

<strong>of</strong> PECVD <strong>SiC</strong> Resonator," First International Conference on<br />

Integration <strong>and</strong> Commercialization <strong>of</strong> Micro <strong>and</strong> Nanosystems, Parts A<br />

<strong>and</strong> B, 2007.<br />

[15] L.S. Pakula, H. Yang, etc. "<strong>Fabrication</strong> <strong>of</strong> a CMOS compatible pressure<br />

sensor for harsh environment," MEMS'2003,pp.502-505,2003.<br />

[16] Fang Liu, Carlo Carraro, Albert P Pisano, <strong>and</strong> Roya Maboudian,"<br />

Growth <strong>and</strong> characterization <strong>of</strong> nitrogen-doped polycrystalline 3C-<strong>SiC</strong><br />

thin films for harsh environment MEMS applications," Journal <strong>of</strong><br />

Micromechanics <strong>and</strong> Microengineering, Vol.20, No. 3, 2010.<br />

ACKNOWLEDGMENT<br />

This work was supported by the Beijing Natural Science<br />

Fund, fabrications <strong>and</strong> tests were done in Chinese Academy <strong>of</strong><br />

149

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!