11.07.2015 Views

Mentor Graphics Provides Design, Verification and Test Solutions for ...

Mentor Graphics Provides Design, Verification and Test Solutions for ...

Mentor Graphics Provides Design, Verification and Test Solutions for ...

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Electronic Specifier <strong>Design</strong>Philip LingU.K.October 18, 2012http://www.electronicspecifier.com/<strong>Design</strong>/TSMCs-20nm-<strong>Design</strong>-Infrastructure-<strong>Mentor</strong>-<strong>Graphics</strong>-<strong>Provides</strong>-<strong>Design</strong>-<strong>Verification</strong>-<strong>and</strong>-<strong>Test</strong>-<strong>Solutions</strong>.asp<strong>Mentor</strong> <strong>Graphics</strong> <strong>Provides</strong> <strong>Design</strong>, <strong>Verification</strong> <strong>and</strong> <strong>Test</strong> <strong>Solutions</strong> <strong>for</strong> TSMC's 20nm<strong>Design</strong> InfrastructureNews Release from: <strong>Mentor</strong> <strong>Graphics</strong> Corporation16 October 2012<strong>Mentor</strong> <strong>Graphics</strong> today announced new capabilities to complement TSMC's 20nmmanufacturing processes. Enhancements to support both digital <strong>and</strong> analog/mixed signal20nm reference flows include new features in the PyxisT IC Station plat<strong>for</strong>m, the Eldo fastSPICE simulation products, the Olympus-SoCT place <strong>and</strong> route system, the CalibreRnmDRCT, Calibre RealTime, Calibre PERCT <strong>and</strong> Calibre xACT 3D solutions, <strong>and</strong> the TessentRsilicon test product suite.Each successive process node brings new challenges that require greater underst<strong>and</strong>ing between design <strong>and</strong>manufacturing to resolve, said Wally Rhines, chairman <strong>and</strong> CEO of <strong>Mentor</strong> <strong>Graphics</strong>. Our close collaboration with TSMC hasenabled us to create solutions that codify this underst<strong>and</strong>ing so that mutual customers have confidence of a 'first timeright' design that is fully optimized <strong>for</strong> highest per<strong>for</strong>mance <strong>and</strong> yield.<strong>Mentor</strong> enables designers to take new 20nm requirements such as double patterning in stride while providing a range ofenhancements <strong>for</strong> low power, SmartFill, litho checking, <strong>and</strong> improved testing, said Suk Lee, TSMC senior director, <strong>Design</strong>Infrastructure Marketing Division.Physical <strong>Design</strong>Olympus-SoC has a comprehensive feature set to support the 20nm flow requirements including DRC/DP-aware routing,litho pattern matching, fixing <strong>and</strong> timing closure, coloring aware pin access, critical net routing, <strong>and</strong> DP-aware placement.The tool also provides database support <strong>for</strong> DP <strong>and</strong> pre-coloring, post-route dynamic power optimization, intelligent gatesizing <strong>and</strong> Vt assignment, voltage-dependent design spacing rules, in-chip overlay (ICOVL), dummy typical criticaldimension (DTCD) <strong>and</strong> boundary cell insertion.For custom <strong>and</strong> analog designs, the Pyxis IC Station solution provides a complete custom design flow from design capturethrough floor planning, polygon editing, physical layout, schematic-driven layout, chip assembly <strong>and</strong> interactive customrouting. Based on TSMC 20nm requirements, a new sensitivity analysis feature is implemented to work in conjunction withthe EldoR fast SPICE simulation products, <strong>and</strong> voltage-dependent design rule checking (DRC) works seamlessly withCalibre nmDRC, Calibre nmLVST <strong>and</strong> Calibre RealTime products.Physical <strong>Verification</strong>The Calibre nmDRC plat<strong>for</strong>m has a new engine to support DP anchoring <strong>and</strong> pre-coloring, DP design rule checking, voltagedependentchecking <strong>and</strong> patented real-time graphical error rings <strong>for</strong> coloring conflict resolution to reduce time consumingiterations when fixing DP violations.<strong>Mentor</strong> <strong>and</strong> TSMC collaborated on a circuit verification solution <strong>for</strong> 20nm that addresses potential reliability issues such aselectrostatic discharge(ESD) <strong>and</strong> latch-up. The Calibre PERC product checks <strong>for</strong> potential sources of electrical failure, including checks that TSMChas advocated but have not been addressed by other EDA tools. It provides a powerful environment <strong>for</strong> debuggingproblems with an integrated view of circuit connectivity, topology, physical layout <strong>and</strong> design rules that is not available inany other tool, <strong>and</strong> is fully scalable to h<strong>and</strong>le full-chip signoff of the largest designs.Another innovation in the <strong>Mentor</strong> 20nm reference flow <strong>for</strong> TSMC is the Calibre SmartFill solution, which optimizes fillingtechniques to reduce the risk of differences between pre- <strong>and</strong> post-fill timing analysis, while ensuring that overall run times<strong>and</strong> files sizes are controlled despite the significant increase in GDS data at 20nm. The flow also incorporates the CalibreLFDT product working with the TSMC Unified DFM Engine, which incorporates Calibre Pattern Matching technology toGlobalpress Connection, Inc.http://www.globalpresspr.com/news/client-coverage


Electronic Specifier <strong>Design</strong>Philip LingU.K.October 18, 2012http://www.electronicspecifier.com/<strong>Design</strong>/TSMCs-20nm-<strong>Design</strong>-Infrastructure-<strong>Mentor</strong>-<strong>Graphics</strong>-<strong>Provides</strong>-<strong>Design</strong>-<strong>Verification</strong>-<strong>and</strong>-<strong>Test</strong>-<strong>Solutions</strong>.aspaccelerate the litho hot spot detection at 20nm.The Calibre RealTime product, which provides immediate design rule checking <strong>and</strong> fixing guidance during custom layoutediting, has been extended to support full sign-off verification of 20nm rules, including DP checking <strong>and</strong> debugging aids,<strong>and</strong> voltage-dependent checks. Calibre RealTime brings signoff verification into the design creation process, providingdynamic feedback to layout engineers as they create <strong>and</strong> edit the layout. It also helps users create compact <strong>and</strong> optimizedlayout when designing with multiple supply voltages. Calibre RealTime is interoperable with the <strong>Mentor</strong>R Pyxis IC Station,<strong>Mentor</strong> DESIGNrevT, <strong>and</strong> SpringSoft Laker layout tools.ExtractionThe Calibre xACT 3D tool provides reference-level (field solver) extraction accuracy with fast turnaround to address newcomplexities such as unavoidable misalignment of layout masks associated with double patterning (DPT). The Calibre xACT3D product is able to interoperate with the customer's layout design environment through TSMC-defined applicationprotocol interface.Silicon <strong>Test</strong>The Tessent silicon test product suite <strong>for</strong> 20nm provides user-defined fault models <strong>and</strong> cell-aware test pattern generation,which allows test engineers to improve the coverage <strong>and</strong> quality of IC test. Cell-aware testing detects bridging <strong>and</strong> opendefects internal to cells, which are undetected by conventional fault models that only test the cell periphery <strong>and</strong>interconnections. The Tessent <strong>Test</strong>KompressR product generates patterns to specifically target these additional defects,providing higher confidence in production testing with minimal impact to test time.Globalpress Connection, Inc.http://www.globalpresspr.com/news/client-coverage

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!