08.08.2015 Views

VHDL CONCURRENTE

VHDL CONCURRENTE

VHDL CONCURRENTE

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

30 <strong>VHDL</strong> <strong>CONCURRENTE</strong>Sentencias generate• Para instanciar componentes idénticos bajo el control deun índice o de una condición.library IEEE; use IEEE.std_logic_1164.all;entity PARIDAD isgeneric(no_bits: integer:=32);port(IN_DAT: in std_logic_vector (no_bits-1 downto 0);PARITY: out std_logic);end PARIDAD;architecture ARBOL_XOR of PARIDAD issignal TMP: std_logic_vector (no_bits-1 downto 0);beginTMP (no_bits-1)

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!