15.02.2013 Views

The Chip Insider® 2012 All Stars and Hall of Fame - VLSI Research

The Chip Insider® 2012 All Stars and Hall of Fame - VLSI Research

The Chip Insider® 2012 All Stars and Hall of Fame - VLSI Research

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

<strong>VLSI</strong>research’s<br />

CHIP MAKING INDUSTRY HALL OF FAME<br />

Alex d'Arbel<strong>of</strong>f (1993) can probably lay claim<br />

to being the leader <strong>of</strong> a semiconductor equipment<br />

company longer than anyone in history<br />

• Earned this distinction with honor--Teradyne has<br />

been consistently well-managed <strong>and</strong> pr<strong>of</strong>itable under<br />

his tenure<br />

• Kept Teradyne a consistent leader in ATE,<br />

throughout more than twenty years <strong>of</strong> business <strong>and</strong><br />

product cycles<br />

• Brought a strong sense <strong>of</strong> ethics to the equipment<br />

industry that all companies would do well to emulate<br />

<strong>VLSI</strong>research … intelligence to make better decisions faster<br />

RESTRICTED DATA: Limited Distribution per T&Cs. Copyright © <strong>2012</strong> <strong>VLSI</strong> <strong>Research</strong> Inc. <strong>All</strong> rights reserved.<br />

20 December <strong>2012</strong><br />

16

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!