Solution Final automne 2009 - Moodle
Solution Final automne 2009 - Moodle
Solution Final automne 2009 - Moodle
You also want an ePaper? Increase the reach of your titles
YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.
INF8500 Examen final Automne <strong>2009</strong><br />
c) (1.5 pts) Soit l’interface System Verilog de la figure 3.1, le programme test (testbench) de<br />
la figure 3.2a et finalement un arbitre (DUT) de la figure 3.2b. Complétez le diagramme<br />
temporel de la figure 3.3.<br />
interface arb_if (input bit clk);<br />
logic [1:0] grant, request;<br />
logic reset;<br />
clocking cb @(posedge clk);<br />
output request<br />
input grant<br />
endclocking<br />
modport TEST(clocking cb, output reset);<br />
modport DUT(input request, reset, output grant);<br />
endinterface<br />
Figure 3.1 Interface du système<br />
‘timescale 1ns/1ns<br />
program test(arb_if.TEST arbif)<br />
initial begin<br />
//petit testbench artisanal…<br />
#7 arbif.cb.request