13.07.2015 Views

Oscillateurs Asynchrones en Anneau : de la ... - Laboratoire TIMA

Oscillateurs Asynchrones en Anneau : de la ... - Laboratoire TIMA

Oscillateurs Asynchrones en Anneau : de la ... - Laboratoire TIMA

SHOW MORE
SHOW LESS
  • No tags were found...

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

<strong>Oscil<strong>la</strong>teurs</strong> <strong>Asynchrones</strong> <strong>en</strong> <strong>Anneau</strong> :<strong>de</strong> <strong>la</strong> Théorie à <strong>la</strong> PratiqueSous <strong>la</strong> direction <strong>de</strong> Dr. Laur<strong>en</strong>t FesquetOussama EL ISSATILe 12 Septembre 2011Gr<strong>en</strong>obleFranceISBN : 978-2-84813-175-7


A <strong>la</strong> mémoire <strong>de</strong> mon pèreA ma chère MamanA mes frères et SœursA mes beaux-frères, belles-sœurs et beaux-par<strong>en</strong>tsA mes nièces et neveuxA ma douce épouse Hanan


Remerciem<strong>en</strong>tsiRemerciem<strong>en</strong>tsEnfin ! Voici <strong>en</strong>fin le mom<strong>en</strong>t tant att<strong>en</strong>du <strong>de</strong> <strong>la</strong> fin <strong>de</strong> rédaction où il ne me resteplus qu’à remercier les personnes qui m’ont aidé p<strong>en</strong>dant cette thèse.Alors tout d’abord, merci à Dominique Borrione et à <strong>la</strong> société ST-Ericsson pourm’avoir accueilli dans leurs établissem<strong>en</strong>tsToute ma gratitu<strong>de</strong> est adressée à Laur<strong>en</strong>t Fesquet, mon directeur <strong>de</strong> thèse, maître <strong>de</strong>confér<strong>en</strong>ces à l’Institut Polytechnique <strong>de</strong> Gr<strong>en</strong>oble, pour m’avoir accueilli lors <strong>de</strong> mon staged’ingénieur et <strong>de</strong> m’avoir donné l’occasion <strong>de</strong> faire cette thèse. Merci infinim<strong>en</strong>t pour lesefforts que tu as faits pour pouvoir comm<strong>en</strong>cer cette thèse (ce n’était pas évid<strong>en</strong>t !). Merci<strong>de</strong> m’avoir tant appris, m’avoir <strong>la</strong>issé chercher, m’avoir sout<strong>en</strong>u, et m’avoir corrigé cemanuscrit. Merci pour <strong>la</strong> confiance que tu m’as accordé, tes <strong>en</strong>couragem<strong>en</strong>ts, et ton souti<strong>en</strong>sur le p<strong>la</strong>n professionnel et personnel.Je remercie vivem<strong>en</strong>t mon co-<strong>en</strong>cadrant Sébasti<strong>en</strong> Rieubon, Ingénieur Chef <strong>de</strong>projets à ST-Ericsson Gr<strong>en</strong>oble, pour sa disponibilité, ses conseils, son humour et pourm’avoir donné mes premières armes <strong>en</strong> analogique RF. Je te remercie d’avoir accepté <strong>de</strong>m’<strong>en</strong>cadrer dans un contexte très particulier. Merci beaucoup pour ta confiance, tes<strong>en</strong>couragem<strong>en</strong>ts, et ton souti<strong>en</strong>.J'aimerai aussi remercier Thierry Divel qui, sans ses efforts, le démarrage <strong>de</strong> cettethèse aurait été très délicat.Un merci tout aussi grand à Christian Piguet et à Gilles Jacquemaud pour avoiraccepté d’être les rapporteurs <strong>de</strong> cette thèse, et à Guy Cathebras pour avoir accepté <strong>de</strong>prési<strong>de</strong>r le jury.Un grand merci à mes collègues <strong>de</strong> ST-Ericsson : Philipe, Sébasti<strong>en</strong> D., Sidy, Cyril,Caroline, Stéphane, Bruno, Laur<strong>en</strong>t, et Juli<strong>en</strong>.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


iiRemerciem<strong>en</strong>tsUn énorme merci à tous mes collègues, et anci<strong>en</strong>s collègues, <strong>de</strong> <strong>TIMA</strong> :Gilles Sicard, Maitre <strong>de</strong> confér<strong>en</strong>ces à l’Université Joseph Fourrier et Katell Morin-Allory,Maitre <strong>de</strong> confér<strong>en</strong>ces à Gr<strong>en</strong>oble INP.Es<strong>la</strong>m et Hatem, Merci pour les longues discussions. Vous vous rappelez <strong>de</strong> notre voyageaux USA, c’était formidable <strong>en</strong> votre compagnie, bi<strong>en</strong> qu’ils nous ont bloqué trois heures àl’aéroport ;-)Un grand Merci à Lai<strong>la</strong>, Hawra, Livier, Hakim, Frank, Flor<strong>en</strong>t, Alex<strong>en</strong>dre, Khaled, Cédric,Taha, Saeed, Flor<strong>en</strong>t, Franck, Rodrigo, Gregory, David, Sophie. Ça restera un grand p<strong>la</strong>isird’avoir travaillé à vos côtés.Je remercie notre futur ophtalmologue Nazih, mon meilleur ami. On est resté trèsproche malgré les 3000 km qui nous ont séparés, Merci pour tout. Je remercie égalem<strong>en</strong>tmes chers amis Firas, Youssef, Nabil, Faouzi, Ali, Mohamed-Ridha, Nico<strong>la</strong>s et MohamedEl Habib.Le plus grand <strong>de</strong>s Mercis à ma famille <strong>de</strong> m’avoir toujours sout<strong>en</strong>u :Je remercie particulièrem<strong>en</strong>t ma mère pour son souti<strong>en</strong>, et ses <strong>en</strong>couragem<strong>en</strong>ts. C’est grâceà elle que je suis arrivé au bout <strong>de</strong> mon rêve <strong>de</strong> doctorat.Je remercie ma sœur Fatima et mon beau-frère Ab<strong>de</strong><strong>la</strong>ziz <strong>de</strong> m’avoir toujours considérécomme un membre <strong>de</strong> <strong>la</strong> petite famille et pour leurs <strong>en</strong>couragem<strong>en</strong>ts et leur souti<strong>en</strong> cont<strong>en</strong>udurant les dix ans que j’ai passé <strong>en</strong> France.Un grand merci à mes frères, sœurs, beaux-frères et belles-sœurs. Sans vos <strong>en</strong>couragem<strong>en</strong>tset souti<strong>en</strong> je ne serai jamais arrivé là.Pour terminer, je ti<strong>en</strong>s à remercier du fond du cœur mon épouse Hanan, mon docteurpersonnel, pour son amour, son souti<strong>en</strong>, pour tous les mom<strong>en</strong>ts chaleureux qu’ellem’apporte au quotidi<strong>en</strong>.Encore une fois merci à tous.Oussama EL ISSATIOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


iiiOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


ivOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


RésumévRésuméLes oscil<strong>la</strong>teurs sont <strong>de</strong>s blocs qui figur<strong>en</strong>t dans presque tous les circuits. En effet,ils sont utilisés pour générer les signaux <strong>de</strong> synchronisation (les horloges), les signauxmodulés et démodulés ou récupérer <strong>de</strong>s signaux noyés dans du bruit (détection synchrone).Les caractéristiques <strong>de</strong> ces oscil<strong>la</strong>teurs dép<strong>en</strong>d<strong>en</strong>t <strong>de</strong> l'application. Dans le cas <strong>de</strong>s boucles àverrouil<strong>la</strong>ge <strong>de</strong> phase (PLL), il existe <strong>de</strong> fortes exig<strong>en</strong>ces <strong>en</strong> matière <strong>de</strong> stabilité et <strong>de</strong> bruit<strong>de</strong> phase. En outre, face aux avancées <strong>de</strong>s technologies nanométriques, il est égalem<strong>en</strong>tnécessaire <strong>de</strong> pr<strong>en</strong>dre <strong>en</strong> compte les effets liés à <strong>la</strong> variabilité <strong>de</strong>s procédés <strong>de</strong> fabrication.Aujourd'hui, <strong>de</strong> nombreuses étu<strong>de</strong>s sont m<strong>en</strong>ées sur les oscil<strong>la</strong>teurs asynchrones <strong>en</strong> anneauqui prés<strong>en</strong>t<strong>en</strong>t <strong>de</strong>s caractéristiques bi<strong>en</strong> adaptées à <strong>la</strong> gestion <strong>de</strong> <strong>la</strong> variabilité et qui offr<strong>en</strong>tune structure appropriée pour limiter le bruit <strong>de</strong> phase. A ce titre, les anneaux asynchronessont considérés comme une solution prometteuse pour générer <strong>de</strong>s horloges.Cette thèse étudie les avantages et les pot<strong>en</strong>tiels offerts par les oscil<strong>la</strong>teursasynchrones <strong>en</strong> anneau. Deux applications principales ont été id<strong>en</strong>tifiées. D’une part, cesoscil<strong>la</strong>teurs sont une solution prometteuse pour <strong>la</strong> génération d’horloges polyphasées àhaute fréqu<strong>en</strong>ce et à faible bruit <strong>de</strong> phase. D’autre part, ils constitu<strong>en</strong>t une alternativesimple, dans une certaine mesure aux oscil<strong>la</strong>teurs plus conv<strong>en</strong>tionnels et aux DLLs, car ilssont programmables <strong>en</strong> fréqu<strong>en</strong>ce numériquem<strong>en</strong>t et sont susceptibles <strong>de</strong> fournir lesfonctionnalités d’arrêt <strong>de</strong> type gated clock <strong>de</strong> façon native. Plusieurs oscil<strong>la</strong>teurs ont étéconçus, implém<strong>en</strong>tés, fabriqués <strong>en</strong> technologie CMOS 65 nm <strong>de</strong> STMicroelectronics et,finalem<strong>en</strong>t, caractérisés sous pointes. Ces travaux ont notamm<strong>en</strong>t permis <strong>de</strong> démontrer <strong>la</strong>pertin<strong>en</strong>ce <strong>de</strong> ces oscil<strong>la</strong>teurs, qui constitu<strong>en</strong>t une alternative sérieuse aux très c<strong>la</strong>ssiquesoscil<strong>la</strong>teurs <strong>en</strong> anneau à base d’inverseurs.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


viRésuméOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


AbstractviiAbstractOscil<strong>la</strong>tors are ess<strong>en</strong>tial building blocks in many applications. For instance, they arebasic blocks in almost all <strong>de</strong>signs: they are part of PLLs, clock recovery systems andfrequ<strong>en</strong>cy synthesizers. The <strong>de</strong>sign of a low phase-noise multi-phase clock circuitry isespecially crucial wh<strong>en</strong> a <strong>la</strong>rge number of phases is required. There are pl<strong>en</strong>ty of workscovering the <strong>de</strong>sign of multiphase clocks. High frequ<strong>en</strong>cy oscil<strong>la</strong>tors can be implem<strong>en</strong>tedusing ring structures, re<strong>la</strong>xation circuits or LC circuits. Ring architectures can easily provi<strong>de</strong>multiple clocks with a small die size. With the advanced nanometric technologies, it is alsorequired to <strong>de</strong>al with the process variability, stability and phase noise. Today many studiesare ori<strong>en</strong>ted to Self-Timed Ring (STR) oscil<strong>la</strong>tors which pres<strong>en</strong>t well-suited characteristicsfor managing process variability and offering an appropriate structure to limit the phas<strong>en</strong>oise. Therefore, self-timed rings are consi<strong>de</strong>red as promising solution for g<strong>en</strong>eratingclocks.This thesis studies the b<strong>en</strong>efits and pot<strong>en</strong>tial offered by Self-Timed Ring oscil<strong>la</strong>tors.Two main applications have be<strong>en</strong> id<strong>en</strong>tified. On the one hand, these oscil<strong>la</strong>tors are apromising solution for the g<strong>en</strong>eration of high-frequ<strong>en</strong>cy multi-phase low phase noise clocks.On the other hand, they are a simple alternative to some ext<strong>en</strong>t to the conv<strong>en</strong>tionaloscil<strong>la</strong>tors and DLLs, because they are digitally programmable. Several oscil<strong>la</strong>tors havebe<strong>en</strong> <strong>de</strong>signed, implem<strong>en</strong>ted, manufactured in 65 nm CMOS technology fromSTMicroelectronics, and characterized. This work has <strong>de</strong>monstrated the relevance of theseoscil<strong>la</strong>tors, which are a serious alternative to the conv<strong>en</strong>tional ring oscil<strong>la</strong>tors based oninverters.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


viiiAbstractOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Table <strong>de</strong>s matièresixTable <strong>de</strong>s MatièresRemercim<strong>en</strong>ts ...................................................................................................... iRésumé................................................................................................................ vAbstract ............................................................................................................ viiTable <strong>de</strong>s Matières ............................................................................................ ixListes <strong>de</strong>s Figures et Tableaux......................................................................... xvIntroduction Générale........................................................................................ 1Partie I : Contexte et l’état <strong>de</strong> l’art……………………………………...…………….5Chapitre 1 : Les <strong>Oscil<strong>la</strong>teurs</strong> dans le Domaine <strong>de</strong>s Radiocommunications........71. Introduction ................................................................................................. 72. Les oscil<strong>la</strong>teurs dans le domaine <strong>de</strong>s radiocommunications....................... 82.1. Modalisation <strong>de</strong>s oscil<strong>la</strong>teurs...................................................................................... 82.2. Les types d’oscil<strong>la</strong>teurs............................................................................................. 102.2.1. Les oscil<strong>la</strong>teurs à quartz (XO) .......................................................................... 102.2.2. Les oscil<strong>la</strong>teurs à résonateur LC ....................................................................... 112.2.3. Les oscil<strong>la</strong>teurs à re<strong>la</strong>xation.............................................................................. 122.2.4. Les oscil<strong>la</strong>teurs <strong>en</strong> anneau ................................................................................ 133. Bruit <strong>de</strong> phase dans les oscil<strong>la</strong>teurs........................................................... 143.1. Principe <strong>de</strong> génération du bruit dans les oscil<strong>la</strong>teurs................................................ 153.2. Bruit dans les semi-conducteurs ............................................................................... 163.3. Sources <strong>de</strong> bruit......................................................................................................... 163.3.1. Bruit <strong>de</strong> diffusion .............................................................................................. 163.3.2. Bruit <strong>en</strong> excès.................................................................................................... 173.3.3. Bruit <strong>de</strong> jonction <strong>de</strong>s semi-conducteurs............................................................ 173.4. Le bruit <strong>de</strong> phase dans les oscil<strong>la</strong>teurs...................................................................... 183.5. Le modèle <strong>de</strong> Leeson. ............................................................................................... 193.6. La théorie <strong>de</strong> Lee-Hajimiri........................................................................................ 203.7. Le facteur <strong>de</strong> mérite. ................................................................................................. 234. Conclusion................................................................................................. 23Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


xTable <strong>de</strong>s matièresChapitre 2 : La conception asynchrone………………………………………231. Introduction ............................................................................................... 252. Les concepts <strong>de</strong> base <strong>de</strong> <strong>la</strong> conception asynchrone................................... 262.1. Le mo<strong>de</strong> <strong>de</strong> fonctionnem<strong>en</strong>t asynchrone .................................................................. 262.2. Le principe <strong>de</strong> base : un contrôle local ..................................................................... 272.3. Protocoles <strong>de</strong> communications ................................................................................. 272.4. Implém<strong>en</strong>tation du protocole : La porte <strong>de</strong> Muller................................................... 292.4.1. La porte <strong>de</strong> Muller ou ‘‘C-elem<strong>en</strong>t’’ ................................................................ 292.4.2. La porte <strong>de</strong> Muller asymétrique........................................................................ 303. C<strong>la</strong>ssification <strong>de</strong>s circuits asynchrones..................................................... 303.1. Circuits ins<strong>en</strong>sibles aux dé<strong>la</strong>is (De<strong>la</strong>y Ins<strong>en</strong>sitive)................................................... 313.2. Circuits quasi ins<strong>en</strong>sibles aux dé<strong>la</strong>is (Quasi De<strong>la</strong>y Ins<strong>en</strong>titive) ............................... 313.3. Circuits indép<strong>en</strong>dants <strong>de</strong> <strong>la</strong> vitesse (Speed In<strong>de</strong>p<strong>en</strong>d<strong>en</strong>t)......................................... 323.4. Micropipeline............................................................................................................ 323.5. Circuits <strong>de</strong> Huffman.................................................................................................. 334. Propriétés <strong>de</strong>s circuits asynchrones........................................................... 334.1. Calcul <strong>en</strong> temps minimum ........................................................................................ 334.2. Un pipeline "é<strong>la</strong>stique" ............................................................................................. 344.3. Modu<strong>la</strong>rité................................................................................................................. 344.4. L'abs<strong>en</strong>ce <strong>de</strong> l'horloge............................................................................................... 344.5. Migration................................................................................................................... 355. Circuits asynchrones et systèmes radiofréqu<strong>en</strong>ces ................................... 365.1. Faible consommation................................................................................................ 365.2. Faible rayonnem<strong>en</strong>t électromagnétique .................................................................... 375.3. Faible bruit................................................................................................................ 385.4. Réutilisation. ............................................................................................................. 396. Conclusion................................................................................................. 39Partie II : L’Architecture et l’implém<strong>en</strong>tation <strong>de</strong>s <strong>Oscil<strong>la</strong>teurs</strong> <strong>en</strong> <strong>Anneau</strong><strong>Asynchrones</strong>......................................................................................................41Chapitre 3 : Architecture et Modélisation <strong>de</strong>s <strong>Oscil<strong>la</strong>teurs</strong> <strong>en</strong> <strong>Anneau</strong><strong>Asynchrones</strong>…………………………………………………………………..431. Introduction ............................................................................................... 432. Architecture d’un oscil<strong>la</strong>teur <strong>en</strong> anneau asynchrone ................................ 442.1. La porte <strong>de</strong> Muller .................................................................................................... 442.2. La connectivité <strong>de</strong> l’anneau asynchrone ................................................................... 442.3. Le principe <strong>de</strong> fonctionnem<strong>en</strong>t ................................................................................. 452.3.1. Les jetons et les bulles ...................................................................................... 452.3.2. Règles <strong>de</strong> propagation....................................................................................... 462.3.3. Les mo<strong>de</strong>s <strong>de</strong> propagation ................................................................................ 46Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Table <strong>de</strong>s matièresxi3. Modélisation <strong>de</strong>s anneaux asynchrones .................................................... 463.1. Les Effets <strong>de</strong> Charlie et <strong>de</strong> ‘‘Drafting’’’................................................................... 473.1.1. L'effet <strong>de</strong> Charlie .............................................................................................. 473.1.2. L'effet <strong>de</strong> drafting.............................................................................................. 473.2. Le modèle <strong>de</strong> Charlie 3D .......................................................................................... 483.3. L’importance <strong>de</strong> <strong>la</strong> prise <strong>en</strong> compte <strong>de</strong>s effets Charlie et « Drafting » .................... 513.4. Calcul <strong>de</strong> <strong>la</strong> pério<strong>de</strong> d’oscil<strong>la</strong>tion dans les anneaux asynchrones............................. 523.5. La puissance consommée.......................................................................................... 573.6. La robustesse aux variations. .................................................................................... 584. Validations................................................................................................. 605. Conclusion................................................................................................. 61Chapitre 4 : Les différ<strong>en</strong>tes implém<strong>en</strong>tations <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller…………631. Introduction ............................................................................................... 632. Les différ<strong>en</strong>tes implém<strong>en</strong>tations <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller............................. 642.1. L’implém<strong>en</strong>tation dynamique................................................................................... 642.2. L’implém<strong>en</strong>tation « weak feedback »....................................................................... 652.3. L’implém<strong>en</strong>tation conv<strong>en</strong>tionnelle ........................................................................... 662.4. L’implém<strong>en</strong>tation symétrique................................................................................... 662.5. Les implém<strong>en</strong>tations différ<strong>en</strong>tielles.......................................................................... 673. Conception <strong>de</strong> l’étage <strong>de</strong> l’oscil<strong>la</strong>teur asynchrone.................................... 693.1. Utilisation <strong>de</strong> <strong>la</strong> métho<strong>de</strong> <strong>de</strong> l’effort logique. ........................................................... 693.1.1. Prés<strong>en</strong>tation <strong>de</strong> <strong>la</strong> métho<strong>de</strong> <strong>de</strong> l’effort logique ................................................. 693.1.2. Application <strong>de</strong> <strong>la</strong> métho<strong>de</strong> à l’étage <strong>de</strong> l’oscil<strong>la</strong>teur........................................ 703.2. Dim<strong>en</strong>sionnem<strong>en</strong>t par simu<strong>la</strong>tions électriques ......................................................... 733.3. Fréqu<strong>en</strong>ce optimale d’oscil<strong>la</strong>tion.............................................................................. 743.4. Comparaison <strong>de</strong>s différ<strong>en</strong>tes implém<strong>en</strong>tations. ........................................................ 763.4.1. Fréqu<strong>en</strong>ce vs. Consommation........................................................................... 763.4.2. L’étage modifié <strong>de</strong> l’oscil<strong>la</strong>teur <strong>en</strong> anneau asynchrone.................................... 773.4.3. Le bruit <strong>de</strong> phase............................................................................................... 784. Conclusions ............................................................................................... 80Partie III : Applications………………………………………………………81Chapitre 5 : Génération d’horloges polyphases à haute fréqu<strong>en</strong>ce et à faiblebruit <strong>de</strong> phase………………………...………………………………………831. Introduction ............................................................................................... 832. Les oscil<strong>la</strong>teurs polyphases. ...................................................................... 843. Les phases dans les oscil<strong>la</strong>teurs <strong>en</strong> anneau ............................................... 863.1. Les phases dans les oscil<strong>la</strong>teurs à base d’inverseurs ................................................ 863.2. Les phases dans les oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchrones .......................................... 863.3. Les règles <strong>de</strong> conceptions d’un oscil<strong>la</strong>teur polyphasé à base d’anneau asynchrones86Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


xiiTable <strong>de</strong>s matières3.4. Comparaisons avec d’autres topologies.................................................................... 873.5. Implém<strong>en</strong>tations et simu<strong>la</strong>tions ................................................................................ 894. Le bruit <strong>de</strong> phase ....................................................................................... 914.1. Duplication du nombre d’étages ............................................................................... 914.2. La symétrie <strong>en</strong>tre les fronts....................................................................................... 935. Génération <strong>de</strong>s signaux <strong>en</strong> quadrature <strong>de</strong> phase ....................................... 956. Largeur <strong>de</strong> <strong>la</strong> ban<strong>de</strong> <strong>de</strong> fréqu<strong>en</strong>ce. ............................................................ 977. Flot <strong>de</strong> conception pour les oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchrones.............. 988. Conclusion............................................................................................... 101Chapitre 6 : Conception d’un Oscil<strong>la</strong>teur Programmable et Arrêtable basésur un <strong>Anneau</strong> Asynchrone………………………………………………….1031. Introduction ............................................................................................. 1032. L’architecture <strong>de</strong> l’oscil<strong>la</strong>teur programmable......................................... 1052.1. Première solution : contrôle <strong>de</strong>s jetons et <strong>de</strong>s bulles .............................................. 1052.2. Deuxième solution : contrôle du nombre d’étages ................................................. 1062.3. Troisième solution : <strong>la</strong> solution hybri<strong>de</strong> ................................................................. 1073. L’oscil<strong>la</strong>teur Programmable et Arrêtable................................................ 1083.1. Le protocole <strong>de</strong> communication <strong>en</strong>tre <strong>de</strong> processeur et le PSO.............................. 1083.2. L’unité <strong>de</strong> contrôle.................................................................................................. 1103.3. Mo<strong>de</strong>1: Changem<strong>en</strong>t <strong>de</strong> Fréqu<strong>en</strong>ce ........................................................................ 1113.4. Mo<strong>de</strong>2 : <strong>la</strong> mise <strong>en</strong> pause <strong>de</strong> l’oscil<strong>la</strong>teur............................................................... 1123.5. Simu<strong>la</strong>tion numérique du PSO................................................................................ 1134. Résultats d’implém<strong>en</strong>tation..................................................................... 1144.1. Les performances <strong>de</strong>s différ<strong>en</strong>tes solutions ............................................................ 1144.2. Fréqu<strong>en</strong>ce vs. T<strong>en</strong>sion d’alim<strong>en</strong>tation .................................................................... 1164.3. La s<strong>en</strong>sibilité aux variations <strong>de</strong> processus .............................................................. 1175. Conclusion............................................................................................... 118Chapitre 7 : Validation sur Silicium <strong>en</strong> Technologie STCMOS065……… …1211. Introduction ............................................................................................. 1212. L’architecture <strong>de</strong> TestChip...................................................................... 1222.1. Interface d’<strong>en</strong>trée .................................................................................................... 1222.2. Le cœur du testchip : les oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchrones ................................ 1242.3. L’interface <strong>de</strong> sortie ................................................................................................ 1272.4. Les alim<strong>en</strong>tations, <strong>en</strong>trées et sorties........................................................................ 1272.5. Layout et Circuit ..................................................................................................... 1273. Les mesures expérim<strong>en</strong>tales.................................................................... 1283.1. L’<strong>en</strong>vironnem<strong>en</strong>t <strong>de</strong> mesure ................................................................................... 1283.2. Les mesures expérim<strong>en</strong>tales ................................................................................... 1293.2.1. Les oscil<strong>la</strong>teurs OSC_2T_1B, OSC_4T_2B et OSC_8T_4B......................... 1293.2.2. L’oscil<strong>la</strong>teur OSC_2T_2B. ............................................................................. 130Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Table <strong>de</strong>s matièresxiii3.2.3. Les oscil<strong>la</strong>teurs OSC_2T_3B et OSC_2T_3B_DYN ..................................... 1313.2.4. L’oscil<strong>la</strong>teur OSC_21_PROG_SET_REST.................................................... 1333.2.5. L’oscil<strong>la</strong>teur OSC_21_PROG_SERIE............................................................ 1353.2.6. L’oscil<strong>la</strong>teur OSC_21_INV ............................................................................ 1364. Conclusion............................................................................................... 137Conclusion...................................................................................................... 139Référ<strong>en</strong>ces ...................................................................................................... 143Publications <strong>de</strong> l’auteur................................................................................. 149Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


xivTable <strong>de</strong>s matièresOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Listes <strong>de</strong>s Figures et TableauxxvListes <strong>de</strong>s Figures et TableauxFigure 1-1: La boucle fermée d’un oscil<strong>la</strong>teur........................................................................ 8Figure 1-2 : La boucle ouverte d’un oscil<strong>la</strong>teur...................................................................... 9Figure 1-3: Structure <strong>de</strong> l’oscil<strong>la</strong>teur à quartz ...................................................................... 10Figure 1-4 : Modélisation du quartz par un circuit <strong>de</strong> RLC.................................................. 11Figure 1-5 : Structure <strong>de</strong> l’oscil<strong>la</strong>teur LC ............................................................................. 11Figure 1-6 : Structure <strong>de</strong> l’oscil<strong>la</strong>teur à re<strong>la</strong>xation ............................................................... 12Figure 1-7 : Structure <strong>de</strong> l’oscil<strong>la</strong>teur <strong>en</strong> anneaux ................................................................ 13Figure 1-8 : Structure <strong>de</strong> l’oscil<strong>la</strong>teur <strong>en</strong> anneau différ<strong>en</strong>tiel ............................................... 14Figure 1-9 : Les ban<strong>de</strong>s Tx et Rx du GSM ........................................................................... 15Figure 1-10 : Brouil<strong>la</strong>ge du signal par le bruit <strong>de</strong> phase....................................................... 15Figure 1-11 : Le bruit dans le transistor................................................................................ 16Figure 1-12 : Brouil<strong>la</strong>ge du signal par le bruit <strong>de</strong> phase....................................................... 18Figure 1-13 : Forme du bruit <strong>de</strong> phase d’un oscil<strong>la</strong>teur........................................................ 19Figure 1-14 : Conséqu<strong>en</strong>ces d’un pic sur <strong>la</strong> sortie du l’oscil<strong>la</strong>teur (a) p<strong>en</strong>dant <strong>la</strong> transition(b) p<strong>en</strong>dant que <strong>la</strong> sortie est saturée à VDD ou GND........................................................... 21Figure 2-1: Structure <strong>de</strong> base d’un circuit asynchrone ......................................................... 27Figure 2-2 : Le protocole 2 Phases ....................................................................................... 28Figure 2-3 : Le protocole 4 Phases ....................................................................................... 28Figure 2-4: Le symbole, <strong>la</strong> table <strong>de</strong> vérité, et l’implém<strong>en</strong>tation <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller ........ 30Figure 2-5: Le symbole, <strong>la</strong> table <strong>de</strong> vérité, et l’implém<strong>en</strong>tation <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Mullerasymétrique [REZ 04]........................................................................................................... 30Figure 2-6 : Les c<strong>la</strong>sses <strong>de</strong>s circuits asynchrones................................................................. 31Figure 2-7 : Le modèle <strong>de</strong>s circuits micropipelines............................................................. 32Figure 2-8 : Consommation <strong>en</strong> courant et spectres correspondants, <strong>de</strong>s versions synchroneet asynchrone du 80c51......................................................................................................... 37Figure 3-1 : La porte <strong>de</strong> Muller............................................................................................. 44Figure 3-2 : L’<strong>Anneau</strong> Asynchrone ...................................................................................... 45Figure 3-3 : Bulle et Jeton dans l’anneau asynchrone .......................................................... 45Figure 3-4 : Les mo<strong>de</strong>s ‘‘régulier’’ et ‘‘rafale’’ ................................................................... 46Figure 3-5 : Chronogramme <strong>de</strong> l’étage................................................................................. 49Figure 3-6 : Le diagramme <strong>de</strong> Charlie 2D ............................................................................ 49Figure 3-7 : Le Drafting........................................................................................................ 50Figure 3-8 : Le diagramme <strong>de</strong> Charlie 3D ............................................................................ 50Figure 3-9 : Résultats sans l’effet <strong>de</strong> Charlie........................................................................ 51Figure 3-10 : Résultats avec l’effet <strong>de</strong> Charlie. .................................................................... 52Figure 3-11 : Graphes d’états d’un anneau asynchrone <strong>de</strong> 5 étages et 2 jetons.................... 53Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


xviListes <strong>de</strong>s Figures et TableauxFigure 3-12 : Le diagramme <strong>de</strong> Charlie statique .................................................................. 54Figure 3-13 : Charlie(R) diagram ......................................................................................... 56Figure 3-14 : Le diagramme <strong>de</strong> Charlie(R) <strong>en</strong> échelle logarithmique .................................. 56Figure 3-15 : Le diagramme <strong>de</strong> Charlie pour différ<strong>en</strong>tes valeur <strong>de</strong> D charlie .......................... 59Figure 3-16 : La dérivée <strong>de</strong> Charlie(s) pour différ<strong>en</strong>tes valeur <strong>de</strong> D charlie ............................ 59Figure 4-1 : L’implém<strong>en</strong>tation dynamique <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller ........................................ 65Figure 4-2: L’implém<strong>en</strong>tation Weak feedback ..................................................................... 66Figure 4-3: L’implém<strong>en</strong>tation conv<strong>en</strong>tionnelle .................................................................... 67Figure 4-4: L’implém<strong>en</strong>tation symétrique ............................................................................ 67Figure 4-5: Une implém<strong>en</strong>tation <strong>en</strong> logique différ<strong>en</strong>tielle ................................................... 68Figure 4-6: L’étage dynamique <strong>de</strong> l’oscil<strong>la</strong>teur asynchrone................................................. 71Figure 4-7 : La fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion <strong>en</strong> fonction <strong>de</strong> (U1, U2) <strong>en</strong> 3D............................... 74Figure 4-8 : La fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion <strong>en</strong> fonction <strong>de</strong> (U1, U2) <strong>en</strong> 2D............................... 74Figure 4-9 : La consommation dynamique vs. Fréqu<strong>en</strong>ce.................................................... 76Figure 4-10 : L’oscil<strong>la</strong>teur <strong>en</strong> anneau asynchrone modifié ................................................. 77Figure 4-11 : La consommation dynamique Vs. Fréqu<strong>en</strong>ce pour les anneaux modifiés...... 78Figure 4-12 : Le facteur <strong>de</strong> mérite <strong>en</strong> fonction <strong>de</strong> <strong>la</strong> <strong>la</strong>rgeur wn .......................................... 79Figure 4-13 : Le bruit <strong>de</strong> Phase <strong>en</strong> fonction <strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion ........................... 79Figure 5-1: Comparaison <strong>en</strong>tres les oscil<strong>la</strong>teurs asynchrones et à base d’inverseurs........... 89Figure 5-2: 41 phases générées par l’oscil<strong>la</strong>teur asynchrone à 41 étages à 6.02 GHz. ........ 90Figure 5-3 : Le bruit <strong>de</strong> phase dans les oscil<strong>la</strong>teurs asynchrones 2T/1B, 4T/2B, 8T/4B et unoscil<strong>la</strong>teur à base d’inverseurs. ............................................................................................. 92Figure 5-4 : Les formes d'on<strong>de</strong> approximative et <strong>de</strong> l'ISF.................................................... 93Figure 5-5 : FOM, <strong>la</strong> fréqu<strong>en</strong>ce et <strong>la</strong> consomation <strong>de</strong> puissance Vs. γ=wp /wn.................. 94Figure 5-6 : Les sorties <strong>en</strong> quadrature <strong>de</strong> phase <strong>de</strong> l’oscil<strong>la</strong>teur 2T/2B................................ 95Figure 5-7 : Le bruit <strong>de</strong> phase <strong>de</strong> l’oscil<strong>la</strong>teur 2T/2B <strong>en</strong> dBc/Hz......................................... 96Figure 5-8 : La fréqu<strong>en</strong>ce <strong>en</strong> fonction <strong>de</strong> <strong>la</strong> t<strong>en</strong>sion d’alim<strong>en</strong>tation..................................... 98Figure 5-9 : Le flot <strong>de</strong> conception <strong>de</strong>s oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchrones ....................... 100Figure 6-1 : L’architecture <strong>de</strong> l’oscil<strong>la</strong>teur programmable................................................. 105Figure 6-2 : Le protocole <strong>de</strong> communication <strong>en</strong>tre <strong>de</strong> processeur et le PSO...................... 108Figure 6-3 : L’oscil<strong>la</strong>teur Programmable et Arrêtable........................................................ 109Figure 6-4 : L’unité <strong>de</strong> contrôle.......................................................................................... 110Figure 6-5 : Les chronogrammes du PSO........................................................................... 113Figure 6-6 : Analog Results of the PSO.............................................................................. 116Figure 6-7 : La fréqu<strong>en</strong>ce <strong>de</strong> sortie du PSTR Vs. T<strong>en</strong>sion d’alim<strong>en</strong>tation......................... 117Figure 6-8 : Les variations du procesus du PSTR (a) Inter-Die (b) Intra-Die. ................... 117Figure 7-1 : L’architecture du TestChip ............................................................................. 122Figure 7-2 : Le protocole JTAG <strong>en</strong> écriture........................................................................ 123Figure 7-3 : L’activation d’oscil<strong>la</strong>teur 2T/1B par le protocole JTAG................................ 124Figure 7-4 : L’architecture <strong>de</strong> l’oscil<strong>la</strong>teur OSC_21_PROG_SERIE................................. 126Figure 7-5 : L’injection <strong>de</strong> 12 jetons <strong>en</strong> série ..................................................................... 126Figure 7-6 : Layout et photo du Circuit .............................................................................. 128Figure 7-7 : L’<strong>en</strong>vironnem<strong>en</strong>t <strong>de</strong> mesure............................................................................ 129Figure 7-8 : Le bruit <strong>de</strong> phase dans les oscil<strong>la</strong>teurs OSC_2T_1B et OSC_8T_4B ............ 130Figure 7-9 : Le bruit <strong>de</strong> phase dans les oscil<strong>la</strong>teurs OSC_2T_2B ...................................... 131Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Listes <strong>de</strong>s Figures et TableauxxviiFigure 7-10 : Le bruit <strong>de</strong> phase dans l’oscil<strong>la</strong>teur OSC_2T_3B........................................ 132Figure 7-11 : Le bruit <strong>de</strong> phase dans l’oscil<strong>la</strong>teur OSC_2T_3B_DYN............................. 133Figure 7-12 : Quatre phases <strong>de</strong> <strong>la</strong> configuration 20T/1B (1)............................................. 134Figure 7-13 : Quatre phases <strong>de</strong> <strong>la</strong> configuration 20T/1B (2).............................................. 135Figure 7-14 : Le bruit <strong>de</strong> phase dans l’oscil<strong>la</strong>teur OSC_21_INV...................................... 137Tableau 3-1 : Les paramètres temporels <strong>de</strong> l’étage .............................................................. 60Tableau 3-2 : Résultats <strong>de</strong> simu<strong>la</strong>tions ................................................................................. 60Tableau 4-1: Les principaux paramètres <strong>de</strong> <strong>la</strong> métho<strong>de</strong> <strong>de</strong> l’effort logique ......................... 69Tableau 4-2: Les valeurs d’U1 et U2 <strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ce optimale ........................................... 75Tableau 4-3: La fréqu<strong>en</strong>ce et <strong>la</strong> consommation pour différ<strong>en</strong>tes config. ............................. 77Tableau 4-4 : Comparaison <strong>en</strong>tre les quatre implém<strong>en</strong>tations.............................................. 80Tableau 5-1 : Fréqu<strong>en</strong>ce et nombre <strong>de</strong> Phase pour différ<strong>en</strong>tes configurations (Drr=21.3psand Dff=38.2ps) .................................................................................................................... 88Tableau 5-2 : <strong>Oscil<strong>la</strong>teurs</strong> <strong>en</strong> anneau asynchrones avec le meme rapport Jetons/bulles (Dff =32.4ps et Drr = 42.4ps) ......................................................................................................... 90Tableau 5- 3: Les performances <strong>de</strong>s oscil<strong>la</strong>teurs asynchrones à R=2................................... 92Tableau 5- 4 : comparaison avec <strong>de</strong>s preced<strong>en</strong>ts travaux ..................................................... 97Tableau 6-1: Résultats <strong>de</strong>s différ<strong>en</strong>tes solutions <strong>de</strong> programmation .................................. 114Tableau 7-1 : Les performances <strong>de</strong>s oscil<strong>la</strong>teurs OSC_2T_1B, OSC_4T_2B et OSC_8T_4B............................................................................................................................................. 130Tableau 7-2 : Les performances <strong>de</strong> l’oscil<strong>la</strong>teur OSC_2T_2B........................................... 131Tableau 7-3 : Les performances <strong>de</strong>s oscil<strong>la</strong>teurs OSC_2T_3B et OSC_2T_3B_DYN...... 132Tableau 7-4 : Les performances <strong>de</strong> l’oscil<strong>la</strong>teur OSC_21_PROG_SET_RESET.............. 134Tableau 7-5 : Les performances <strong>de</strong> l’oscil<strong>la</strong>teur OSC_21_PROG_SERIE ........................ 136Tableau 7-6 : Les performances <strong>de</strong> l’oscil<strong>la</strong>teur OSC_21_INV......................................... 136Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


xviiiListes <strong>de</strong>s Figures et TableauxOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Introduction 1Introduction GénéraleLes oscil<strong>la</strong>teurs et particulièrem<strong>en</strong>t les oscil<strong>la</strong>teurs contrôlés (VCO) <strong>en</strong> t<strong>en</strong>sion sont<strong>de</strong>s blocs <strong>de</strong> base dans <strong>la</strong> majorité <strong>de</strong>s circuits intégrés. En effet, ils sont utilisés pourgénérer le signal <strong>de</strong> synchronisation, l'horloge, moduler et démoduler <strong>de</strong>s signaux ourécupérer <strong>de</strong>s signaux dans le bruit. Les caractéristiques <strong>de</strong> l'oscil<strong>la</strong>teur dép<strong>en</strong>d<strong>en</strong>t <strong>de</strong>l'application ; dans le cas <strong>de</strong>s boucles à verrouil<strong>la</strong>ge <strong>de</strong> phase (PLL) <strong>de</strong> fortes exig<strong>en</strong>ces <strong>en</strong>matière <strong>de</strong> stabilité et <strong>de</strong> bruit <strong>de</strong> phase sont nécessaires au bon fonctionnem<strong>en</strong>t. En outre,face aux avancées dans les technologies déca-nanométriques, il est égalem<strong>en</strong>t nécessaire <strong>de</strong>faire face à <strong>la</strong> variabilité <strong>de</strong>s procédés <strong>de</strong> fabrication. Aujourd'hui, <strong>de</strong> nombreuses étu<strong>de</strong>ssont axées sur les oscil<strong>la</strong>teurs basés sur les anneaux asynchrones [EBE 98] [WIN01][ZEB 05] [HAM 08], qui prés<strong>en</strong>t<strong>en</strong>t <strong>de</strong>s caractéristiques bi<strong>en</strong> adaptées pour <strong>la</strong> gestion <strong>de</strong> <strong>la</strong>variabilité et qui offr<strong>en</strong>t une structure appropriée pour limiter le bruit <strong>de</strong> phase. Parconséqu<strong>en</strong>t, les anneaux asynchrones sont considérés aujourd’hui comme une solutionprometteuse pour générer <strong>de</strong>s horloges.Les oscil<strong>la</strong>teurs sont <strong>de</strong>s élém<strong>en</strong>ts ess<strong>en</strong>tiels dans <strong>de</strong> nombreuses applications. Ilsfont partie <strong>de</strong>s PLL, <strong>de</strong>s systèmes <strong>de</strong> Clock recovery et <strong>de</strong>s synthétiseurs <strong>de</strong> fréqu<strong>en</strong>ce. Laconception d’horloges polyphasées à faible bruit <strong>de</strong> phase est cruciale surtout quand ungrand nombre <strong>de</strong> phases est nécessaire. Il y a beaucoup <strong>de</strong> travaux portant sur <strong>la</strong> conceptiond'horloges polyphasées [SUN 01] [LEE 97] [MAN 94]. Les oscil<strong>la</strong>teurs à haute fréqu<strong>en</strong>cepeuv<strong>en</strong>t être implém<strong>en</strong>tés <strong>en</strong> utilisant <strong>de</strong>s structures <strong>en</strong> anneau, <strong>de</strong>s circuits <strong>de</strong> re<strong>la</strong>xation ou<strong>de</strong>s circuits LC. Les architectures <strong>en</strong> anneau peuv<strong>en</strong>t facilem<strong>en</strong>t fournir <strong>de</strong>s horlogespolyphasées avec une surface très petite. Par ailleurs, l’utilisation d’un oscil<strong>la</strong>teur LCprés<strong>en</strong>te beaucoup d’inconvéni<strong>en</strong>ts pour ces applications (surface, s<strong>en</strong>sibilité auxrayonnem<strong>en</strong>ts, pollutions EM…)Les circuits asynchrones constitu<strong>en</strong>t une c<strong>la</strong>sse <strong>de</strong> circuits, dont le contrôle ou leséqu<strong>en</strong>cem<strong>en</strong>t est assuré par d’autres métho<strong>de</strong>s que le recours à un signal périodiqueOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


2 Introductiondistribué global. C’est le cas <strong>de</strong>s circuits synchrones. Cette distinction <strong>en</strong>tre circuitssynchrones et asynchrones n’existait pas aux balbutiem<strong>en</strong>ts <strong>de</strong> <strong>la</strong> conception numérique.Toutefois, <strong>la</strong> conception synchrone - plus simple - s’est rapi<strong>de</strong>m<strong>en</strong>t imposée face à <strong>la</strong>conception asynchrone pour répondre aux défis <strong>de</strong> l’intégration et aux besoins <strong>de</strong> calculcroissants.Le premier chapitre rappelle quelques élém<strong>en</strong>ts <strong>de</strong> théorie sur les oscil<strong>la</strong>teurs. Suivid’un tour d’horizon <strong>de</strong>s principales architectures d’oscil<strong>la</strong>teurs utilisées. Ensuite, lesdiffér<strong>en</strong>tes sources <strong>de</strong> bruit susceptibles <strong>de</strong> générer le bruit <strong>de</strong> phase sont prés<strong>en</strong>tées ainsique les <strong>de</strong>ux principales approches <strong>de</strong> modélisation <strong>de</strong> <strong>la</strong> conversion <strong>de</strong> ces bruits <strong>en</strong> bruit<strong>de</strong> phase à savoir les modèles <strong>de</strong> Leeson et Hajimiri-Lee.La technologie asynchrone semble être une alternative à l’approche synchrone <strong>en</strong>général et dans le domaine <strong>de</strong>s radiocommunications <strong>en</strong> particulier du fait <strong>de</strong> soncomportem<strong>en</strong>t peu bruyant. Le chapitre 2 prés<strong>en</strong>te les concepts <strong>de</strong> base, les propriétés et lesavantages <strong>de</strong> <strong>la</strong> technologie asynchrone ainsi que l’adéquation <strong>en</strong>tre les propriétés <strong>de</strong> cettetechnologie et les besoins dans le domaine <strong>de</strong>s radiocommunications.Dans le chapitre 3, nous prés<strong>en</strong>tons l’architecture <strong>de</strong>s oscil<strong>la</strong>teurs <strong>en</strong> anneauasynchrones, leur mo<strong>de</strong> <strong>de</strong> fonctionnem<strong>en</strong>t et les propriétés qui les caractéris<strong>en</strong>t. Nousproposons égalem<strong>en</strong>t une formule qui nous permet <strong>de</strong> calculer <strong>la</strong> fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion <strong>en</strong>fonction <strong>de</strong>s paramètres temporels <strong>de</strong> l’étage sans passer par <strong>la</strong> simu<strong>la</strong>tion.Etant donné que <strong>la</strong> porte <strong>de</strong> Muller est le composant principal <strong>de</strong> l’anneauasynchrone, il nous est apparu indisp<strong>en</strong>sable <strong>de</strong> l’étudier afin <strong>de</strong> choisir l’implém<strong>en</strong>tation <strong>la</strong>plus intéressante selon l’application et le cahier <strong>de</strong> charge. Dans le chapitre 4 nousprés<strong>en</strong>tons les différ<strong>en</strong>tes implém<strong>en</strong>tations <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller, une comparaison <strong>en</strong>termes <strong>de</strong> consommation, fréqu<strong>en</strong>ce et bruit <strong>de</strong> phase est faite. Ces portes sont aussiétudiées afin <strong>de</strong> trouver les règles <strong>de</strong> conception permettant d’obt<strong>en</strong>ir <strong>de</strong>s cellulesoptimisées <strong>en</strong> termes <strong>de</strong> vitesse et <strong>de</strong> bruit <strong>de</strong> phase <strong>en</strong> appliquant le modèle <strong>de</strong> l’effortlogique d’une part et <strong>en</strong> effectuant <strong>de</strong>s simu<strong>la</strong>tions d’autre part.Le Chapitre 5 propose une méthodologie pour générer <strong>de</strong>s horloges à hautefréqu<strong>en</strong>ce polyphasées basée sur l’oscil<strong>la</strong>teur <strong>en</strong> anneau asynchrone. Nous verrons aussicomm<strong>en</strong>t on peut générer <strong>de</strong>s signaux <strong>en</strong> quadrature <strong>de</strong> phases simplem<strong>en</strong>t avec un anneaucomposé <strong>de</strong> quatre étages. Nous expliquons comm<strong>en</strong>t <strong>la</strong> configurabilité peut être utiliséepour réduire le bruit <strong>de</strong> phase <strong>en</strong> doub<strong>la</strong>nt simplem<strong>en</strong>t le nombre d'étages sans aucunchangem<strong>en</strong>t dans <strong>la</strong> structure <strong>de</strong> l’étage et <strong>en</strong> gardant <strong>la</strong> même fréqu<strong>en</strong>ce d'oscil<strong>la</strong>tion. NousOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


4 IntroductionOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


5Partie 1.Contexte et l’état <strong>de</strong> l’art :<strong>de</strong> l’asynchrone aux systèmes radiofréqu<strong>en</strong>cesOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Les <strong>Oscil<strong>la</strong>teurs</strong> dans le Domaine <strong>de</strong> <strong>la</strong> Radiocommunication 7Chapitre 1.Les <strong>Oscil<strong>la</strong>teurs</strong> dans le Domaine <strong>de</strong>sRadiocommunications1. IntroductionDans l’industrie du semi-conducteur, on peut constater une t<strong>en</strong>dance nette àl’utilisation <strong>de</strong> li<strong>en</strong>s série haut débit <strong>en</strong>tre les émetteurs-récepteurs RF et les circuits <strong>de</strong>traitem<strong>en</strong>t numérique du signal <strong>en</strong> ban<strong>de</strong> <strong>de</strong> base. Ce type <strong>de</strong> li<strong>en</strong> nécessite souv<strong>en</strong>t <strong>la</strong>génération d’une horloge haute fréqu<strong>en</strong>ce prés<strong>en</strong>tant <strong>de</strong>s performances <strong>de</strong> plus <strong>en</strong> plusélevées <strong>en</strong> termes <strong>de</strong> gigue et <strong>de</strong> bruit <strong>de</strong> phase. Ces performances sembl<strong>en</strong>t incompatiblesavec les architectures c<strong>la</strong>ssiques d’oscil<strong>la</strong>teurs <strong>en</strong> anneaux. Par ailleurs, l’utilisation d’unoscil<strong>la</strong>teur LC prés<strong>en</strong>te beaucoup d’inconvéni<strong>en</strong>ts pour ces applications (surface, s<strong>en</strong>sibilitéaux rayonnem<strong>en</strong>ts et aux pollutions électromagnétiques …).Les oscil<strong>la</strong>teurs et notamm<strong>en</strong>t les oscil<strong>la</strong>teurs contrôlés <strong>en</strong> t<strong>en</strong>sion (VCO) sont <strong>de</strong>sblocs <strong>de</strong> base dans presque tous les blocs. En effet, ils sont utilisés pour générer les signaux<strong>de</strong> synchronisation (les horloges), pour moduler et démoduler les signaux ou détecter <strong>de</strong>ssignaux noyés dans du bruit. Les caractéristiques <strong>de</strong> l'oscil<strong>la</strong>teur dép<strong>en</strong>d<strong>en</strong>t <strong>de</strong> l'application.Pour les applications radiofréqu<strong>en</strong>ces, <strong>de</strong>s exig<strong>en</strong>ces <strong>en</strong> matière <strong>de</strong> stabilité, <strong>de</strong> bruit <strong>de</strong>phase sont nécessaires pour un bon fonctionnem<strong>en</strong>t.Ce premier chapitre rappelle quelques élém<strong>en</strong>ts <strong>de</strong> théorie <strong>de</strong>s oscil<strong>la</strong>teurs. Ensuiteun tour d’horizon <strong>de</strong>s principales architectures d’oscil<strong>la</strong>teurs utilisés <strong>en</strong>radiocommunication est effectué. Enfin, les différ<strong>en</strong>tes sources <strong>de</strong> bruit susceptibles <strong>de</strong>Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


8 Chapitre 1générer le bruit <strong>de</strong> phase ainsi que les <strong>de</strong>ux principales approches <strong>de</strong> modélisation <strong>de</strong> <strong>la</strong>conversion <strong>de</strong> ces bruits <strong>en</strong> bruit <strong>de</strong> phase (à savoir Leeson et Hajimiri-Lee) sont prés<strong>en</strong>tées.2. Les oscil<strong>la</strong>teurs dans le domaine <strong>de</strong>s radiocommunicationsUn oscil<strong>la</strong>teur est un circuit générant un signal périodique à fréqu<strong>en</strong>ce constante ouvariable. Dans ce <strong>de</strong>rnier cas, on parle souv<strong>en</strong>t d’oscil<strong>la</strong>teur contrôlé <strong>en</strong> t<strong>en</strong>sion. Commetous les systèmes oscil<strong>la</strong>nts, les oscil<strong>la</strong>teurs (électriques) transform<strong>en</strong>t une énergie à <strong>la</strong>fréqu<strong>en</strong>ce nulle <strong>en</strong> une énergie à <strong>la</strong> fréqu<strong>en</strong>ce du résonateur. Ainsi, il est possible <strong>de</strong>déterminer <strong>de</strong> façon assez générique dans quelles conditions le dispositif oscillera et sitoutefois les oscil<strong>la</strong>tions sont susceptibles <strong>de</strong> démarrer.2.1. Modélisation<strong>de</strong>s oscil<strong>la</strong>teursOn modélise souv<strong>en</strong>t un oscil<strong>la</strong>teur par le système bouclé représ<strong>en</strong>té sur <strong>la</strong> Figure 1-1. Il est composé d’une partie active du circuit (l’élém<strong>en</strong>t <strong>de</strong> gain) et d’un élém<strong>en</strong>t passif(le résonateur) bouclé par une contre-réaction positive qui réinjecte une partie du signal <strong>de</strong><strong>la</strong> sortie à l’<strong>en</strong>trée [FOU 00] [MIR 04].Figure 1-1: La boucle fermée d’un oscil<strong>la</strong>teurLa fonction <strong>de</strong> transfert est donnée par l’équation suivante :Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Les <strong>Oscil<strong>la</strong>teurs</strong> dans le Domaine <strong>de</strong> <strong>la</strong> Radiocommunication 9A(Vout( jω) G( jω)jω) = =(1-1)V ( jω) 1 − G( jω) ⋅ H( jω)inoù A(jω ) est le gain <strong>en</strong> boucle fermée, G(jω ) le gain <strong>en</strong> boucle ouverte <strong>de</strong>l’amplificateur et H(jω ) est <strong>la</strong> fonction <strong>de</strong> transfert <strong>de</strong> réseau <strong>de</strong> rétroaction.Pour déterminer les conditions d’oscil<strong>la</strong>tion. On va étudier le système <strong>en</strong> boucleouverte. Le schéma <strong>de</strong> <strong>la</strong> boucle ouverte est prés<strong>en</strong>té Figure 1-2.Figure 1-2 : La boucle ouverte d’un oscil<strong>la</strong>teurLa fonction <strong>de</strong> transfert <strong>en</strong> boucle ouverte est :VVoutin( jω)= G( jω) ⋅ H( jω)(1-2)( jω)Pour que le système oscille <strong>en</strong> régime établi, il faut que V ( jω ) = V ( jω) . Il fautdonc que G ( jω) ⋅ H( jω) = 1 . Ce<strong>la</strong> revi<strong>en</strong>t donc à satisfaire les conditions suivantesappelées critères <strong>de</strong> Barkhaus<strong>en</strong>.outin⎧⎨⎩ArgG( jω) ⋅ H( jω) = 1{ G( jω) ⋅ H( jω)} = 0[ 2kπ]k ∈ N(1-3)Les oscil<strong>la</strong>tions peuv<strong>en</strong>t démarrer si le gain <strong>de</strong> <strong>la</strong> partie active G(jω ) est supérieurau gain <strong>de</strong> <strong>la</strong> fonction <strong>de</strong> transfert du résonateur H(jω ) et croître indéfinim<strong>en</strong>t <strong>en</strong> théorie.Ce phénomène se traduit par G ( jω) ⋅ H( jω) > 1 . Le démarrage <strong>de</strong>s oscil<strong>la</strong>tions nécessite<strong>en</strong> pratique un transfert d’énergie <strong>en</strong>tre les différ<strong>en</strong>ts élém<strong>en</strong>ts du circuit. Or celui-ci estoriginellem<strong>en</strong>t dans un puits <strong>de</strong> stabilité et c’est donc le bruit intrinsèque <strong>de</strong>s composantsqui déséquilibre le dispositif. Le bruit est <strong>en</strong>suite amplifié par le gain <strong>de</strong> <strong>la</strong> boucle etl’oscil<strong>la</strong>tion peut alors démarrer.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


10 Chapitre 1Grâce à un schéma petit signal du circuit, on peut déterminer le gain (minimal) utileà l’<strong>en</strong>treti<strong>en</strong> <strong>de</strong>s oscil<strong>la</strong>tions. Il suffit <strong>en</strong>suite <strong>de</strong> concevoir un circuit d’<strong>en</strong>treti<strong>en</strong> avec ungain légèrem<strong>en</strong>t supérieur à cette valeur pour démarrer les oscil<strong>la</strong>tions. Dans <strong>la</strong> pratique, ilest préférable <strong>de</strong> dim<strong>en</strong>sionner <strong>la</strong> partie active <strong>de</strong> l’oscil<strong>la</strong>teur afin d’obt<strong>en</strong>ir un gain aumoins trois fois supérieur au gain minimal pour assurer le démarrage afin <strong>de</strong> comp<strong>en</strong>ser lesnon-linéarités prés<strong>en</strong>tes dans le circuit, [MIR 04].2.2. Les types d’oscil<strong>la</strong>teurs2.2.1. Les oscil<strong>la</strong>teurs à quartz (XO(XO)Figure 1-3: Structure <strong>de</strong> l’oscil<strong>la</strong>teur à quartzLes XOs sont <strong>de</strong>s oscil<strong>la</strong>teurs utilisant un quartz comme circuit résonnant. Ilsutilis<strong>en</strong>t les propriétés vibratoires du cristal <strong>de</strong> quartz pour générer les oscil<strong>la</strong>tions.L’avantage <strong>de</strong> ces oscil<strong>la</strong>teurs est <strong>la</strong> très gran<strong>de</strong> stabilité du montage pour un faible coût. Lefacteur <strong>de</strong> qualité <strong>de</strong>s résonateurs à quartz est beaucoup plus élevé (au moins c<strong>en</strong>t fois) quecelui <strong>de</strong>s résonateurs LC utilisant <strong>de</strong>s élém<strong>en</strong>ts discrets. Les XOs ont <strong>la</strong> particu<strong>la</strong>rité d’êtretrès précis <strong>en</strong> fréqu<strong>en</strong>ce dans le temps et <strong>en</strong> température : quelques hertz <strong>de</strong> précision àplusieurs dizaines <strong>de</strong> mégahertz <strong>de</strong> fréqu<strong>en</strong>ce <strong>de</strong> fonctionnem<strong>en</strong>t soit quelques ppm (partiespar million). Ce qui implique une très faible excursion <strong>en</strong> fréqu<strong>en</strong>ce qui ne dépasse pas0.3% <strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ce c<strong>en</strong>trale d’oscil<strong>la</strong>tion. Ce qui lui fait perdre tout intérêt pour uneapplication n’utilisant pas une fréqu<strong>en</strong>ce unique. Cep<strong>en</strong>dant cette stabilité <strong>en</strong> fréqu<strong>en</strong>ce r<strong>en</strong>dles oscil<strong>la</strong>teurs XOs très utilisés comme horloge <strong>de</strong> référ<strong>en</strong>ce (dans les montres parexemple), <strong>en</strong> sus <strong>de</strong> leur très faible bruit <strong>de</strong> phase puisque ce <strong>de</strong>rnier doit être inférieur àcelui <strong>de</strong>s circuits qu’ils cad<strong>en</strong>c<strong>en</strong>t. Ces <strong>de</strong>ux points r<strong>en</strong>d<strong>en</strong>t ce type d’oscil<strong>la</strong>teurincontournable lorsqu’il s’agit <strong>de</strong> concevoir, par exemple, une fréqu<strong>en</strong>ce <strong>de</strong> référ<strong>en</strong>ce pour<strong>de</strong>s PLLs (Phase Locked Loop) [VIT 88] [MIR 04].Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Les <strong>Oscil<strong>la</strong>teurs</strong> dans le Domaine <strong>de</strong> <strong>la</strong> Radiocommunication 11La Figure 1-3 représ<strong>en</strong>te le schéma c<strong>la</strong>ssique <strong>de</strong> l’oscil<strong>la</strong>teur à quartz. Le circuitd’<strong>en</strong>treti<strong>en</strong> a pour but <strong>de</strong> fournir <strong>de</strong> l’énergie afin <strong>de</strong> comp<strong>en</strong>ser les pertes mécaniques(principalem<strong>en</strong>t les frottem<strong>en</strong>ts) du quartz.On modélise le quartz par un circuit RLC équiva<strong>la</strong>nt prés<strong>en</strong>té dans <strong>la</strong> Figure 1-4 :Figure 1-4 : Modélisation du quartz par un circuit <strong>de</strong> RLCLa fréqu<strong>en</strong>ce <strong>de</strong> résonnance correspondant à <strong>la</strong> Figure 1-3 est :Fosc1= (1-4)C0.C(V )⋅ LC + C(V )02.2.2. Les oscil<strong>la</strong>teurs à résonateur LCLes oscil<strong>la</strong>teurs LC possèd<strong>en</strong>t le même principe <strong>de</strong> fonctionnem<strong>en</strong>t que lesoscil<strong>la</strong>teurs à quartz. Ils se bas<strong>en</strong>t sur <strong>la</strong> fréqu<strong>en</strong>ce <strong>de</strong> résonance d’un filtre LC. Il s’agittoujours <strong>de</strong> comp<strong>en</strong>ser les pertes résistives du circuit résonant (LC). La comp<strong>en</strong>sation <strong>de</strong> cespertes est assurée par le circuit actif constitué par une réaction positive. Cette comp<strong>en</strong>sationest représ<strong>en</strong>tée par une conductance négative -G dont <strong>la</strong> valeur minimale est fournie parl’équation 1-5. La Figure 1-5 prés<strong>en</strong>te <strong>la</strong> structure générale <strong>de</strong> l’oscil<strong>la</strong>teur à résonateur LC.2( R + R ) ⋅ ( 2π ⋅ F ⋅ C )G = (1-5)LC0Figure 1-5 : Structure <strong>de</strong> l’oscil<strong>la</strong>teur LCOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


12 Chapitre 1Il existe plusieurs types d’oscil<strong>la</strong>teurs LC, <strong>la</strong> différ<strong>en</strong>ce <strong>en</strong>tre ces types est <strong>la</strong>structure du circuit actif (simple ou différ<strong>en</strong>tiel) et <strong>la</strong> structure du résonateur (simple oudiffér<strong>en</strong>tiel et simple ou double paire croisée).L’avantage <strong>de</strong>s oscil<strong>la</strong>teurs LC est leur faible bruit <strong>de</strong> phase et leur faible gigue àhaute fréqu<strong>en</strong>ce. En revanche, leur surface est très gran<strong>de</strong> du fait qu’ils conti<strong>en</strong>n<strong>en</strong>t uneinductance. De plus, ces oscil<strong>la</strong>teurs consomm<strong>en</strong>t beaucoup d’énergie et ont une p<strong>la</strong>ged’utilisation re<strong>la</strong>tivem<strong>en</strong>t petite. Malgré ces inconvéni<strong>en</strong>ts, les oscil<strong>la</strong>teurs LC <strong>de</strong>meur<strong>en</strong>tles oscil<strong>la</strong>teurs les plus utilisés dans les applications <strong>de</strong> radiocommunication du fait <strong>de</strong> leurfaible bruit <strong>de</strong> phase.2.2.3. Les oscil<strong>la</strong>teurs à re<strong>la</strong>xationCe sont <strong>de</strong>s systèmes qui évolu<strong>en</strong>t alternativem<strong>en</strong>t <strong>en</strong>tre <strong>de</strong>ux états d’énergie grâce àune source extérieure d'énergie. On les appelle ainsi <strong>en</strong> raison du retour périodique dusystème vers un état <strong>de</strong> plus faible énergie. La pério<strong>de</strong> du signal dép<strong>en</strong>d <strong>de</strong>s int<strong>en</strong>sitésutilisées par certains élém<strong>en</strong>ts. L'énergie s'accumule, puis s'évacue au moy<strong>en</strong> d’un mêmeréservoir (un cond<strong>en</strong>sateur par exemple). En plus <strong>de</strong> ce réservoir, l'oscil<strong>la</strong>teur nécessite undispositif décl<strong>en</strong>chant le "remplissage" et <strong>la</strong> "vidange" du réservoir. Un oscil<strong>la</strong>teur àre<strong>la</strong>xation est réalisé à partir <strong>de</strong> capacités (variables ou non), <strong>de</strong> comparateurs à hystérésis etau minimum <strong>de</strong>ux sources <strong>de</strong> courant comme représ<strong>en</strong>té dans Figure 1-6. [DUF 08]Figure 1-6 : Structure <strong>de</strong> l’oscil<strong>la</strong>teur à re<strong>la</strong>xationLe fonctionnem<strong>en</strong>t <strong>de</strong> cet oscil<strong>la</strong>teur consiste <strong>en</strong> <strong>la</strong> charge et <strong>la</strong> décharge d’unecapacité. Une source <strong>de</strong> courant charge <strong>la</strong> capacité dont <strong>la</strong> t<strong>en</strong>sion aux bornes augm<strong>en</strong>te. Lecomparateur détecte le dépassem<strong>en</strong>t du seuil programmé et comman<strong>de</strong> <strong>la</strong> décharge <strong>de</strong> <strong>la</strong>capacité. La t<strong>en</strong>sion baisse jusqu’au seuil inférieur, le comparateur ordonne <strong>la</strong> recharge <strong>de</strong><strong>la</strong> capacité et ainsi <strong>de</strong> suite.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


14 Chapitre 1Le déphasage <strong>en</strong>tre les signaux <strong>de</strong> sortie <strong>de</strong> chaque étage est égal à∆Φ = 2π N .Plus on a besoin <strong>de</strong> phases <strong>de</strong> sortie, plus on ajoute d’inverseurs. En augm<strong>en</strong>tant le nombred’étages, on diminue <strong>la</strong> fréqu<strong>en</strong>ce maximale <strong>de</strong> l’oscil<strong>la</strong>teur.La <strong>de</strong>uxième structure dite différ<strong>en</strong>tielle basée égalem<strong>en</strong>t sur le principe <strong>de</strong> mise <strong>en</strong>casca<strong>de</strong> <strong>de</strong> N cellules (N pair ou impair ici) <strong>de</strong> retard avec une inversion dans <strong>la</strong> bouclecomme le montre le schéma <strong>de</strong> <strong>la</strong> Figure 1-8.Figure 1-8 : Structure <strong>de</strong> l’oscil<strong>la</strong>teur <strong>en</strong> anneau différ<strong>en</strong>tielCe type d’oscil<strong>la</strong>teur est surtout utilisé dans <strong>de</strong>s applications numériques <strong>en</strong> tantqu’horloge <strong>de</strong> cad<strong>en</strong>cem<strong>en</strong>t ou pour <strong>de</strong>s applications qui nécessit<strong>en</strong>t beaucoup <strong>de</strong> phases.Leur restriction d’utilisation est principalem<strong>en</strong>t due à <strong>de</strong>s performances <strong>en</strong> bruit <strong>de</strong> phasetrès inférieures aux exig<strong>en</strong>ces <strong>de</strong>s standards <strong>de</strong> communications sans fil. Cep<strong>en</strong>dant leursurface sur silicium est très faible.3. Bruit <strong>de</strong> phase dans les oscil<strong>la</strong>teursLe bruit dans les oscil<strong>la</strong>teurs et, plus précisém<strong>en</strong>t, le bruit <strong>de</strong> phase est le paramètrele plus important à pr<strong>en</strong>dre <strong>en</strong> considération dans <strong>la</strong> conception <strong>de</strong>s oscil<strong>la</strong>teurs. Afin <strong>de</strong>mieux compr<strong>en</strong>dre l’importance que peuv<strong>en</strong>t avoir les performances <strong>en</strong> bruit d’unoscil<strong>la</strong>teur, il est nécessaire <strong>de</strong> connaître quelques points sur les radiocommunications.L’espace <strong>de</strong>s ban<strong>de</strong>s <strong>de</strong> fréqu<strong>en</strong>ce est découpé et attribué à plusieurs standards <strong>de</strong>communication. Beaucoup <strong>de</strong> standards subdivis<strong>en</strong>t leur propre espace <strong>en</strong> <strong>de</strong>ux ban<strong>de</strong>s :l’une pour l’émission (Tx), l’autre pour <strong>la</strong> réception (Rx). Chaque ban<strong>de</strong> est subdivisée <strong>en</strong>canaux qui sont attribués à un ou plusieurs utilisateurs.On va pr<strong>en</strong>dre comme exemple le standard GSM (Ext<strong>en</strong><strong>de</strong>d Global System forMobile Communications) pour mieux compr<strong>en</strong>dre l’importance <strong>de</strong> ce paramètre. Dans leGSM, <strong>la</strong> ban<strong>de</strong> d’émission Tx est située dans l’intervalle [880 MHz - 915 MHz] et <strong>la</strong> ban<strong>de</strong>Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Les <strong>Oscil<strong>la</strong>teurs</strong> dans le Domaine <strong>de</strong> <strong>la</strong> Radiocommunication 15<strong>de</strong> réception Rx est située dans l’intervalle [925 MHz - 960 MHz] et les canaux sont <strong>la</strong>rges<strong>de</strong> 200 kHz comme l’illustre <strong>la</strong> Figure 1-9 [RED 95].Figure 1-9 : Les ban<strong>de</strong>s Tx et Rx du GSMUn niveau <strong>de</strong> bruit <strong>de</strong> phase élevé dans une ban<strong>de</strong> peut perturber lescommunications situées sur une ban<strong>de</strong> adjac<strong>en</strong>te. Dans notre exemple (EGSM), le bruit <strong>de</strong>phase à 11 MHz d’offset <strong>de</strong> <strong>la</strong> porteuse du signal émis dans le <strong>de</strong>rnier canal <strong>de</strong> <strong>la</strong> ban<strong>de</strong>d’émission Tx (915 MHz), va se retrouver dans <strong>la</strong> ban<strong>de</strong> <strong>de</strong> réception Rx (915+11 = 926MHz). Il va donc brouiller le signal <strong>de</strong> réception qui est généralem<strong>en</strong>t plus faible que l<strong>en</strong>iveau à l’émission <strong>de</strong>puis le téléphone (Figure 1-10) [MIR 04].Figure 1-10 : Brouil<strong>la</strong>ge du signal par le bruit <strong>de</strong> phase3.1. Principe <strong>de</strong> génération du bruit dans les oscil<strong>la</strong>teursLe bruit <strong>de</strong>s oscil<strong>la</strong>teurs naît du bruit électronique <strong>de</strong>s composants le constituant. Lebruit <strong>de</strong> phase est un phénomène dû à <strong>la</strong> conversion <strong>de</strong>s différ<strong>en</strong>tes sources <strong>de</strong> bruit dans lessemi-conducteurs autour <strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ce porteuse générant ainsi une modu<strong>la</strong>tion <strong>de</strong> phase.La conséqu<strong>en</strong>ce est <strong>la</strong> variation aléatoire <strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ce du signal <strong>de</strong> sortie <strong>de</strong> l’oscil<strong>la</strong>teur.Dans le cas <strong>de</strong>s radiocommunications, une injection <strong>de</strong> bruit se retrouve sur l’<strong>en</strong>semble <strong>de</strong><strong>la</strong> chaîne <strong>de</strong> traitem<strong>en</strong>t du signal.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


16 Chapitre 13.2. Bruit dans les semi-conducteursIl existe à l’intérieur <strong>de</strong>s circuits électroniques <strong>de</strong> nombreux phénomènes aléatoires.Les incertitu<strong>de</strong>s qui <strong>en</strong>tour<strong>en</strong>t le circuit font aussi interv<strong>en</strong>ir <strong>de</strong>s composantes extérieures aumontage telles que les perturbations dans le substrat. L’<strong>en</strong>semble <strong>de</strong> ces évènem<strong>en</strong>ts r<strong>en</strong>d <strong>la</strong>réduction du bruit dans un circuit particulièrem<strong>en</strong>t complexe et nécessite d’y consacrer une<strong>la</strong>rge partie du temps <strong>de</strong>stiné à <strong>la</strong> conception. [LEE 98]Figure 1-11 : Le bruit dans le transistorLe bruit dans le transistor MOS se décompose <strong>en</strong> <strong>de</strong>ux contributions principales : lebruit thermique et le bruit <strong>de</strong> flicker (ou bruit <strong>en</strong> 1/f) comme le montre <strong>la</strong> Figure 1-11. Lebruit thermique est responsable du p<strong>la</strong>ncher du bruit <strong>en</strong> hautes fréqu<strong>en</strong>ces tandis que le bruit<strong>de</strong> flicker se traduit par une remontée <strong>de</strong> bruit <strong>en</strong> basses fréqu<strong>en</strong>ces. La sous sectionsuivante détaillera les différ<strong>en</strong>tes sources <strong>de</strong> bruit dans le MOS [LAG 05] [COR 04].3.3. Sources <strong>de</strong> bruit3.3.1. Bruit <strong>de</strong> diffusionLe bruit <strong>de</strong> diffusion dans un composant est lié aux propriétés intrinsèques dusubstrat. Il est causé par les interactions <strong>en</strong>tre les électrons circu<strong>la</strong>nt dans le circuit et leréseau cristallin du semi-conducteur. On peut dénombrer trois types <strong>de</strong> bruit <strong>de</strong> diffusion: Le bruit thermique : il s’agit d’un bruit b<strong>la</strong>nc. Il est provoqué par les collisions<strong>de</strong>s porteurs <strong>de</strong> charge. Sa d<strong>en</strong>sité spectrale <strong>de</strong> puissance est constante quelle que soit <strong>la</strong>fréqu<strong>en</strong>ce à <strong>la</strong>quelle le circuit fonctionne. Ce bruit définit le p<strong>la</strong>ncher <strong>de</strong> bruit. Dans lesOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Les <strong>Oscil<strong>la</strong>teurs</strong> dans le Domaine <strong>de</strong> <strong>la</strong> Radiocommunication 17transistors MOS, il existe <strong>de</strong>ux sources <strong>de</strong> bruit thermique. L’une est <strong>en</strong>tre le drain et <strong>la</strong>source tandis que l’autre est <strong>en</strong>tre <strong>la</strong> grille et <strong>la</strong> source. Le bruit quantique : ce bruit est égalem<strong>en</strong>t lié à <strong>la</strong> physique du semiconducteurmais n’intervi<strong>en</strong>t que pour une gamme <strong>de</strong> fréqu<strong>en</strong>ce très élevée. Le bruit d’électrons chauds : il est généré <strong>en</strong> fonction <strong>de</strong>s conditions <strong>de</strong>fonctionnem<strong>en</strong>t du semi-conducteur. Le phénomène qui <strong>en</strong>tre <strong>en</strong> action est une variation<strong>de</strong> <strong>la</strong> mobilité <strong>de</strong>s électrons et du coeffici<strong>en</strong>t <strong>de</strong> diffusion sous l’effet d’un champélectrique.3.3.2. Bruit <strong>en</strong> excèsLe nom <strong>de</strong> ce type <strong>de</strong> bruit vi<strong>en</strong>t du fait qu’il s’ajoute au bruit se trouvantnaturellem<strong>en</strong>t dans les semi-conducteurs et qui est prévisible physiquem<strong>en</strong>t. On peut listertrois sortes <strong>de</strong> bruit <strong>en</strong> excès : le bruit <strong>de</strong> scintil<strong>la</strong>tion (ou bruit <strong>de</strong> « flicker »), le bruit <strong>de</strong>génération – recombinaison et le bruit <strong>en</strong> créneau (RTS Random Telegraph Signals).Le bruit <strong>de</strong> scintil<strong>la</strong>tion : appelé aussi bruit <strong>de</strong> « flicker » ou bruit <strong>en</strong> 1/f. Sesorigines sont difficilem<strong>en</strong>t explicables mais le phénomène peut être quantifié. Enl’abs<strong>en</strong>ce d’une théorie permettant <strong>de</strong> modéliser ce bruit, l’équation décrivant le bruit<strong>de</strong> scintil<strong>la</strong>tion conti<strong>en</strong>t toujours un paramètre empirique. Il a ainsi été reconnu que<strong>la</strong> raison <strong>de</strong> <strong>la</strong> prés<strong>en</strong>ce <strong>de</strong> ce bruit est une variation <strong>de</strong> <strong>la</strong> conductivité du matériau.Pour décrire cette variation, il existe <strong>de</strong>ux hypothèses : Mc Worther explique <strong>la</strong>prés<strong>en</strong>ce du bruit <strong>en</strong> 1/f par <strong>la</strong> variation du nombre <strong>de</strong> porteurs tandis que Hoogel’explique par un changem<strong>en</strong>t <strong>de</strong> <strong>la</strong> mobilité.Le bruit <strong>de</strong> génération – recombinaison : il traduit les phénomènes physiques auniveau du semi-conducteur qui génèr<strong>en</strong>t un bruit <strong>de</strong> par leur impact sur le nombre <strong>de</strong>porteurs. La cause <strong>de</strong> ce bruit a pour origine soit <strong>la</strong> génération – recombinaison <strong>de</strong>paires d’électrons – trou, soit <strong>la</strong> libération et le piégeage <strong>de</strong> porteurs.Le bruit <strong>en</strong> créneau ou RTS : il tire son nom <strong>de</strong> <strong>la</strong> forme d’on<strong>de</strong> dont il est <strong>la</strong> causeet qui ressemble à <strong>de</strong>s signaux carrés bruités dont <strong>la</strong> fréqu<strong>en</strong>ce varie. Il porteégalem<strong>en</strong>t les noms <strong>de</strong> « burst noise » et <strong>de</strong> bruit <strong>de</strong> pop-corn. L’origine <strong>de</strong> ce bruitest égalem<strong>en</strong>t difficile à id<strong>en</strong>tifier et l’hypothèse généralem<strong>en</strong>t ret<strong>en</strong>ue est qu’il s’agitd’une contamination du semi-conducteur par <strong>de</strong>s ions métalliques.3.3.3. Bruit <strong>de</strong> jonction <strong>de</strong>s semi-conducteursIl existe <strong>de</strong>ux types <strong>de</strong> bruit <strong>de</strong> jonction : le bruit <strong>de</strong> gr<strong>en</strong>aille et le bruit d’ava<strong>la</strong>nche.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


18 Chapitre 1Le bruit <strong>de</strong> gr<strong>en</strong>aille (« shot noise ») : il a été décrit par Schottky <strong>en</strong> 1918 ; son nomprovi<strong>en</strong>t du bruit que fait <strong>la</strong> gr<strong>en</strong>aille (« buckshot ») <strong>en</strong> tombant sur le sol. Sonorigine est liée à <strong>la</strong> traversée d’une barrière <strong>de</strong> pot<strong>en</strong>tiel par <strong>de</strong>s porteurs <strong>de</strong> charges.Le bruit d’ava<strong>la</strong>nche : il est causé par <strong>la</strong> rupture <strong>de</strong>s liaisons atomiques lorsqu’untrop fort champ électrique est appliqué au niveau d’une jonction po<strong>la</strong>risée <strong>en</strong> inverse.Ce bruit est caractéristique <strong>de</strong> l’effet Z<strong>en</strong>er, et sa d<strong>en</strong>sité spectrale <strong>de</strong> puissance estsemb<strong>la</strong>ble à celle du bruit <strong>de</strong> gr<strong>en</strong>aille multipliée par un facteur multiplicatif compris<strong>en</strong>tre 1 et 10.3.4. Le bruit <strong>de</strong> phase dans les oscil<strong>la</strong>teursLe bruit <strong>de</strong> phase se manifeste par <strong>de</strong>s fluctuations aléatoires <strong>de</strong> <strong>la</strong> pério<strong>de</strong> du signal<strong>de</strong> sortie <strong>de</strong> l’oscil<strong>la</strong>teur. Ces fluctuations sont dues à différ<strong>en</strong>tes sources <strong>de</strong> bruit éta<strong>la</strong>ntune d<strong>en</strong>sité spectrale <strong>de</strong> puissance DSP(f) autour <strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ce porteuse f 0 . Le bruit <strong>de</strong>phase est généralem<strong>en</strong>t exprimé <strong>en</strong> ban<strong>de</strong> <strong>la</strong>térale unique (<strong>en</strong> ang<strong>la</strong>is SSB : Single Si<strong>de</strong>dBand) ram<strong>en</strong>é dans une ban<strong>de</strong> <strong>de</strong> mesure <strong>de</strong> 1 Hz. Cette gran<strong>de</strong>ur notée L s’exprime par lerapport <strong>en</strong>tre <strong>la</strong> puissance dans une ban<strong>de</strong> <strong>la</strong>térale <strong>de</strong> bruit <strong>de</strong> phase et <strong>la</strong> puissance <strong>de</strong> <strong>la</strong>porteuse du signal :⎛ P ⎞f0+ f m +L avec P = 2DSP( f )df (1-7)n( f ) =⎜⎟ m,B 10log⎝ P0⎠n∫0BBf + fm−2La puissance du bruit dans une ban<strong>de</strong> <strong>de</strong> fréqu<strong>en</strong>ce B à <strong>la</strong> distance f m <strong>de</strong> <strong>la</strong> porteuse.P 0 désigne <strong>la</strong> puissance <strong>de</strong> <strong>la</strong> porteuse. Étant donné que L(f m ) s’exprime <strong>en</strong> puissance parrapport à <strong>la</strong> porteuse par ban<strong>de</strong> <strong>de</strong> fréqu<strong>en</strong>ce, son unité est <strong>en</strong> dBc/Hz (Figure 1-12). Lebruit <strong>de</strong> phase <strong>en</strong> ban<strong>de</strong> <strong>la</strong>térale unique L est défini <strong>en</strong> dBc/Hz lorsque <strong>la</strong> puissance P n estdéterminée sur une ban<strong>de</strong> <strong>de</strong> <strong>la</strong>rgeur 1 Hz [HOU 06].Figure 1-12 : Brouil<strong>la</strong>ge du signal par le bruit <strong>de</strong> phaseOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Les <strong>Oscil<strong>la</strong>teurs</strong> dans le Domaine <strong>de</strong> <strong>la</strong> Radiocommunication 193.5. Le modèle <strong>de</strong> Leeson.Le modèle <strong>de</strong> Leeson (du nom <strong>de</strong> son créateur D. B. Leeson) est un modèle linéaire<strong>de</strong> prédiction du bruit <strong>de</strong> phase défini par l’équation suivante [LEES 66] :L⎛ ⎡⎜ 1 ⎛⎢⎜⎜f) = 10×log 1+2 ⎢⎝ ⎣ ⎝2Qf2( f off0c(1-8)offoff⎞ ⎛⎟ ⎜f1 +⎠ ⎝f⎞⎛⎟FkT0⎜⎠⎝Pdiss⎤⎞⎞ ⎟⎟⎥⎥⎟⎠⎦⎠ Q : Facteur <strong>de</strong> qualité <strong>en</strong> charge f0 : Fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion. foff : Fréqu<strong>en</strong>ce <strong>de</strong> l’offset fc : Fréqu<strong>en</strong>ce <strong>de</strong> coupure F : Facteur <strong>de</strong> bruit k : La constant <strong>de</strong> Boltzmann. T0 : Température (290K). Pdiss : Puissance consommé(a)Le bruit dans le transistor(b) Le bruit dans l’oscil<strong>la</strong>teurFigure 1-13 : Forme du bruit <strong>de</strong> phase d’un oscil<strong>la</strong>teurLe phénomène <strong>de</strong> conversion <strong>de</strong> ce bruit d’amplitu<strong>de</strong> <strong>en</strong> bruit <strong>de</strong> phase est complexeet a différ<strong>en</strong>tes origines. La zone 1/f 3 traduit <strong>la</strong> conversion du bruit <strong>en</strong> 1/f. La zone <strong>en</strong> 1/f ²dénote <strong>la</strong> conversion du bruit b<strong>la</strong>nc par l’oscil<strong>la</strong>teur (Figure 1-13). Dans <strong>la</strong> zone du bruitp<strong>la</strong>ncher (ou bruit thermique), on considère que les effets <strong>de</strong> <strong>la</strong> conversion n’intervi<strong>en</strong>n<strong>en</strong>tplus [HOU 06] [COR 04] avec :f 3 = (1-9)f1 f 02QchLe facteur <strong>de</strong> qualité a plusieurs définitions. La définition <strong>la</strong> plus générale est 2π foisle ratio <strong>de</strong> l’énergie stockée et <strong>de</strong> l’énergie dissipée par cycle [RAZ 96].Energie Stockée2πEnergie Dissipée par CycleQ = (1-10)Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


20 Chapitre 1ou aussiω2Q0dφdω= (1-11)L’approximation pour un système linéaire faite par B.RAZAVI dans [RAZ 96], onpeut calculer le facteur <strong>de</strong> qualité <strong>en</strong> boucle ouverte par <strong>la</strong> formule suivante :Q22ω0⎛ dA ⎞ ⎛ dφ= + avec ( jω) A( ω) exp jφ( ω)2⎜ ⎟⎝ dω ⎠⎞⎜ ⎟⎝ dω ⎠( )H = (1-12)Avec A, φ and ω 0 est l’amplitu<strong>de</strong>, <strong>la</strong> phase et pulsation du signal.3.6. La théorie <strong>de</strong> Lee-HajimiriCe modèle, qui a été très souv<strong>en</strong>t employé, prés<strong>en</strong>te l’inconvéni<strong>en</strong>t d’avoir unfacteur semi-empirique F qui n’est pas déterminé analytiquem<strong>en</strong>t et qu’il faut donc mesurerou simuler [LEE 00] [HAJ 98]. On ne peut ainsi pas prévoir ses variations lorsque l’onmodifie le montage. Il est important <strong>de</strong> noter que le bruit <strong>de</strong> phase d’un oscil<strong>la</strong>teur dép<strong>en</strong>d<strong>de</strong> l’instant auquel intervi<strong>en</strong>t <strong>la</strong> perturbation selon Hajimiri [HAJ 99].On peut donc écrire <strong>la</strong> sortie d’un oscil<strong>la</strong>teur sous <strong>la</strong> forme :( ω t + ϕ( t ))Vout( t ) = A( t ). f0(1-13)avec f qui est une fonction 2π-périodique, φ(t) et A(t) représ<strong>en</strong>tant les variations <strong>de</strong>phase et d’amplitu<strong>de</strong> dues au bruit.Pour caractériser le bruit <strong>de</strong> phase <strong>de</strong> l’oscil<strong>la</strong>teur, on utilise <strong>la</strong> fonction Γ <strong>de</strong>s<strong>en</strong>sibilité impulsionnelle ISF (Impulse s<strong>en</strong>sitivity function <strong>en</strong> ang<strong>la</strong>is) développée parHajimiri [HAJ 98] [HAJ 99]. Elle s’appuie sur le fait que <strong>la</strong> réponse <strong>de</strong> l’oscil<strong>la</strong>teur dép<strong>en</strong>d<strong>de</strong> l’instant auquel est appliquée <strong>la</strong> perturbation. La dép<strong>en</strong>dance <strong>de</strong> l’ISF au temps pourraitêtre remarquée on considérant les <strong>de</strong>ux cas extrêmes (Figure 1-14) :Quant une impulsion est injectée p<strong>en</strong>dant <strong>la</strong> transition, elle provoque un déca<strong>la</strong>ge <strong>de</strong>phase <strong>la</strong>rge (Figure 1-14.b) .Quant une impulsion est injectée p<strong>en</strong>dant que <strong>la</strong> sortie est saturée à VDD ou GND,cette impulsion à un effet minimal sur <strong>la</strong> phase <strong>de</strong> l’oscil<strong>la</strong>teur (Figure 1-14.a).Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Les <strong>Oscil<strong>la</strong>teurs</strong> dans le Domaine <strong>de</strong> <strong>la</strong> Radiocommunication 21Figure 1-14 : Conséqu<strong>en</strong>ces d’un pic sur <strong>la</strong> sortie du l’oscil<strong>la</strong>teur (a) p<strong>en</strong>dant <strong>la</strong> transition(b) p<strong>en</strong>dant que <strong>la</strong> sortie est saturée à VDD ou GNDPlus <strong>la</strong> valeur <strong>de</strong> Γ est gran<strong>de</strong>, plus le circuit est s<strong>en</strong>sible à une perturbation. Commecette fonction est liée à <strong>la</strong> t<strong>en</strong>sion d’oscil<strong>la</strong>tion, elle est périodique et peut donc s’écrire sous<strong>la</strong> forme d’une série <strong>de</strong> Fourier:cΓ = ∑ ∞ +2 =0( ω τ) + c ⋅cos( nω τ )0 n0θnn 1(1-14)On peut par <strong>la</strong> suite exprimer <strong>la</strong> réponse impulsionnelle d’un oscil<strong>la</strong>teur à partir <strong>de</strong>cette fonction :hφ( t,τ)( ω0τ) u(t − τ)Γ= (1-15)qAvec q max =C.V max qui représ<strong>en</strong>te <strong>la</strong> charge maximale et <strong>la</strong> fonction u qui est <strong>la</strong>fonction échelon appliquée à l’instant τ.On <strong>en</strong> déduit <strong>la</strong> phase φ(t) :+∞maxt( ω τ )Γ0φ( t) =∫hφ ( t, τ ) i( τ )dτ=∫i( τ ) dτ(1-16)q−∞où i(τ) représ<strong>en</strong>te le courant injecté par <strong>la</strong> source <strong>de</strong> bruit.Le bruit <strong>de</strong> phase <strong>en</strong> 1/f 2 est causé par <strong>la</strong> conversion du bruit b<strong>la</strong>nc (bruit thermique)et il peut être modélisé par l’équation suivante :−∞maxOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


22 Chapitre 1⎛⎞L ω (1-17){ }⎜⎜2 2Γrmsin∆f= ⋅⎟ ⎟ off10 log22⎝qmax2 ⋅ωoff⎠oùi 2 n∆ f représ<strong>en</strong>te <strong>la</strong> d<strong>en</strong>sité spectrale <strong>de</strong> <strong>la</strong> puissance <strong>de</strong> <strong>la</strong> somme <strong>de</strong>s sources dubruit b<strong>la</strong>nc, q max <strong>la</strong> charge maximale au nœud du circuit telle que q max =C éq V max (avec C éqest <strong>la</strong> capacité équival<strong>en</strong>te et V max est <strong>la</strong> dynamique maximale <strong>en</strong> t<strong>en</strong>sion au nœudconsidéré) et Γ rms <strong>la</strong> valeur efficace <strong>de</strong> <strong>la</strong> fonction <strong>de</strong> s<strong>en</strong>sibilité impulsionnelle donnée parl’équation suivante :1 2π( x) dx2Γrms=2 ∫Γ ⋅(1-18)π 0Le bruit <strong>en</strong> 1/f 3 est issu <strong>de</strong> <strong>la</strong> conversion subie par le bruit <strong>en</strong> 1/f. Ce bruit <strong>de</strong> phaseest obt<strong>en</strong>u à l’ai<strong>de</strong> <strong>de</strong> <strong>la</strong> composante continue <strong>de</strong> <strong>la</strong> fonction Γ (ISF) qui dép<strong>en</strong>d <strong>de</strong> <strong>la</strong>symétrie du montage. Une bonne symétrie sur les fronts montants et <strong>de</strong>sc<strong>en</strong>dants permet <strong>de</strong>réduire cette contribution <strong>en</strong> bruit <strong>de</strong> phase. La fréqu<strong>en</strong>ce à partir <strong>de</strong> <strong>la</strong>quelle ce bruit n’estplus dominant est définie par l’équation (1-19) := ω1 ⎛⋅ ⎜c031 f 1 f2 ⎜ ⎟ ⎝ Γrms⎠⎞210Γdc ∫⋅ (1-19)πω avec c = = Γ ( x) dxoù c 0 est <strong>la</strong> composante continue <strong>de</strong> <strong>la</strong> décomposition <strong>en</strong> série <strong>de</strong> Fourier <strong>de</strong> Γ.2π0A partir <strong>de</strong>s équations (1-17), (1-18) et (1-19), on obti<strong>en</strong>t alors l’équation suivantepour le bruit <strong>de</strong> phase dans cette région (<strong>en</strong> 1/f 2 ) :{ }⎟ ⎞⎜ ⎛ 2 2c0i ∆fω1fL ω =⋅noff10log⋅(1-20)22⎝qmax8 ⋅ωωoff off ⎠Le modèle <strong>de</strong> Hajimiri-Lee est plus précis que le modèle <strong>de</strong> Leeson, mais il est plusdifficile à mettre <strong>en</strong> œuvre. La fonction ISF ainsi que les différ<strong>en</strong>tes sources <strong>de</strong> bruit sontdifficiles à déterminer. La fonction ISF peut être déterminée par simu<strong>la</strong>tions temporelles. Ilfaut cep<strong>en</strong>dant simuler l’impact d’une impulsion <strong>de</strong> courant sur le signal <strong>en</strong> tout point ducircuit.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Les <strong>Oscil<strong>la</strong>teurs</strong> dans le Domaine <strong>de</strong> <strong>la</strong> Radiocommunication 233.7. Le facteur <strong>de</strong> mérite.Le facteur <strong>de</strong> mérite est un paramètre très important pour comparer les performances<strong>en</strong> bruit <strong>de</strong> phase dans les oscil<strong>la</strong>teurs. Le bruit <strong>de</strong> phase dans les oscil<strong>la</strong>teurs dép<strong>en</strong>d <strong>de</strong> <strong>la</strong>fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion et <strong>de</strong> <strong>la</strong> consommation. Il permet <strong>de</strong> comparer les oscil<strong>la</strong>teurs <strong>en</strong>normalisant le bruit <strong>de</strong> phase par rapport à <strong>la</strong> fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion et à <strong>la</strong> puissanceconsommée. Il se calcule à l’ai<strong>de</strong> <strong>de</strong> l’équation (1-21). Plus <strong>la</strong> valeur absolue du facteur <strong>de</strong>mérite est forte (plus sa valeur <strong>en</strong> dB est négative), plus l’oscil<strong>la</strong>teur est stable <strong>en</strong> fréqu<strong>en</strong>ce[BUN 01].FOM= L(⎛ ⎞⎜f0⎟⎛ Pdiss⎞foff ) − 20log + 10log⎜ ⎟(1-21)⎝ foff⎠ ⎝ 1mW ⎠4. ConclusionCe chapitre fait, dans un premier temps, un tour d’horizon <strong>de</strong>s architectures <strong>de</strong>soscil<strong>la</strong>teurs les plus fréquemm<strong>en</strong>t utilisés <strong>en</strong> microélectronique. Aujourd’hui dans ledomaine <strong>de</strong>s radiocommunications, on cherche à concevoir <strong>de</strong>s oscil<strong>la</strong>teurs qui sont trèsstables <strong>en</strong> fréqu<strong>en</strong>ce, qui consomm<strong>en</strong>t peu et ont une surface très faible. Toutes cescaractéristiques sont très difficiles à obt<strong>en</strong>ir avec un même circuit. L’oscil<strong>la</strong>teur qui permetd’obt<strong>en</strong>ir aisém<strong>en</strong>t un bruit <strong>de</strong> phase répondant aux exig<strong>en</strong>ces <strong>de</strong>s standards <strong>de</strong>radiocommunication est l’oscil<strong>la</strong>teur utilisant un résonateur LC. Cep<strong>en</strong>dant, l’utilisationd’un oscil<strong>la</strong>teur LC prés<strong>en</strong>te beaucoup d’inconvéni<strong>en</strong>ts pour ces applications;principalem<strong>en</strong>t son coût <strong>en</strong> surface, sa consommation importante et sa s<strong>en</strong>sibilité auxrayonnem<strong>en</strong>ts électromagnétiques. Les oscil<strong>la</strong>teurs <strong>en</strong> anneaux c<strong>la</strong>ssiques prés<strong>en</strong>t<strong>en</strong>tl’avantage d’avoir une surface <strong>de</strong> silicium très faible et consomm<strong>en</strong>t beaucoup moins queles oscil<strong>la</strong>teurs LC. Cep<strong>en</strong>dant leurs performances <strong>en</strong> bruit <strong>de</strong> phase sont très inférieures àl’exig<strong>en</strong>ce <strong>de</strong>s standards <strong>de</strong> communications sans fil.Dans une <strong>de</strong>uxième partie, les <strong>de</strong>ux théories les plus répandues modélisant le bruit<strong>de</strong> phase sont prés<strong>en</strong>tées (Hajimiri-Lee et Leeson). La fonction Γ (ISF) proposée parHajimiri et Lee permet d’id<strong>en</strong>tifier les zones et les instants s<strong>en</strong>sibles au bruit. Elle permetune bonne modélisation du bruit <strong>de</strong> phase mais reste difficile à mettre <strong>en</strong> œuvre (à cause <strong>de</strong>son coeffici<strong>en</strong>t empirique F) contrairem<strong>en</strong>t au modèle <strong>de</strong> Leeson, qui est plus simple et pluslimité.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


24 Chapitre 1Ces théories nous permett<strong>en</strong>t <strong>de</strong> définir <strong>de</strong>s pistes <strong>de</strong> recherche pour améliorer lesperformances <strong>de</strong>s circuits. La théorie <strong>de</strong> Leeson nous suggère <strong>de</strong>ux axes principaux afind’améliorer les performances <strong>en</strong> bruit <strong>de</strong>s oscil<strong>la</strong>teurs :augm<strong>en</strong>ter <strong>la</strong> puissance consommée, c’est-à-dire accroître <strong>la</strong> taille <strong>de</strong>s transistors,augm<strong>en</strong>ter le facteur <strong>de</strong> qualité du circuit Q, ce qui revi<strong>en</strong>t à réduire les pertesrésistives dans le résonateur <strong>en</strong> améliorant <strong>la</strong> qualité <strong>de</strong>s composants passifs (<strong>en</strong>particulier l’inductance). Dans les structures <strong>en</strong> anneau, on peut égalem<strong>en</strong>t améliorerle facteur <strong>de</strong> qualité <strong>en</strong> symétrisant les fronts montants et <strong>de</strong>sc<strong>en</strong>dants.Le modèle <strong>de</strong> Hajimiri-Lee nous démontre que l’instant d’injection du bruit est unpoint important dans l’amélioration du bruit <strong>de</strong> phase dans les oscil<strong>la</strong>teurs. Un bruit injectép<strong>en</strong>dant <strong>la</strong> transition provoque un important déca<strong>la</strong>ge <strong>de</strong> phase. Par conséqu<strong>en</strong>t, réduire letemps <strong>de</strong> commutation minimisera le bruit <strong>de</strong> phase. De plus, <strong>la</strong> fonction ISF nous donneune information sur l’importance <strong>de</strong> <strong>la</strong> symétrie <strong>de</strong>s fronts montants et <strong>de</strong>s fronts<strong>de</strong>sc<strong>en</strong>dants. Plus ces fronts sont symétriques, plus les performances <strong>en</strong> bruit <strong>de</strong> phasepourront être améliorées (équation (1-19)). Au final, ce<strong>la</strong> revi<strong>en</strong>t à améliorer le facteur <strong>de</strong>qualité.La technologie asynchrone semble être alternative à l’approche synchrone <strong>en</strong>général et dans le domaine <strong>de</strong> radiocommunication <strong>en</strong> particulier. Le chapitre 2 prés<strong>en</strong>te lesconcepts <strong>de</strong> base, les propriétés et les avantages <strong>de</strong> <strong>la</strong> technologie asynchrone ainsi quel’adéquation <strong>en</strong>tre les propriétés <strong>de</strong> cette technologie et les besoins dans le domaine <strong>de</strong>sradiocommunications.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


La conception asynchrone 25Chapitre 2.La conception asynchroneUne alternative prometteuse pour <strong>la</strong> conception <strong>de</strong>s circuits RF1. IntroductionLes circuits asynchrones constitu<strong>en</strong>t une c<strong>la</strong>sse <strong>de</strong> circuits, dont le contrôle ou leséqu<strong>en</strong>cem<strong>en</strong>t est assuré par d’autres métho<strong>de</strong>s que le recours à un signal périodiquedistribué global. C’est le cas <strong>de</strong>s circuits synchrones. Cette distinction <strong>en</strong>tre les circuitssynchrones et asynchrones n’existait pas <strong>en</strong>core quand <strong>la</strong> conception numérique acomm<strong>en</strong>cé. Très vite le style conception synchrone - plus simple - s’est imposé face au styleasynchrone pour répondre aux défis d’intégrations et aux besoins du calcul croissant.L’étu<strong>de</strong> <strong>de</strong>s circuits asynchrones a comm<strong>en</strong>cé dans les années 1950 par D. E. Mulleret W. S. Bartky <strong>de</strong> l’Université d’Illinois [MUL 59]. Il a été le premier à avoir proposé unprotocole <strong>de</strong> communication qui associe un signal <strong>de</strong> validité aux données. D.A. Huffman aégalem<strong>en</strong>t été un contributeur important <strong>en</strong> travail<strong>la</strong>nt sur le « switching theory ». Il a été lepremier à concevoir <strong>de</strong>s machines d’états asynchrones [HUF 54].En 1966, W.A. C<strong>la</strong>rk <strong>de</strong> l’université <strong>de</strong> Washington à St Louis a <strong>la</strong>ncé le projet «theMacromodule Project», démontrant les avantages <strong>de</strong> <strong>la</strong> conception asynchrone pour <strong>la</strong>conception <strong>de</strong> machines spécialisées par simple assemb<strong>la</strong>ge <strong>de</strong> blocs fonctionnels [CLA67]. Dans les années 70, C.L. Seitz a proposé l’utilisation <strong>de</strong> «m-net» qui est un formalismetrès proche <strong>de</strong>s réseaux <strong>de</strong> Petri [SEI 70] pour modéliser le fonctionnem<strong>en</strong>t <strong>de</strong>s circuitsOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


26 Chapitre 2asynchrones. Il est à l’origine <strong>de</strong> <strong>la</strong> construction du premier calcu<strong>la</strong>teur «flot <strong>de</strong> données»fonctionnel [DAV 78].Enfin <strong>en</strong> 1989, le célèbre article d’Ivan E. Suther<strong>la</strong>nd «Micropipelines», [SUT 89], a<strong>la</strong>rgem<strong>en</strong>t contribué à l’intérêt croissant pour <strong>la</strong> conception <strong>de</strong>s circuits asynchrones.Depuis, les travaux sur <strong>la</strong> conception et <strong>la</strong> réalisation <strong>de</strong>s circuits et systèmes asynchronesne cess<strong>en</strong>t <strong>de</strong> s’int<strong>en</strong>sifier.La technologie asynchrone semble être une alternative à l’approche synchrone <strong>en</strong>général et dans le domaine <strong>de</strong>s radiocommunications <strong>en</strong> particulier. Ce chapitre prés<strong>en</strong>te lesconcepts <strong>de</strong> base, les propriétés et les avantages <strong>de</strong> <strong>la</strong> technologie asynchrone ainsi quel’adéquation <strong>en</strong>tre les propriétés <strong>de</strong> cette technologie et les besoins dans le domaine <strong>de</strong>sradiocommunications. Ce chapitre est <strong>la</strong>rgem<strong>en</strong>t inspiré du rapport technique du <strong>la</strong>boratoire<strong>TIMA</strong> <strong>de</strong> Marc R<strong>en</strong>audin [REN 00].2. Les concepts <strong>de</strong> base <strong>de</strong> <strong>la</strong> conception asynchroneLa conception <strong>de</strong> <strong>la</strong> plupart <strong>de</strong>s circuits intégrés logiques est facilitée par <strong>de</strong>uxhypothèses fondam<strong>en</strong>tales : les signaux manipulés sont binaires et le temps est discrétisé. Labinarisation <strong>de</strong>s signaux permet une imp<strong>la</strong>ntation électrique simple et offre un cadre <strong>de</strong>conception maîtrisé grâce à l’algèbre <strong>de</strong> Boole. La discrétisation du temps permet quant àelle <strong>de</strong> s’affranchir <strong>de</strong>s problèmes <strong>de</strong> rétroactions et/ou boucles combinatoires, ainsi que <strong>de</strong>sfluctuations électriques transitoires. Cep<strong>en</strong>dant, un système fonctionnant sans ceshypothèses peut obt<strong>en</strong>ir <strong>de</strong> meilleurs résultats. Les circuits asynchrones conserv<strong>en</strong>t uncodage discret <strong>de</strong>s signaux mais ne font pas l’hypothèse que le temps est discrétisé. Ilsdéfiniss<strong>en</strong>t ainsi une c<strong>la</strong>sse <strong>de</strong> circuits beaucoup plus <strong>la</strong>rge car leur contrôle peut être assurépar tout autre moy<strong>en</strong> alternatif à l’horloge unique <strong>de</strong>s circuits synchrones.2.1. Le mo<strong>de</strong> <strong>de</strong> fonctionnem<strong>en</strong>t asynchrone« Asynchrone » signifie qu’il n’existe pas <strong>de</strong> re<strong>la</strong>tion temporelle a priori <strong>en</strong>tre <strong>de</strong>sévénem<strong>en</strong>ts. Dans un système intégré, ces événem<strong>en</strong>ts sont <strong>de</strong>s événem<strong>en</strong>ts au s<strong>en</strong>s <strong>la</strong>rge(contrôle ou données) imp<strong>la</strong>ntés par <strong>de</strong>s signaux électriques. Il faut donc définir ce qu’estun signal « asynchrone ».Dans les systèmes synchrones, le signal <strong>de</strong> l’horloge joue le rôle d’un actionneurglobal. Tous les élém<strong>en</strong>ts du système évolu<strong>en</strong>t <strong>en</strong>semble lors d’un front d’horloge,Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


La conception asynchrone 27l’exécution <strong>de</strong> tous les élém<strong>en</strong>ts est donc synchronisée. Ce mécanisme <strong>de</strong> synchronisationglobale introduit une contrainte temporelle globale : tous les élém<strong>en</strong>ts du système doiv<strong>en</strong>trespecter un temps d’exécution maximum imposé par <strong>la</strong> fréqu<strong>en</strong>ce <strong>de</strong> l’horloge.Dans les circuits asynchrones, cette contrainte temporelle globale est supprimée. Lesélém<strong>en</strong>ts sont contrôlés et activés par <strong>la</strong> prés<strong>en</strong>ce <strong>de</strong> données à leurs <strong>en</strong>trées. Lefonctionnem<strong>en</strong>t <strong>de</strong> ce type <strong>de</strong> circuits est simi<strong>la</strong>ire à celui <strong>de</strong>s systèmes «flot <strong>de</strong> données».La Figure 2-1 représ<strong>en</strong>te <strong>la</strong> structure <strong>de</strong> base d’un circuit asynchrone : les élém<strong>en</strong>ts quipartag<strong>en</strong>t <strong>de</strong> l’information sont connectés <strong>en</strong>tre eux par un canal <strong>de</strong> communication. Ici,contrairem<strong>en</strong>t aux systèmes synchrones, <strong>la</strong> communication <strong>en</strong>tre les différ<strong>en</strong>ts élém<strong>en</strong>tsn’est pas contrôlée par un signal externe mais par un protocole <strong>de</strong> communicationimplém<strong>en</strong>té dans chaque élém<strong>en</strong>t. L’évolution globale du système est le résultat <strong>de</strong>l’évolution conjointe et év<strong>en</strong>tuellem<strong>en</strong>t concurr<strong>en</strong>te <strong>de</strong>s différ<strong>en</strong>ts élém<strong>en</strong>ts qui lecompos<strong>en</strong>t.2.2. Le principe <strong>de</strong> base : un contrôle localLe point fondam<strong>en</strong>tal du mo<strong>de</strong> <strong>de</strong> fonctionnem<strong>en</strong>t asynchrone est que le transfertd'information est géré localem<strong>en</strong>t. Les opérateurs connectés se synchronis<strong>en</strong>t <strong>en</strong> échangeant<strong>de</strong>s informations indép<strong>en</strong>damm<strong>en</strong>t <strong>de</strong>s autres opérateurs auxquels ils ne sont pas connectés.Toute action <strong>de</strong> communication doit être acquittée par le récepteur afin que l'émetteurpuisse émettre à nouveau. Ce<strong>la</strong> est assuré par <strong>de</strong>s communications dites à poignée <strong>de</strong> mainsou <strong>de</strong> type requête-acquittem<strong>en</strong>t (Figure 2-1).Figure 2-1: Structure <strong>de</strong> base d’un circuit asynchrone2.3. Protocoles <strong>de</strong> communicationsPour réaliser les échanges, <strong>de</strong>ux protocoles <strong>de</strong> communication sont utilisés : leprotocole 2 phases "Half-handshake" (NRZ ou sans retour à zéro), et le protocole 4 phasesOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


28 Chapitre 2"Full-handshake" (RZ ou retour à zéro). Dans ces protocoles tout changem<strong>en</strong>t d'un signalpar l'émetteur est acquitté par un changem<strong>en</strong>t d'un signal du récepteur et vice-versa. C'est cequi permet d'assurer l'ins<strong>en</strong>sibilité aux temps <strong>de</strong> traitem<strong>en</strong>t.Figure 2-2 : Le protocole 2 Phases Phase 1 : c'est <strong>la</strong> phase active du récepteur qui détecte <strong>la</strong> prés<strong>en</strong>ce <strong>de</strong> nouvellesdonnées, effectue le traitem<strong>en</strong>t et génère le signal d'acquittem<strong>en</strong>t. Phase 2 : c'est <strong>la</strong> phase active <strong>de</strong> l'émetteur qui détecte le signal d'acquittem<strong>en</strong>t etémet les nouvelles données si elles sont disponibles.Figure 2-3 : Le protocole 4 Phases • Phase 1 : c'est <strong>la</strong> première phase active du récepteur qui détecte <strong>la</strong> prés<strong>en</strong>ce d<strong>en</strong>ouvelles données, effectue le traitem<strong>en</strong>t et génère le signal d'acquittem<strong>en</strong>t. Phase 2 : c'est <strong>la</strong> première phase active <strong>de</strong> l'émetteur qui détecte le signald'acquittem<strong>en</strong>t et émet <strong>de</strong>s données invali<strong>de</strong>s (retour à zéro). Phase 3 : c'est <strong>la</strong> <strong>de</strong>uxième phase active du récepteur qui détecte le passage <strong>de</strong>sdonnées dans l'état invali<strong>de</strong> et p<strong>la</strong>ce le signal d'acquittem<strong>en</strong>t dans l'état initial (retour àzéro).Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


La conception asynchrone 29 Phase 4 : c'est <strong>la</strong> <strong>de</strong>uxième phase active <strong>de</strong> l'émetteur, qui détecte le retour à zéro <strong>de</strong>l'acquittem<strong>en</strong>t. Il est alors près à émettre <strong>de</strong> nouvelles données.Le protocole quatre phases requiert <strong>de</strong>ux fois plus <strong>de</strong> transitions que le protocole<strong>de</strong>ux phases. Il est a priori plus l<strong>en</strong>t et consomme plus d'énergie. Toutefois, les techniquesd'optimisation du pipeline permett<strong>en</strong>t <strong>de</strong> s'affranchir <strong>de</strong> <strong>la</strong> pénalité appar<strong>en</strong>te <strong>de</strong>s phases <strong>de</strong>retour à zéro. Le protocole quatre phases a ainsi permis jusqu'à aujourd'hui <strong>la</strong> réalisation <strong>de</strong>circuits VLSI plus rapi<strong>de</strong>s que ceux utilisant le protocole <strong>de</strong>ux phases.En ce qui concerne <strong>la</strong> consommation, le protocole <strong>de</strong>ux phases nécessite un matérielplus important que le protocole quatre phases car il nécessite <strong>de</strong> détecter <strong>de</strong>s transitions etnon pas <strong>de</strong>s niveaux. Le nombre plus faible <strong>de</strong> transitions dans un protocole <strong>de</strong>ux phases estdonc souv<strong>en</strong>t comp<strong>en</strong>sé par <strong>la</strong> complexité du matériel, et <strong>la</strong> consommation est souv<strong>en</strong>tcomparable à <strong>de</strong>s réalisations utilisant un protocole quatre phases.En conclusion, le protocole quatre phases est majoritairem<strong>en</strong>t utilisé pourimplém<strong>en</strong>ter les parties internes d'un circuit intégré. Par contre, lorsque les signaux doiv<strong>en</strong>ttransiter via <strong>de</strong>s élém<strong>en</strong>ts possédant une <strong>la</strong>t<strong>en</strong>ce élevée, comme les plots par exemple, lerecours à un protocole <strong>de</strong>ux phases est généralem<strong>en</strong>t préféré [REN 98].2.4. Implém<strong>en</strong>tation du protocole : La porte <strong>de</strong> Muller2.4.1. La porte <strong>de</strong> Muller ou ‘‘C-elem<strong>en</strong>t’’Pour implém<strong>en</strong>ter les protocoles <strong>de</strong> communication <strong>de</strong>s circuits asynchrones, lesportes logiques élém<strong>en</strong>taires ne suffis<strong>en</strong>t pas. La porte qui respecte le mieux ce mo<strong>de</strong> <strong>de</strong>fonctionnem<strong>en</strong>t est <strong>la</strong> porte <strong>de</strong> Muller.La porte <strong>de</strong> Muller est un élém<strong>en</strong>t <strong>de</strong> base pour <strong>la</strong> conception <strong>de</strong>s circuits etsystèmes asynchrones. Elle est aussi appelée "R<strong>en</strong><strong>de</strong>z-vous" ou "C-elem<strong>en</strong>t" [MUL 65][SUT 89]. Lorsque ses <strong>en</strong>trées ont un même niveau logique, elle recopie ce niveau sur sasortie. Lorsque les niveaux <strong>de</strong> ses <strong>en</strong>trées sont différ<strong>en</strong>ts, elle utilise sa mémoire internepour maint<strong>en</strong>ir le niveau précéd<strong>en</strong>t <strong>en</strong> sortie. La table <strong>de</strong> vérité d'une cellule <strong>de</strong> Muller à<strong>de</strong>ux <strong>en</strong>trées est montrée dans <strong>la</strong> Figure 2-4.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


30 Chapitre 2Figure 2-4: Le symbole, <strong>la</strong> table <strong>de</strong> vérité, et l’implém<strong>en</strong>tation <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller2.4.2. La porte <strong>de</strong> Muller asymétriqueIl existe d’autres variantes <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller telle que <strong>la</strong> porte <strong>de</strong> Mullergénéralisée [MAR 86]. C’est une porte dans <strong>la</strong>quelle les signaux qui font monter <strong>la</strong> sortie àun peuv<strong>en</strong>t être distincts <strong>de</strong> ceux qui font <strong>de</strong>sc<strong>en</strong>dre les signaux à zéro. La porte <strong>de</strong> Mulleralors est dite asymétrique et son fonctionnem<strong>en</strong>t est explicité dans <strong>la</strong> Figure 2-5 [REZ 04].Figure 2-5: Le symbole, <strong>la</strong> table <strong>de</strong> vérité, et l’implém<strong>en</strong>tation <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Mullerasymétrique [REZ 04]Une étu<strong>de</strong> plus détaillée <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller et <strong>de</strong> ses différ<strong>en</strong>tes implém<strong>en</strong>tationssera prés<strong>en</strong>té dans le chapitre 4.3. C<strong>la</strong>ssification <strong>de</strong>s circuits asynchronesNous définissons brièvem<strong>en</strong>t <strong>la</strong> terminologie c<strong>la</strong>ssiquem<strong>en</strong>t utilisée pour qualifierles circuits asynchrones. Les circuits asynchrones sont c<strong>la</strong>ssés <strong>en</strong> fonction <strong>de</strong> leurshypothèses temporelles. La Figure 2-6 prés<strong>en</strong>te <strong>la</strong> terminologie habituellem<strong>en</strong>t utilisée pourc<strong>la</strong>ssifier les circuits asynchrones [REN 00]. Plus le nombre d’hypothèses temporelles estélevé (contraintes sur les dé<strong>la</strong>is relâchés), plus simple sera le circuit. Cep<strong>en</strong>dant, dans ce<strong>de</strong>rnier cas, le circuit sera moins robuste.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


La conception asynchrone 31Figure 2-6 : Les c<strong>la</strong>sses <strong>de</strong>s circuits asynchrones3.1. Circuits ins<strong>en</strong>sibles aux dé<strong>la</strong>is (De<strong>la</strong>y Ins<strong>en</strong>sitive)Les circuits ins<strong>en</strong>sibles aux dé<strong>la</strong>is, ou “De<strong>la</strong>y Ins<strong>en</strong>sitive” utilis<strong>en</strong>t un mo<strong>de</strong> <strong>de</strong>fonctionnem<strong>en</strong>t purem<strong>en</strong>t asynchrone. Aucune hypothèse temporelle n'est introduite, c'est àdire qu'ils sont fonctionnellem<strong>en</strong>t corrects indép<strong>en</strong>damm<strong>en</strong>t <strong>de</strong>s dé<strong>la</strong>is introduits par les filset les élém<strong>en</strong>ts logiques. Les circuits c<strong>la</strong>ssés “DI” sont donc très robustes vis-à-vis <strong>de</strong>svariations <strong>de</strong> température, <strong>de</strong> t<strong>en</strong>sion ou <strong>de</strong>s procédés <strong>de</strong> fabrication. En effet, il n’y a pas <strong>de</strong>contraintes temporelles et leurs exécutions ne sont pas <strong>en</strong>travées par une baisse <strong>de</strong> t<strong>en</strong>sionpar exemple. Ce modèle impose néanmoins <strong>de</strong> fortes contraintes <strong>de</strong> réalisation.3.2. Circuits quasi ins<strong>en</strong>sibles aux dé<strong>la</strong>is (Quasi De<strong>la</strong>y Ins<strong>en</strong>titive)Les circuits quasi ins<strong>en</strong>sibles aux dé<strong>la</strong>is ou “Quasi De<strong>la</strong>y Ins<strong>en</strong>titive” adopt<strong>en</strong>t lemême modèle que les circuits ins<strong>en</strong>sibles aux dé<strong>la</strong>is <strong>en</strong> ajoutant une hypothèse temporellefaible dite « hypothèse <strong>de</strong> <strong>la</strong> fourche isochrone ». Une fourche est un fil qui connecte unémetteur unique à <strong>de</strong>ux récepteurs. La fourche est qualifiée d'isochrone si les dé<strong>la</strong>is <strong>en</strong>trel'émetteur et les <strong>de</strong>ux récepteurs sont id<strong>en</strong>tiques. Cette hypothèse a <strong>de</strong>s conséqu<strong>en</strong>cesimportantes sur le modèle et les réalisations possibles. Elle permet <strong>de</strong> simplifier gran<strong>de</strong>m<strong>en</strong>t<strong>la</strong> conception, notamm<strong>en</strong>t le problème <strong>de</strong> l'utilisation <strong>de</strong>s portes logiques à une seule sortiecar si les fourches sont isochrones on peut se permettre <strong>de</strong> ne tester qu'une branche d'unefourche <strong>en</strong> supposant que le signal s'est propagé <strong>de</strong> <strong>la</strong> même façon dans l'autre branche. Ilest à noter que dans un circuit QDI, toutes les fourches n’ont pas besoin d’être isochrones. IlOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


32 Chapitre 2s’agit dans <strong>la</strong> pratique d’une hypothèse faible n’<strong>en</strong>g<strong>en</strong>drant que peu <strong>de</strong> contraintes. Ce<strong>la</strong>explique que ce type <strong>de</strong> circuits soit très intéressant : les circuits sont <strong>en</strong> effet très robusteset aisém<strong>en</strong>t implém<strong>en</strong>tables.3.3. Circuits indép<strong>en</strong>dants <strong>de</strong> <strong>la</strong> vitesse (Speed In<strong>de</strong>p<strong>en</strong>d<strong>en</strong>t)Les circuits indép<strong>en</strong>dants <strong>de</strong> <strong>la</strong> vitesse se bas<strong>en</strong>t aussi sur le même modèle que lesprécéd<strong>en</strong>tes c<strong>la</strong>sses <strong>en</strong> ajoutant l'hypothèse que les dé<strong>la</strong>is dans les fils sont négligeables.Autrem<strong>en</strong>t dit, toutes les fourches sont isochrones. Cette c<strong>la</strong>sse <strong>de</strong> circuit est souv<strong>en</strong>tconsidérée comme équival<strong>en</strong>te aux circuits ‘‘QDI’’.3.4. MicropipelineLes circuits <strong>de</strong> Micropipeline ont été introduits par Ivan Suther<strong>la</strong>nd [SUT 89]. Lescircuits <strong>de</strong> cette c<strong>la</strong>sse sont composés d’une partie contrôle ins<strong>en</strong>sible aux dé<strong>la</strong>is quicommand<strong>en</strong>t <strong>de</strong>s chemins <strong>de</strong> données id<strong>en</strong>tiques à ceux r<strong>en</strong>contrés dans les circuitssynchrones. La structure <strong>de</strong> base <strong>de</strong> cette c<strong>la</strong>sse <strong>de</strong> circuits est le contrôle d'une queue <strong>de</strong>type FIFO. Elle se compose d'élém<strong>en</strong>ts id<strong>en</strong>tiques connectés tête-bêche.Figure 2-7 : Le modèle <strong>de</strong>s circuits micropipelinesLe circuit réagit à <strong>de</strong>s transitions <strong>de</strong> signaux et non pas à <strong>de</strong>s états (protocole <strong>de</strong>uxphases). On parle égalem<strong>en</strong>t d'une logique d'événem<strong>en</strong>ts, chaque transition étant associée àun événem<strong>en</strong>t. Ainsi, si on suppose tous les signaux à zéro initialem<strong>en</strong>t, une transitionpositive sur REQ provoque une transition positive sur ACK qui se propage égalem<strong>en</strong>t àl'étage suivant. Le <strong>de</strong>uxième étage produit une transition positive qui, d'une part, se propageà l'étage suivant mais qui, d'autre part, revi<strong>en</strong>t au premier étage l'autorisant à traiter uneOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


La conception asynchrone 33transition négative cette fois. Les transitions <strong>de</strong> signaux se propag<strong>en</strong>t donc dans <strong>la</strong> structuretant qu'elles ne r<strong>en</strong>contr<strong>en</strong>t pas une cellule "occupée".3.5. Circuits <strong>de</strong> HuffmanLes circuits <strong>de</strong> Huffman utilis<strong>en</strong>t un modèle <strong>de</strong> dé<strong>la</strong>is id<strong>en</strong>tique aux circuitssynchrones. C’est <strong>la</strong> c<strong>la</strong>sse <strong>la</strong> moins robuste. Ils suppos<strong>en</strong>t que les dé<strong>la</strong>is dans tous lesélém<strong>en</strong>ts du circuit et les connexions sont bornés et <strong>de</strong> valeurs connues. Les hypothèsestemporelles sont donc du même ordre que pour <strong>la</strong> conception <strong>de</strong> circuits synchrones, <strong>la</strong>différ<strong>en</strong>ce étant qu’ils ne dispos<strong>en</strong>t pas d’une synchronisation globale. Ici, lessynchronisations sont toutes locales.4. Propriétés <strong>de</strong>s circuits asynchrones4.1. Calcul <strong>en</strong> temps minimumUne première conséqu<strong>en</strong>ce très importante du fonctionnem<strong>en</strong>t flot <strong>de</strong> données <strong>de</strong>scircuits asynchrones est qu'un opérateur peut évaluer une fonction <strong>en</strong> un temps variable,compris <strong>en</strong>tre une borne inférieure et une borne supérieure. Ce temps correspond <strong>en</strong> fait autemps nécessaire à l'écoulem<strong>en</strong>t <strong>de</strong>s données <strong>de</strong>s <strong>en</strong>trées vers les sorties. En fonction <strong>de</strong>sdonnées elles-mêmes, le chemin emprunté peut varier et donc le temps <strong>de</strong> propagation.Cette notion est à rapprocher directem<strong>en</strong>t du paramètre <strong>de</strong> <strong>la</strong>t<strong>en</strong>ce, caractéristique d'unopérateur asynchrone. Etant donné que par définition, l'opérateur implém<strong>en</strong>te unesignalisation <strong>de</strong> ses communications, les données sont utilisables immédiatem<strong>en</strong>t à <strong>la</strong> sortied’un opérateur.Les caractéristiques <strong>de</strong> vitesse d'un circuit vari<strong>en</strong>t <strong>en</strong> fonction <strong>de</strong> paramètres quiinflu<strong>en</strong>c<strong>en</strong>t le fonctionnem<strong>en</strong>t <strong>de</strong>s dispositifs élém<strong>en</strong>taires, telles que les variations <strong>de</strong>sparamètres technologiques, <strong>la</strong> température ou <strong>la</strong> t<strong>en</strong>sion d'alim<strong>en</strong>tation. Le fonctionnem<strong>en</strong>tflot <strong>de</strong> données <strong>de</strong>s circuits asynchrones les r<strong>en</strong>d très robustes vis-à-vis <strong>de</strong> ces variations. Lafin du traitem<strong>en</strong>t est détectée et signalée au niveau <strong>de</strong> chaque cellule. Les variations <strong>de</strong>vitesse induites par <strong>de</strong>s modifications <strong>de</strong>s propriétés physiques n'altèr<strong>en</strong>t donc pas lecomportem<strong>en</strong>t fonctionnel. C’est pour cette raison que les circuits asynchrones sont trèsrobustes.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


34 Chapitre 24.2. Un pipeline "é<strong>la</strong>stique"Dans le pipeline synchrone, c’est l'occurr<strong>en</strong>ce d'un front d'horloge sur tous lesregistres du pipeline qui provoque le dép<strong>la</strong>cem<strong>en</strong>t <strong>de</strong>s données. L'horloge impose donc unesynchronisation forte <strong>de</strong>s données <strong>en</strong>tre elles. Une fois <strong>en</strong>trées dans le pipeline, <strong>de</strong>uxdonnées sont toujours séparées du même nombre d'étages.En asynchrone, <strong>la</strong> technique du pipeline s'applique égalem<strong>en</strong>t, mais le nombre <strong>de</strong>données prés<strong>en</strong>tes dans le pipeline peut varier. En effet, les registres <strong>de</strong> pipeline secomport<strong>en</strong>t comme une pile <strong>de</strong> type "FIFO", c'est à dire que les données progress<strong>en</strong>t dans lepipeline aussi longtemps qu'elles ne r<strong>en</strong>contr<strong>en</strong>t pas <strong>de</strong> ressource occupée, et ceciindép<strong>en</strong>damm<strong>en</strong>t <strong>de</strong>s données qui les suiv<strong>en</strong>t. Nous verrons que ce<strong>la</strong> peut être exploité auprofit <strong>de</strong> <strong>la</strong> vitesse et <strong>de</strong> <strong>la</strong> consommation.4.3. Modu<strong>la</strong>ritéLa localité du contrôle et l'utilisation par tous les opérateurs d'un protocole <strong>de</strong>communication bi<strong>en</strong> spécifié font que les circuits et systèmes asynchrones sont trèsmodu<strong>la</strong>ires. Il est <strong>en</strong> effet très facile <strong>de</strong> construire une fonction complexe <strong>en</strong> connectant <strong>de</strong>smodules préexistants comme il est possible d’assembler <strong>de</strong>s légos pour faire uneconstruction. Cette modu<strong>la</strong>rité permet égalem<strong>en</strong>t <strong>la</strong> conception <strong>de</strong> parties séparées d'uncircuit par différ<strong>en</strong>tes équipes <strong>de</strong> concepteurs. Si <strong>de</strong> plus, le contrôle <strong>de</strong>s modules ne faitaucune hypothèse temporelle, alors il n'est même plus nécessaire <strong>de</strong> contraindre les phases<strong>de</strong> p<strong>la</strong>cem<strong>en</strong>t et routage (si ce n'est pour <strong>de</strong>s questions <strong>de</strong> vitesse).Ces propriétés sont particulièrem<strong>en</strong>t intéressantes lorsqu'on souhaite favoriser <strong>la</strong>réutilisation <strong>de</strong> blocs dans une <strong>en</strong>treprise, ou d'un point <strong>de</strong> vue plus général l'échange <strong>de</strong>propriétés intellectuelles (IPs).4.4. L'abs<strong>en</strong>ce <strong>de</strong> l'horlogeUn avantage très souv<strong>en</strong>t cité comme prépondérant <strong>de</strong>s circuits asynchrones est <strong>la</strong>suppression <strong>de</strong>s problèmes liés à <strong>la</strong> manipu<strong>la</strong>tion <strong>de</strong> l'horloge. Ce<strong>la</strong> ti<strong>en</strong>t au fait que lespot<strong>en</strong>tialités offertes par les technologies mo<strong>de</strong>rnes permett<strong>en</strong>t <strong>la</strong> conception <strong>de</strong> circuits <strong>de</strong>plus <strong>en</strong> plus complexes et <strong>de</strong> plus <strong>en</strong> plus rapi<strong>de</strong>s. La conception <strong>de</strong>s circuits d’horloges est<strong>de</strong>v<strong>en</strong>ue une question <strong>de</strong> tout premier p<strong>la</strong>n puisqu'ils peuv<strong>en</strong>t limiter les performances <strong>de</strong>scircuits synchrones. Les techniques et les outils <strong>de</strong> <strong>la</strong> conception <strong>de</strong>s circuits à horlogeOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


La conception asynchrone 35évolu<strong>en</strong>t avec les technologies pour caractériser <strong>de</strong> plus <strong>en</strong> plus précisém<strong>en</strong>t les instantsd'arrivée <strong>de</strong> l'horloge sur les bascules d'un circuit.Les circuits asynchrones n'utilis<strong>en</strong>t pas d'horloge globale. Les élém<strong>en</strong>ts <strong>de</strong>synchronisation ou contrôle sont distribués dans l'<strong>en</strong>semble du circuit et leur conception estainsi beaucoup plus facile à maîtriser. De plus, pour certains circuits asynchrones, lefonctionnem<strong>en</strong>t est indép<strong>en</strong>dant <strong>de</strong>s retards qui peuv<strong>en</strong>t être introduits sur les lignes <strong>de</strong>contrôle. Le problème <strong>de</strong> "gigue" <strong>de</strong>s horloges (clock skew) est donc inexistant.L'optimisation <strong>de</strong> <strong>la</strong> vitesse <strong>de</strong> fonctionnem<strong>en</strong>t d'un circuit asynchrone porte donc surl'optimisation <strong>de</strong>s cellules fonctionnelles elles-mêmes et n'est pas contrainte par <strong>la</strong>conception d'un mécanisme d'horloge séparé. La synchronisation globale, fonctionnelle ettemporelle, introduite par l'horloge est remp<strong>la</strong>cée par une synchronisation localeatemporelle qui garantit uniquem<strong>en</strong>t le traitem<strong>en</strong>t séqu<strong>en</strong>tiel d'événem<strong>en</strong>ts.Une conséqu<strong>en</strong>ce <strong>de</strong> cette distribution du contrôle dans toute <strong>la</strong> structure du circuitest que les problèmes <strong>de</strong> pics <strong>de</strong> consommation sont inexistants. En effet, l'activitéélectrique d'un circuit asynchrone est mieux répartie dans le temps que celle d'un circuitsynchrone. Il n'existe pas d'instant prédéfini pour activer un opérateur comme c'est le casaux fronts <strong>de</strong> l'horloge. C'est un artefact uniquem<strong>en</strong>t introduit par <strong>la</strong> synchronisation globale<strong>de</strong>s circuits à horloge. La consommation dans les lignes du circuit est donc bi<strong>en</strong> mieuxrépartie dans le temps ce qui limite considérablem<strong>en</strong>t le bruit dans les lignes d'alim<strong>en</strong>tation.4.5. MigrationLes technologies évolu<strong>en</strong>t rapi<strong>de</strong>m<strong>en</strong>t, l'industrie est confrontée à <strong>de</strong>s problèmes <strong>de</strong>migration <strong>de</strong> circuits. On peut aussi définir <strong>la</strong> migration technologique d'une façon plus<strong>la</strong>rge <strong>en</strong> y intégrant les changem<strong>en</strong>ts <strong>de</strong> styles <strong>de</strong> conception (full custom, précaractérisé,prédiffusé) ou bi<strong>en</strong> <strong>en</strong>core les changem<strong>en</strong>ts d'architectures dans une fonction.Les circuits asynchrones se prêt<strong>en</strong>t facilem<strong>en</strong>t à ces différ<strong>en</strong>tes migrationstechnologiques et les r<strong>en</strong>d<strong>en</strong>t <strong>de</strong> ce fait plus attractifs. Rappelons que le comportem<strong>en</strong>tfonctionnel d'un circuit asynchrone est indép<strong>en</strong>dant <strong>de</strong> <strong>la</strong> réalisation <strong>de</strong>s cellules qui leconstitu<strong>en</strong>t pourvu que le protocole <strong>de</strong> communication soit respecté. Ainsi, au niveau le plusbas il est possible <strong>de</strong> modifier l'implém<strong>en</strong>tation ou <strong>la</strong> technologie <strong>de</strong>s cellules sans modifier<strong>la</strong> fonction.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


36 Chapitre 25. Circuits asynchrones et systèmes radiofréqu<strong>en</strong>cesDans l’industrie du semi-conducteur, on peut constater une t<strong>en</strong>dance nette àl’utilisation <strong>de</strong> li<strong>en</strong>s série haut débit <strong>en</strong>tre les émetteurs-récepteurs RF et les circuits <strong>de</strong>traitem<strong>en</strong>t numérique du signal <strong>en</strong> ban<strong>de</strong> <strong>de</strong> base. Ce type <strong>de</strong> li<strong>en</strong> nécessite souv<strong>en</strong>t <strong>la</strong>génération d’une horloge haute fréqu<strong>en</strong>ce prés<strong>en</strong>tant <strong>de</strong>s performances <strong>de</strong> plus <strong>en</strong> plusélevées <strong>en</strong> termes <strong>de</strong> bruit, <strong>de</strong> faible consommation, <strong>de</strong> faibles rayonnem<strong>en</strong>ts EM et <strong>de</strong>robustesse aux pollutions EM. Les systèmes asynchrones sembl<strong>en</strong>t être une alternativesérieuse dans ce domaine car ils prés<strong>en</strong>t<strong>en</strong>t <strong>de</strong>s propretés intéressantes pour <strong>la</strong> conception<strong>de</strong>s systèmes radiofréqu<strong>en</strong>ces.5.1. Faible consommationPlusieurs propriétés <strong>de</strong>s circuits asynchrones contribu<strong>en</strong>t à <strong>la</strong> réduction <strong>de</strong> <strong>la</strong>consommation :L’abs<strong>en</strong>ce <strong>de</strong> l’horloge : L'énergie dissipée par le système <strong>de</strong> distribution <strong>de</strong>shorloges n'existe pas dans les circuits asynchrones. Dans les circuits rapi<strong>de</strong>s, <strong>la</strong>consommation <strong>de</strong> l’horloge et <strong>de</strong>s élém<strong>en</strong>ts <strong>de</strong> mémorisation peut représ<strong>en</strong>ter jusqu’à 50%<strong>de</strong> <strong>la</strong> consommation du circuit.La mise <strong>en</strong> veille : Le mo<strong>de</strong> <strong>de</strong> fonctionnem<strong>en</strong>t asynchrone offre <strong>de</strong> façon naturelle<strong>la</strong> fonctionnalité <strong>de</strong> mise <strong>en</strong> veille. Un circuit qui ne reçoit aucune donnée ne consommepas. Des techniques simi<strong>la</strong>ires dans le principe ont été développées pour les circuitssynchrones (arrêt <strong>de</strong>s horloges dans certaines parties du circuit ou « gated clock »).L’abs<strong>en</strong>ce d’aléas : Dans les circuits numériques, une part <strong>de</strong> <strong>la</strong> consommationprovi<strong>en</strong>t <strong>de</strong>s transitions inutiles liées à <strong>la</strong> prés<strong>en</strong>ce d’aléas dans les blocs logiquescombinatoires. En synchrone, ces aléas ne sont pas gênants fonctionnellem<strong>en</strong>t car ilsdoiv<strong>en</strong>t avoir disparus à l’arrivée du prochain front d’horloge, cep<strong>en</strong>dant ils représ<strong>en</strong>t<strong>en</strong>tune consommation re<strong>la</strong>tivem<strong>en</strong>t importante. La conception <strong>de</strong>s circuits asynchronessuppose qu’il n’y ait aucun aléa afin d’obt<strong>en</strong>ir <strong>de</strong>s circuits corrects fonctionnellem<strong>en</strong>t. Lapart <strong>de</strong> consommation due à ces aléas est donc supprimée.Adaptation aux conditions <strong>de</strong> fonctionnem<strong>en</strong>t : Une autre propriété intéressante<strong>de</strong>s circuits asynchrones pour <strong>la</strong> faible consommation est leur robustesse et leur adaptationaux conditions <strong>de</strong> fonctionnem<strong>en</strong>t. Comme <strong>la</strong> puissance varie avec le carré <strong>de</strong> <strong>la</strong> t<strong>en</strong>sion, ilest aisé <strong>de</strong> réduire <strong>la</strong> t<strong>en</strong>sion d’alim<strong>en</strong>tation pour limiter <strong>la</strong> puissance consommée.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


La conception asynchrone 37L'aptitu<strong>de</strong> <strong>de</strong>s circuits asynchrones à être fonctionnels indép<strong>en</strong>damm<strong>en</strong>t <strong>de</strong>s temps <strong>de</strong>traversée <strong>de</strong>s opérateurs élém<strong>en</strong>taires, permet <strong>de</strong> réduire <strong>la</strong> t<strong>en</strong>sion d'alim<strong>en</strong>tation avec unmatériel minimum (<strong>en</strong> synchrone il faut adapter <strong>la</strong> fréqu<strong>en</strong>ce <strong>de</strong> l'horloge à <strong>la</strong> t<strong>en</strong>sion). Il estpossible aussi <strong>de</strong> faire varier dynamiquem<strong>en</strong>t <strong>la</strong> t<strong>en</strong>sion <strong>de</strong> l'alim<strong>en</strong>tation du circuit pourréduire <strong>la</strong> consommation.Nous l'avons vu les circuits asynchrones ne consomm<strong>en</strong>t que s'ils effectu<strong>en</strong>t untraitem<strong>en</strong>t et seules les parties impliquées dans le traitem<strong>en</strong>t consomm<strong>en</strong>t.5.2. Faible rayonnem<strong>en</strong>t électromagnétiqueUne autre source <strong>de</strong> bruit provi<strong>en</strong>t <strong>de</strong>s radiations électromagnétiques émises par lescircuits à horloge. Ces <strong>de</strong>rnières peuv<strong>en</strong>t bruiter les blocs radio-fréqu<strong>en</strong>ces du système. Parailleurs, dans les technologies avancées et à v<strong>en</strong>ir, les harmoniques <strong>de</strong> l'horloge atteign<strong>en</strong>tplusieurs GHz, voire plusieurs dizaines <strong>de</strong> GHz. A ces fréqu<strong>en</strong>ces, les pistes du circuit luimêmesont <strong>de</strong> véritables ant<strong>en</strong>nes. De ce fait, <strong>la</strong> puissance du rayonnem<strong>en</strong>télectromagnétique sera un paramètre <strong>de</strong> plus <strong>en</strong> plus important à l'av<strong>en</strong>ir.(a) Synchrone(b) AsynchroneFigure 2-8 : Consommation <strong>en</strong> courant et spectres correspondants, <strong>de</strong>s versions synchroneet asynchrone du 80c51Une conséqu<strong>en</strong>ce <strong>de</strong> <strong>la</strong> faible consommation <strong>de</strong>s circuits asynchrones est que <strong>la</strong>puissance <strong>de</strong>s on<strong>de</strong>s électromagnétiques émises est plus faible que celle <strong>de</strong> leurshomologues synchrones. L'émission d'on<strong>de</strong>s électromagnétiques produites dans les circuitsintégrés synchrones brouille souv<strong>en</strong>t les réceptions RF si aucune contre-mesure n’est prise.La solution communém<strong>en</strong>t adoptée est <strong>de</strong> confier <strong>la</strong> réception à un circuit intégré spécifiqueOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


38 Chapitre 2<strong>de</strong> faible complexité et peu rayonnant. De plus, ce <strong>de</strong>rnier peut parfois stopper l'horloge <strong>de</strong>sautres circuits p<strong>en</strong>dant les phases <strong>de</strong> réception <strong>de</strong>s messages. Il réactive <strong>en</strong>suite l'horlogepour l'exploitation <strong>de</strong>s données reçues (traitem<strong>en</strong>t, affichage). La Figure 2-8 décrit lesspectres du courant (mesuré) <strong>de</strong>s versions synchrones et asynchrones du microcontrôleur80C51 conçu par Philips [GAG 89].5.3. Faible bruit.Une autre conséqu<strong>en</strong>ce très importante <strong>de</strong> <strong>la</strong> répartition <strong>de</strong> <strong>la</strong> consommation <strong>en</strong>courant pour <strong>la</strong> conception <strong>de</strong>s systèmes intégrés, <strong>en</strong> particulier pour les applicationsradiofréqu<strong>en</strong>ces, est le faible bruit généré par les circuits asynchrones dans les alim<strong>en</strong>tationset le substrat. L'évolution <strong>de</strong>s technologies va conduire à <strong>la</strong> conception <strong>de</strong> systèmes intégrés,associant le "front-<strong>en</strong>d" analogique radiofréqu<strong>en</strong>ce et les traitem<strong>en</strong>ts numériques sans avoirforcém<strong>en</strong>t recours à une fréqu<strong>en</strong>ce intermédiaire. Ainsi, un système numérique fonctionnantà un, voire quelques Giga hertz constituera une source <strong>de</strong> bruit très importante pour lesparties analogiques radiofréqu<strong>en</strong>ces. Les circuits asynchrones représ<strong>en</strong>t<strong>en</strong>t une alternativesérieuse car ils offr<strong>en</strong>t <strong>la</strong> possibilité <strong>de</strong> concevoir un système numérique <strong>de</strong> traitem<strong>en</strong>t souscontrainte <strong>de</strong> limitation du bruit. On peut <strong>en</strong> effet concevoir un circuit asynchrone <strong>en</strong> sefixant comme objectif <strong>de</strong> limiter le maximum <strong>de</strong> courant consommé, ou <strong>de</strong> limiter <strong>la</strong>dérivée <strong>de</strong> <strong>la</strong> courbe <strong>de</strong> courant. En contrô<strong>la</strong>nt l'activité électrique du circuit numérique àl'ai<strong>de</strong> <strong>de</strong>s signaux <strong>de</strong> contrôle locaux, dits <strong>de</strong> "handshake", on peut très tôt lors <strong>de</strong> <strong>la</strong>conception (choix <strong>de</strong> l'architecture et <strong>de</strong> l'implém<strong>en</strong>tation logique) pr<strong>en</strong>dre <strong>en</strong> compte lecritère "bruit", tout <strong>en</strong> préservant un haut niveau <strong>de</strong>s performances. C'est, une nouvelle fois,<strong>la</strong> nature distribuée du contrôle <strong>de</strong>s circuits asynchrones qui offre cette facilité.Un problème <strong>de</strong> conception qui <strong>de</strong>vi<strong>en</strong>t <strong>de</strong> plus <strong>en</strong> plus aigu et qui est très lié à <strong>la</strong>technologie concerne l'interaction <strong>en</strong>tre blocs d'un même système intégré. Un blocnumérique peut générer du bruit sur <strong>la</strong> t<strong>en</strong>sion d'alim<strong>en</strong>tation ou <strong>de</strong>s courants dans lesubstrat. Même avec l'utilisation <strong>de</strong> différ<strong>en</strong>ts caissons, ce bruit peut avoir un effet sur lescaractéristiques <strong>de</strong>s blocs analogiques voisins.En raison <strong>de</strong> l'abs<strong>en</strong>ce <strong>de</strong> l'horloge, les circuits asynchrones sont moins bruyants queles circuits synchrones. La puissance d’émission dép<strong>en</strong>d <strong>de</strong> l'int<strong>en</strong>sité et <strong>de</strong> <strong>la</strong> forme ducourant consommé dans le circuit. L'horloge module le courant et crée <strong>de</strong>s pics dans lespectre du courant situés à <strong>de</strong>s fréqu<strong>en</strong>ces multiples <strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ce <strong>de</strong> l'horloge(harmoniques). Les variations <strong>de</strong> t<strong>en</strong>sion induites par ces appels <strong>de</strong> courant sontproportionnelles à <strong>la</strong> dérivée du courant. On compr<strong>en</strong>d alors aisém<strong>en</strong>t que <strong>la</strong> distribution <strong>de</strong>Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


La conception asynchrone 39<strong>la</strong> consommation électrique dans le temps joue <strong>en</strong> faveur <strong>de</strong> <strong>la</strong> réduction du bruit générédans les alim<strong>en</strong>tations et réduit le rayonnem<strong>en</strong>t électromagnétique. Cette caractéristiqueimportante <strong>de</strong>s circuits asynchrones est due à l'abs<strong>en</strong>ce <strong>de</strong> l'horloge, mais aussi à <strong>la</strong> variation<strong>de</strong>s temps <strong>de</strong> calcul <strong>en</strong> fonction <strong>de</strong>s données. En effet, même si un programme possè<strong>de</strong> unepériodicité dans son exécution, le temps <strong>de</strong> traitem<strong>en</strong>t variera d'une exécution à une autrelimitant ainsi <strong>la</strong> puissance du bruit généré.5.4. Réutilisation.Avec l'évolution <strong>de</strong>s technologies, les dé<strong>la</strong>is dans les interconnexions sont <strong>de</strong>v<strong>en</strong>usnon négligeables vis-à-vis <strong>de</strong>s dé<strong>la</strong>is dans les portes. Les échanges inter-blocs, supportés par<strong>de</strong>s bus <strong>de</strong> communication "longs", ne pourront se faire à <strong>la</strong> fréqu<strong>en</strong>ce interne <strong>de</strong>s blocs. Ilfaudra alors avoir recours à <strong>de</strong>s techniques et protocoles <strong>de</strong> synchronisation capables <strong>de</strong>garantir <strong>de</strong>s échanges fiables <strong>en</strong>tre <strong>de</strong>s blocs contrôlés par <strong>de</strong>s horloges différ<strong>en</strong>tes avec unepénalité minimale. La conception <strong>de</strong> telles interfaces <strong>en</strong>tre <strong>de</strong>s blocs synchrones est dudomaine <strong>de</strong>s circuits asynchrones. C’est le concept du circuit globalem<strong>en</strong>t asynchronelocalem<strong>en</strong>tsynchrone (GALS).L'adoption <strong>de</strong> ce modèle d'architecture GALS aura t<strong>en</strong>dance à s'acc<strong>en</strong>tuer avecl'utilisation <strong>de</strong> plus <strong>en</strong> plus systématique <strong>de</strong> blocs IPs conçus par <strong>de</strong>s équipes et/ou sociétésdiffér<strong>en</strong>tes. La conception <strong>de</strong>s systèmes intégrés fera <strong>de</strong> plus <strong>en</strong> plus appel à l'association <strong>de</strong>blocs d'origines et <strong>de</strong> natures différ<strong>en</strong>tes, adoptant <strong>de</strong>s conv<strong>en</strong>tions <strong>de</strong> synchronisationinterne et <strong>de</strong>s protocoles <strong>de</strong> communication différ<strong>en</strong>ts : processeurs, microcontrôleurs, DSP,mémoires <strong>de</strong> types divers, co<strong>de</strong>ur/déco<strong>de</strong>ur vidéo et audio, mo<strong>de</strong>m, émetteur/récepteurradiofréqu<strong>en</strong>ces, interface standard <strong>de</strong> communication "off-chip" (PCI, I2C, USB…).6. ConclusionNous avons vu dans ce chapitre les propriétés <strong>de</strong> <strong>la</strong> logique asynchrone et sonadéquation avec le domaine radiofréqu<strong>en</strong>ce. Le style <strong>de</strong> conception asynchrone ne requiertpas <strong>de</strong> connaissance a priori sur les temps <strong>de</strong> propagation dans les élém<strong>en</strong>ts <strong>de</strong>s circuits.Nous avons vu que cette logique <strong>de</strong>meure fonctionnelle quels que soi<strong>en</strong>t les temps <strong>de</strong>propagation. Les réalisations résultantes sont alors très robustes vis-à-vis <strong>de</strong>s variations <strong>de</strong>sprocédés <strong>de</strong> fabrication et <strong>de</strong> l'<strong>en</strong>vironnem<strong>en</strong>t. L'implém<strong>en</strong>tation asynchrone libère leconcepteur <strong>de</strong> l'approche "pire cas" <strong>de</strong>s circuits synchrones, qui consiste à toujoursdim<strong>en</strong>sionner le circuit par rapport au temps <strong>de</strong> propagation le plus long. Nous avons vu queOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


40 Chapitre 2les bénéfices d'une distribution du contrôle apparaiss<strong>en</strong>t au niveau <strong>de</strong> <strong>la</strong> conception <strong>de</strong>sarchitectures <strong>de</strong>s systèmes complexes, mais aussi au niveau <strong>de</strong> <strong>la</strong> conception <strong>de</strong>s systèmesintégrés mixtes analogiques/numériques pour limiter le bruit généré par les pics <strong>de</strong> courant.Ce paramètre est primordial pour les applications radiofréqu<strong>en</strong>ces. Le concepteur <strong>de</strong>systèmes et d'architectures tirera par ailleurs parti <strong>de</strong> <strong>la</strong> modu<strong>la</strong>rité et <strong>de</strong> <strong>la</strong> composabilité<strong>de</strong>s circuits asynchrones pour concevoir au plus vite <strong>de</strong>s applications toujours pluscomplexes. L'utilisation (ou réutilisation) <strong>de</strong> blocs (IP) est ainsi gran<strong>de</strong>m<strong>en</strong>t facilitée.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


41Partie II.L’Architecture et l’implém<strong>en</strong>tation <strong>de</strong>s<strong>Oscil<strong>la</strong>teurs</strong> <strong>en</strong> <strong>Anneau</strong> <strong>Asynchrones</strong>.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


42Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Architecture et Modélisation <strong>de</strong>s <strong>Oscil<strong>la</strong>teurs</strong> <strong>en</strong> <strong>Anneau</strong> <strong>Asynchrones</strong> 43Chapitre 3.Architecture et Modélisation <strong>de</strong>s<strong>Oscil<strong>la</strong>teurs</strong> <strong>en</strong> <strong>Anneau</strong> <strong>Asynchrones</strong>.1. IntroductionLes oscil<strong>la</strong>teurs et particulièrem<strong>en</strong>t les oscil<strong>la</strong>teurs contrôlés (VCO) <strong>en</strong> t<strong>en</strong>sion sont<strong>de</strong>s blocs <strong>de</strong> base dans presque toutes les IPs. En effet, ils sont utilisés pour générer lessignaux <strong>de</strong> synchronisation, pour moduler et démoduler les signaux ou les récupérer dans dubruit. Les caractéristiques <strong>de</strong>s oscil<strong>la</strong>teurs dép<strong>en</strong>d<strong>en</strong>t <strong>de</strong>s applications ; dans le cas <strong>de</strong>boucles à verrouil<strong>la</strong>ge <strong>de</strong> phase (PLL) <strong>de</strong>s fortes exig<strong>en</strong>ces <strong>en</strong> matière <strong>de</strong> stabilité et <strong>de</strong> bruit<strong>de</strong> phase sont nécessaires pour un bon fonctionnem<strong>en</strong>t. En outre, face aux avancées dans lestechnologies <strong>de</strong>cananométriques, il est égalem<strong>en</strong>t utile <strong>de</strong> faire face à <strong>la</strong> variabilité <strong>de</strong>sprocédés <strong>de</strong> fabrication. Aujourd'hui, <strong>de</strong> nombreuses étu<strong>de</strong>s sont axées sur les oscil<strong>la</strong>teursbasés sur les anneaux asynchrones qui prés<strong>en</strong>t<strong>en</strong>t <strong>de</strong>s caractéristiques bi<strong>en</strong> adaptées pour <strong>la</strong>gestion <strong>de</strong> <strong>la</strong> variabilité <strong>de</strong>s procédés et qui offr<strong>en</strong>t <strong>de</strong> surcroît une structure appropriée pourlimiter le bruit <strong>de</strong> phase. Par conséqu<strong>en</strong>t, les anneaux asynchrones sont considérés commeune solution prometteuse pour générer <strong>de</strong>s horloges.Dans ce chapitre, nous prés<strong>en</strong>terons l’architecture <strong>de</strong>s oscil<strong>la</strong>teurs <strong>en</strong> anneauasynchrones, leur mo<strong>de</strong> <strong>de</strong> fonctionnem<strong>en</strong>t et les propriétés qui les caractéris<strong>en</strong>t. Nousproposons égalem<strong>en</strong>t une formule qui permet <strong>de</strong> calculer <strong>la</strong> fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion <strong>en</strong>fonction <strong>de</strong>s paramètres temporels <strong>de</strong> l’étage sans passer par <strong>la</strong> simu<strong>la</strong>tion.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


44 Chapitre 32. Architecture d’un oscil<strong>la</strong>teur <strong>en</strong> anneau asynchroneL’oscil<strong>la</strong>teur <strong>en</strong> anneau asynchrone ou anneau auto-séqu<strong>en</strong>cé (Self-Timed Rings <strong>en</strong>Ang<strong>la</strong>is) est composé principalem<strong>en</strong>t <strong>de</strong> portes <strong>de</strong> Muller qui sont utilisées très <strong>la</strong>rgem<strong>en</strong>tdans les circuits et systèmes asynchrones.2.1. La porte <strong>de</strong> MullerLa porte <strong>de</strong> Muller a été introduite par D.E. Muller [MUL 59], elle est appelée aussi‘‘C-elem<strong>en</strong>t’’. La porte <strong>de</strong> Muller a <strong>de</strong>ux <strong>en</strong>trées A et B et une sortie C. Quand les <strong>de</strong>ux<strong>en</strong>trées sont à 0 (1), <strong>la</strong> sortie passe à 0 (1), sinon <strong>la</strong> sortie conserve sa valeur initiale.Figure 3-1 : La porte <strong>de</strong> Muller2.2. La connectivité <strong>de</strong> l’anneau asynchroneIl existe plusieurs implém<strong>en</strong>tations CMOS <strong>de</strong>s portes <strong>de</strong> Muller. La Figure 3-1 <strong>en</strong>prés<strong>en</strong>te une implém<strong>en</strong>tation weak feed-back. Les différ<strong>en</strong>tes implém<strong>en</strong>tations <strong>de</strong> cetteporte sont détaillées dans le chapitre IV.Chaque étage <strong>de</strong> cet anneau est composé d’une porte Muller et d’un inverseurcomme le montre <strong>la</strong> figure 3-2. Pour chaque étage, l’<strong>en</strong>trée reliée à l’étage précèd<strong>en</strong>t estmarquée F (Forward) et l’<strong>en</strong>trée reliée à l’étage suivant est marquée R (Reverse), <strong>la</strong> sortie<strong>de</strong> l’étage est marquée par C.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Architecture et Modélisation <strong>de</strong>s <strong>Oscil<strong>la</strong>teurs</strong> <strong>en</strong> <strong>Anneau</strong> <strong>Asynchrones</strong> 45Figure 3-2 : L’<strong>Anneau</strong> Asynchrone2.3. Le principe <strong>de</strong> fonctionnem<strong>en</strong>t2.3.1. Les jetons et les bullesDans <strong>de</strong> nombreuses applications <strong>de</strong>s anneaux asynchrones, les jetons et les bullessont utilisés pour véhiculer les données. Le nombre invariable <strong>de</strong> jetons garantit que lesdonnées ne sont ni perdues ni multipliées. Dans notre cas, on définit qu’un étage i conti<strong>en</strong>tun jeton (Tok<strong>en</strong>) si <strong>la</strong> sortie C i est différ<strong>en</strong>te <strong>de</strong> <strong>la</strong> sortie <strong>de</strong> l’étage suivant C i+1 , et qu’unétage i conti<strong>en</strong>t une bulle si <strong>la</strong> sortie C i est égale à <strong>la</strong> sortie <strong>de</strong> l’étage suivant C i+1 .Etage+i⊂ Jeton ⇔ Ci≠ Ci1(3-1) etiBulle ⇔ Ci= Ci1Etage ⊂+(3-2)Figure 3-3 : Bulle et Jeton dans l’anneau asynchroneA partir <strong>de</strong> ces propriétés, on peut conclure que le nombre <strong>de</strong> jetons est toujourspair. On note : N est le nombre d’étages (N≥3) N T est le nombre <strong>de</strong> jetons (N T %2=0)Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


46 Chapitre 3 N B est le nombre <strong>de</strong> bulles (N B ≥1) N = N T + N B2.3.2. Règles <strong>de</strong> propagationSi un jeton est prés<strong>en</strong>t dans un étage, il passe à l’étage suivant, si et seulem<strong>en</strong>t si,l’étage suivant conti<strong>en</strong>t une bulle. On peut traduire cette règle par :⎯ ⎯⎯ →JetonEtageiEtagei+ 1⇔ Ci≠ Ci+1= Ci+2←⎯ ⎯Bulle(3-3)La propagation d’un jeton <strong>de</strong> l’étage i à l’étage i+1 provoque une transition à l’étagei+1, ainsi que <strong>la</strong> bulle située à l’étage i+1 à l’instant n pr<strong>en</strong>d <strong>la</strong> p<strong>la</strong>ce du jeton à l’instantn+1, donc <strong>la</strong> propagation d’un jeton <strong>de</strong> l’étage i à l’étage i+1 est équival<strong>en</strong>te à <strong>la</strong>propagation d’une bulle <strong>de</strong> l’étage i+1 à l’étage i.2.3.3. Les mo<strong>de</strong>s <strong>de</strong> propagationLa taille <strong>de</strong> l'anneau et le nombre <strong>de</strong> jetons y circu<strong>la</strong>nt peuv<strong>en</strong>t conduire à <strong>de</strong>uxcomportem<strong>en</strong>ts oscil<strong>la</strong>nts différ<strong>en</strong>ts : ‘‘régulier’’ (ev<strong>en</strong>ly spaced) ou ‘‘rafale’’ (burst). Lemo<strong>de</strong> ‘‘régulier’’ correspond à une propagation régulière <strong>de</strong>s jetons et le mo<strong>de</strong> ‘‘rafale’’ àune propagation <strong>en</strong> rafale <strong>de</strong>s jetons, tels que représ<strong>en</strong>tés sur <strong>la</strong> figure 3-4.Deux effets principaux ont été id<strong>en</strong>tifiés comme étant responsables du comportem<strong>en</strong>t <strong>de</strong>l’anneau, l'effet <strong>de</strong> Charlie [EBE 98] et [ZEB 05] et l’effet <strong>de</strong> ‘‘Drafting’’’ [WIN01][WIN 02] et [FAI 04] qu’on va traiter dans le paragraphe suivant.Figure 3-4 : Les mo<strong>de</strong>s ‘‘régulier’’ et ‘‘rafale’’3. Modélisation <strong>de</strong>s anneaux asynchronesPour optimiser les performances <strong>de</strong>s anneaux asynchrones, il est indisp<strong>en</strong>sable <strong>de</strong>pouvoir prédire, caractériser, voire contrôler ces mo<strong>de</strong>s <strong>de</strong> propagation. Jo Eberg<strong>en</strong>Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Architecture et Modélisation <strong>de</strong>s <strong>Oscil<strong>la</strong>teurs</strong> <strong>en</strong> <strong>Anneau</strong> <strong>Asynchrones</strong> 47[EBE 98] a développé un modèle pour prédire les performances <strong>de</strong>s micropipelines. Cemodèle a été complété et ét<strong>en</strong>du par A. Winstanley et al. [WIN01] par l’introduction dumodèle <strong>de</strong> Charlie 3D. Ce modèle représ<strong>en</strong>te le temps <strong>de</strong> propagation d’une cellule <strong>de</strong>Muller <strong>en</strong> fonction du temps qui sépare les événem<strong>en</strong>ts sur les <strong>en</strong>trées et du temps écoulé<strong>de</strong>puis <strong>la</strong> <strong>de</strong>rnière commutation. Il permet ainsi <strong>de</strong> pr<strong>en</strong>dre <strong>en</strong> compte <strong>de</strong>ux phénomènesimportants <strong>de</strong>s portes <strong>de</strong> Muller : l’effet «Charlie» et l’effet « Drafting » [HAM 09].3.1. Les Effets <strong>de</strong> Charlie et <strong>de</strong> ‘‘Drafting’’’3.1.1. L'effet <strong>de</strong> CharlieL’effet <strong>de</strong> Charlie <strong>en</strong> référ<strong>en</strong>ce à Charles E. Molnar est défini par <strong>la</strong> dép<strong>en</strong>dance dudé<strong>la</strong>i <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller aux instants <strong>de</strong> changem<strong>en</strong>t d’état <strong>de</strong>s <strong>en</strong>trées ou plusprécisém<strong>en</strong>t au temps <strong>de</strong> séparation <strong>en</strong>tre les <strong>en</strong>trées : plus les événem<strong>en</strong>ts <strong>en</strong> <strong>en</strong>trées sontproches, plus le dé<strong>la</strong>i <strong>de</strong> propagation est long. Considérons <strong>la</strong> porte <strong>de</strong> Muller <strong>de</strong> <strong>la</strong> figure 3-1, l'effet <strong>de</strong> Charlie est expliqué <strong>en</strong> pr<strong>en</strong>ant <strong>en</strong> compte les réseaux NMOS et PMOS <strong>de</strong> <strong>la</strong>porte <strong>de</strong> Muller et l’impact <strong>de</strong>s instants d’arrivée <strong>de</strong>s événem<strong>en</strong>ts aux <strong>en</strong>trées <strong>de</strong> l’étage sur<strong>la</strong> commutation <strong>de</strong>s transistors NMOS et PMOS. Afin <strong>de</strong> mieux compr<strong>en</strong>dre ce phénomène,nous proposons <strong>de</strong> pr<strong>en</strong>dre un exemple ; Considérons que <strong>la</strong> sortie C commute <strong>de</strong> 1 vers 0suite à un événem<strong>en</strong>t sur l’<strong>en</strong>trée A .Dans le cas où A arrive longtemps après B, lestransistors PMOS et NMOS contrôlés par B ont déjà changé d’état et ils sont donc pour l’uncomplètem<strong>en</strong>t bloqué, et pour l’autre complètem<strong>en</strong>t passant. Le temps nécessaire à <strong>la</strong>transition <strong>de</strong> l’<strong>en</strong>trée A pour se propager au nœud interne C’ correspond donc uniquem<strong>en</strong>tau temps <strong>de</strong> blocage et <strong>de</strong> saturation <strong>de</strong>s transistors contrôlés par A. Par contre, dans le casoù A arrive juste après B, le processus <strong>de</strong> blocage et <strong>de</strong> saturation <strong>de</strong>s transistors contrôléspar B n’est pas achevé. Ce<strong>la</strong> <strong>en</strong>g<strong>en</strong>dre alors un dé<strong>la</strong>i plus important pour que <strong>la</strong> transitionsur A se propage au nœud interne C’, et finalem<strong>en</strong>t un dé<strong>la</strong>i <strong>de</strong> propagation global plusgrand.L’effet <strong>de</strong> Charlie peut être résumé par le phénomène suivant : plus les événem<strong>en</strong>ts<strong>en</strong> <strong>en</strong>trée sont proches, plus le temps <strong>de</strong> propagation est long, ce qui provoque l'espacem<strong>en</strong>t<strong>de</strong>s jetons dans l’anneau.3.1.2. L'effet <strong>de</strong> draftingDe même, on constate un impact du temps écoulé <strong>en</strong>tre <strong>de</strong>ux commutationssuccessives (<strong>de</strong>s sorties) sur le dé<strong>la</strong>i <strong>de</strong> propagation : plus ce temps est court, plus le dé<strong>la</strong>i <strong>de</strong>propagation est court. Ce phénomène est appelé l’effet <strong>de</strong> « drafting » par analogie auOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


48 Chapitre 3phénomène d’aspiration qui se produit quand <strong>de</strong>ux voitures se suiv<strong>en</strong>t <strong>de</strong> très près. Cet effet,qui apparaît sur l’étage <strong>de</strong> sortie <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller, est dû à <strong>la</strong> capacité prés<strong>en</strong>te à <strong>la</strong>sortie C : quand <strong>de</strong>ux commutations se succèd<strong>en</strong>t très rapi<strong>de</strong>m<strong>en</strong>t, <strong>la</strong> sortie n’a pas assez <strong>de</strong>temps pour atteindre VDD ou GND avant <strong>de</strong> commuter à nouveau. Ce<strong>la</strong> <strong>en</strong>g<strong>en</strong>dre un temps<strong>de</strong> propagation plus court.L’effet <strong>de</strong> « drafting » peut être résumé par le phénomène suivant : plus lestransitions successives <strong>en</strong> sortie sont proches, plus le temps <strong>de</strong> propagation est court, ce quiprovoque le regroupem<strong>en</strong>t <strong>de</strong>s jetons. On obti<strong>en</strong>t alors une propagation <strong>en</strong> mo<strong>de</strong> rafale.3.2. Le modèle <strong>de</strong> Charlie 3DLe modèle <strong>de</strong> Charlie 3D représ<strong>en</strong>te le temps écoulé <strong>en</strong>tre l’instant moy<strong>en</strong> d’arrivée<strong>de</strong>s <strong>en</strong>trées t moy et l’instant <strong>de</strong> commutation <strong>de</strong> l’étage t C <strong>en</strong> fonction <strong>de</strong> <strong>de</strong>ux variables s et y(Figure 3-5). s est le temps <strong>de</strong> séparation <strong>en</strong>tre les <strong>en</strong>trées.st F − t= R(3-4)2 y est le temps <strong>en</strong>tre <strong>la</strong> <strong>de</strong>rnière commutation <strong>de</strong> <strong>la</strong> sortie <strong>de</strong> l’étage et l’instantmoy<strong>en</strong> d’arrivé <strong>de</strong>s évènem<strong>en</strong>ts sur les <strong>en</strong>trées.yt+R f= − tc− 1= tmoy− tc− 1(3-5)2 Df (Dr) est le dé<strong>la</strong>i <strong>de</strong> propagation direct (inverse)t Dff (Drr) est le dé<strong>la</strong>i <strong>de</strong> propagation statique direct (inverse). Il correspondau dé<strong>la</strong>i <strong>de</strong> l’étage <strong>en</strong>tre l’<strong>en</strong>trée F (R) et <strong>la</strong> sortie C quand l’<strong>en</strong>trée F (R)commute longtemps après l’<strong>en</strong>trée R (F). D charlie est l’amplitu<strong>de</strong> <strong>de</strong> l’effet Charlie. A est <strong>la</strong> durée <strong>de</strong> l’effet « drafting » B est l’amplitu<strong>de</strong> <strong>de</strong> l’effet « drafting »Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Architecture et Modélisation <strong>de</strong>s <strong>Oscil<strong>la</strong>teurs</strong> <strong>en</strong> <strong>Anneau</strong> <strong>Asynchrones</strong> 49Figure 3-5 : Chronogramme <strong>de</strong> l’étageFigure 3-6 : Le diagramme <strong>de</strong> Charlie 2DLe diagramme <strong>de</strong> Charlie 2D est le diagramme qui ne pr<strong>en</strong>d <strong>en</strong> compte que l’effet <strong>de</strong>Charlie et donc il représ<strong>en</strong>te le temps écoulé <strong>en</strong>tre l’instant moy<strong>en</strong> d’arrivée <strong>de</strong>s <strong>en</strong>trées t moyet l’instant <strong>de</strong> commutation <strong>de</strong> l’étage t C <strong>en</strong> fonction seulem<strong>en</strong>t <strong>de</strong> <strong>la</strong> variable s, onconsidérant que y est constant.Charlie2( )2( s ) D + D + ( s − s )= (3-6)moyCharlieminavecDmoyDrr+ Dff= et2smin=Drr−2DffOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


50 Chapitre 3Figure 3-7 : Le DraftingCharlie(y)y−= BeA(3-7)Ces <strong>de</strong>ux effets peuv<strong>en</strong>t être représ<strong>en</strong>tés par le diagramme suivant, appelédiagramme <strong>de</strong> Charlie 3D.Figure 3-8 : Le diagramme <strong>de</strong> Charlie 3DOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Architecture et Modélisation <strong>de</strong>s <strong>Oscil<strong>la</strong>teurs</strong> <strong>en</strong> <strong>Anneau</strong> <strong>Asynchrones</strong> 51Charliey2−A(Charliemin) − 12 Be32( s, y) D + D + ( s − s )=mean(3-8)1444442444443CharlieDraftingFinalem<strong>en</strong>t l’instant <strong>de</strong> commutation peut être calculé par <strong>la</strong> formule suivante :ttR+ tF= Charlie(s,y)2(3-9)c+3.3. L’importance <strong>de</strong> <strong>la</strong> prise <strong>en</strong> compte <strong>de</strong>s effets Charlie et « drafting»Sans <strong>la</strong> modélisation <strong>de</strong>s effets Charlie et « drafting » et par <strong>la</strong> simu<strong>la</strong>tion du mêmeanneau asynchrone avec le même nombre <strong>de</strong> jetons et <strong>de</strong> bulles, mais avec <strong>de</strong>uxdistributions spatiales différ<strong>en</strong>tes, <strong>la</strong> simu<strong>la</strong>tion numérique (un modèle VHDL par exemple)montre <strong>de</strong>ux états d'équilibres différ<strong>en</strong>ts. Alors que, <strong>la</strong> simu<strong>la</strong>tion analogique donneexactem<strong>en</strong>t <strong>la</strong> même forme d'on<strong>de</strong> à l'état d'équilibre dans les <strong>de</strong>ux cas. Une explication <strong>de</strong>ce comportem<strong>en</strong>t incorrect <strong>de</strong> <strong>la</strong> simu<strong>la</strong>tion numérique est l'abs<strong>en</strong>ce <strong>de</strong>s effets <strong>de</strong> Charlie et<strong>de</strong> « drafting » dans le modèle. Pour confirmer cette explication, nous simulons un anneauasynchrone avec 11 étages sans pr<strong>en</strong>dre <strong>en</strong> considération les effets <strong>de</strong> Charlie et <strong>de</strong>« drafting » dans un premier temps. Nous avons initialisé l’anneau par <strong>la</strong> configurationJetons/Bulles suivante : “TTTTBBBBBBB". L'état d'équilibre <strong>de</strong> sortie est un mo<strong>de</strong>« burst », alors que l'anneau est initialisé avec “TBBBBTTBBBT", l'état d'équilibre <strong>de</strong>sortie <strong>de</strong>vi<strong>en</strong>t « ev<strong>en</strong>ly spaced ». Ceci conclût à tort que <strong>la</strong> distribution spatiale initiale <strong>de</strong>sjetons/bulles pourrait affecter le mo<strong>de</strong> d'oscil<strong>la</strong>tion, ce qui n'est pas vrai. Ces résultats <strong>de</strong>simu<strong>la</strong>tion sont prés<strong>en</strong>tés dans <strong>la</strong> figure 3-9.“TTTTBBBBBBB”“TBBBBTTBBBT”Figure 3-9 : Résultats sans l’effet <strong>de</strong> Charlie.On a réalisé les mêmes simu<strong>la</strong>tions <strong>en</strong> utilisant un modèle <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller quipr<strong>en</strong>d <strong>en</strong> considération les effets <strong>de</strong> Charlie et <strong>de</strong>« drafting ». La Figure 3-10 montreOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


52 Chapitre 3qu'ils donn<strong>en</strong>t un comportem<strong>en</strong>t id<strong>en</strong>tique et que les <strong>de</strong>ux sont <strong>en</strong> mo<strong>de</strong> « ev<strong>en</strong>ly spaced »comme prévu, par les simu<strong>la</strong>tions analogiques.“TTTTBBBBBBB”“TBBBBTTBBBT”Figure 3-10 : Résultats avec l’effet <strong>de</strong> Charlie.L'inclusion <strong>de</strong> l'effet Charlie dans le modèle numérique est obligatoire pour avoir uncomportem<strong>en</strong>t correct <strong>de</strong> l’anneau. Comme les phases <strong>de</strong> conception et d'analyse ont besoin<strong>de</strong> nombreuses simu<strong>la</strong>tions, notre modèle numérique nous permet d'économiser beaucoup<strong>de</strong> temps par rapport aux simu<strong>la</strong>tions analogiques.3.4. Calcul <strong>de</strong> <strong>la</strong> pério<strong>de</strong> d’oscil<strong>la</strong>tion dans les anneaux asynchrones.Dans cette section, nous proposons une métho<strong>de</strong> pour calculer <strong>la</strong> pério<strong>de</strong>d’oscil<strong>la</strong>tion <strong>de</strong> l’anneau asynchrone. Cette nouvelle métho<strong>de</strong> nous permet d’estimer <strong>la</strong>pério<strong>de</strong> d’oscil<strong>la</strong>tion <strong>en</strong> fonction <strong>de</strong>s paramètres temporels <strong>de</strong> l’étage et le nombre <strong>de</strong> jetonset <strong>de</strong> bulles que conti<strong>en</strong>t l’anneau.Dans [HAM 08] [HAM 09] un modèle comportem<strong>en</strong>tal <strong>de</strong> l’anneau asynchrone aété proposé. Ce modèle comportem<strong>en</strong>tal offre <strong>de</strong>s perspectives intéressantes <strong>en</strong> ce quiconcerne l’information temporelle. Dans ce modèle, on a besoin <strong>de</strong> calculer le « temps <strong>de</strong>séparation <strong>en</strong>tre les <strong>en</strong>trées s ». Pour se faire, on utilise un graphe d’états (Figure 3-11) pourcapter l’évolution temporelle <strong>de</strong> l'anneau. Ce<strong>la</strong> semble un peu complexe si l'objectif estl'estimation <strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ce d'oscil<strong>la</strong>tion. La pério<strong>de</strong> d’oscil<strong>la</strong>tion avec ce modèle estcalculée avec <strong>la</strong> formule suivante.T⎛= 4×Charlie⎜⎝( N − N )BT× T T,4×N 4⎞⎟⎠(3-10)Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Architecture et Modélisation <strong>de</strong>s <strong>Oscil<strong>la</strong>teurs</strong> <strong>en</strong> <strong>Anneau</strong> <strong>Asynchrones</strong> 53Figure 3-11 : Graphes d’états d’un anneau asynchrone <strong>de</strong> 5 étages et 2 jetonsPar conséqu<strong>en</strong>t, il nous a semblé très utile <strong>de</strong> trouver une re<strong>la</strong>tion simple <strong>en</strong>tre letemps <strong>de</strong> séparation s et le nombre <strong>de</strong> bulles N B et <strong>de</strong> jetons N T .Dans [FAI 06], les auteurs donn<strong>en</strong>t une re<strong>la</strong>tion <strong>en</strong>tre <strong>la</strong> pério<strong>de</strong>, le retard et le temps<strong>de</strong> séparation. Avec notre terminologie, nous pouvons exprimer cette re<strong>la</strong>tion par :( s y)T = 4 × D + 4×s = 4 × ( D + s ) = 4×Charlie ,(3-11)avecD= Charlie ( s, y ) − s le dé<strong>la</strong>i <strong>de</strong> l’étage.Dans [WIN 01] les auteurs ont montré qu’on peut faire l’approximation y=Charlie(s,y). Donc on peut exprimer <strong>la</strong> pério<strong>de</strong> d’oscil<strong>la</strong>tion par :Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


54 Chapitre 3T⎛Charlie( s,y)⎞−⎜22A= 4 × Charlie( s,y) = D + ( + ( − ) ) −⎟⎜moyDCharlies smin1444442444443 14243 Be⎟ (3-12)Drafting⎝Charlie⎠<strong>la</strong> valeur <strong>de</strong>La valeur <strong>de</strong> Charlie(s,y) est toujours très gran<strong>de</strong> par rapport à <strong>la</strong> valeur <strong>de</strong> A. DoncCharlie( s,y)−A14243 BeDraftingest très petite et négligeable <strong>de</strong>vant22( D + ( s − s ) )Dmoy+Charliemin1444442444443 .Donc pour le calcul <strong>de</strong> <strong>la</strong> pério<strong>de</strong> d’oscil<strong>la</strong>tion on peut se baser sur le modèle <strong>de</strong> Charlie 2D.CharlieNTLa pério<strong>de</strong> <strong>de</strong> l’anneau asynchrone dép<strong>en</strong>d du ratio R = . Pour un même ratio R,Non a <strong>la</strong> même fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion quelque soit le nombre d’étages <strong>de</strong> l’anneau. C’estpour cette raison que nous p<strong>en</strong>sons qu’il existe une re<strong>la</strong>tion directe <strong>en</strong>tre s et R.Pour estimer <strong>la</strong> valeur <strong>de</strong> s, on utilise le diagramme <strong>de</strong> Charlie statique(asymptotique) Figure 3-12.BFigure 3-12 : Le diagramme <strong>de</strong> Charlie statiqueLe point A <strong>de</strong> <strong>la</strong> figure 3-12 correspond au lieu où l’anneau oscille à sa fréqu<strong>en</strong>cemaximale, et on sait qu’au point A :N Dff=N D etTR =BrrD rr− D ffs = smin=(3-13)2Au niveau <strong>de</strong>s points B/C <strong>de</strong> <strong>la</strong> même figure on sait que :NR = T = 1 et s = 0(3-14)NBOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Architecture et Modélisation <strong>de</strong>s <strong>Oscil<strong>la</strong>teurs</strong> <strong>en</strong> <strong>Anneau</strong> <strong>Asynchrones</strong> 55DL’anneau asynchrone fonctionne sur <strong>la</strong> <strong>de</strong>mi droite⎛ ND⎞.NTff≥T ffNBD⎜ ≤⎟ rr ⎝ NBDrr⎠[AB) ([AC)) quandrégionDDéfinition : On dit que l’anneau est limité <strong>en</strong> jetons si l’anneau fonctionne dans <strong>la</strong>DNTff≥ ( s )NBDminrrNTff≤ ( s )NBDminrrs≥ .s≤ et qu’il est limité <strong>en</strong> bulles lorsqu’il fonctionne dans <strong>la</strong> régionA partir <strong>de</strong> l’équation (3-13) et <strong>en</strong> divisant par Drr :2sDrr=Drr− DDrrffD s = rr ( 1−R)2(3-15)Cette équation est vrai au point A. Si on montre que l’équation (3-15) est vraie pourle point B, on peut supposer que cette équation est vraie <strong>en</strong> tout point <strong>de</strong> <strong>la</strong> <strong>de</strong>mi-droite[AB). Au point B, s=0. En remp<strong>la</strong>çant R=1 dans (3-15), on a s=0 ce qui est vrai. Donc onpeut supposer que l’équation (3-15) est vraie pour toute <strong>la</strong> <strong>de</strong>mi-droite AB.SiRNDT ff= ≥s = rr ( 1−R)NBDrrD2(3-15.a)Par le même raisonnem<strong>en</strong>t, à partir <strong>de</strong> l’équation (3-13) et <strong>en</strong> divisant s par D ff , Onpeut monter que :SiRNNT ff= ≤⎛ ⎞⎟ ⎠BDDrrDff 1s = ⎜ −1(3-15.b)2 ⎝ RCes <strong>de</strong>ux équations nous permett<strong>en</strong>t <strong>de</strong> calculer s sans passer par le modèlecomportem<strong>en</strong>tal. Par conséqu<strong>en</strong>t, on peut introduire une nouvelle fonction appeléeCharlie(R), qui exprime <strong>la</strong> valeur <strong>de</strong> <strong>la</strong> fonction <strong>de</strong> Charlie <strong>en</strong> fonction du ratio R. Cetteéquation peut être obt<strong>en</strong>ue <strong>en</strong> remp<strong>la</strong>çant s, <strong>de</strong> (3-15) dans (3-8). Le résultat est montré dansl'équation. (3-16.a)SiNDNTff≥BD ( R )rr2⎛⎞⎜ ⎛⎞2 D ⎛ D ⎞⎜rrffCharlie = D ++⎟ ⎟⎜⎜ −⎟moyDCharlieR(3-16.a)⎟⎝ ⎝ 2 ⎝ Drr ⎠ ⎠ ⎠Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


56 Chapitre 3SiNDNTff≤BD ( R )rr2⎛⎞⎜ ⎛ D ⎛ ⎞ ⎞2 ⎜ff⎜1 Drr ⎟ ⎟Charlie = D⎟moy+⎜D + −(3-16.b)Charlie⎜⎟⎟⎝ ⎝2 ⎝ R Dff ⎠ ⎠ ⎠Pour montrer <strong>la</strong> précision <strong>de</strong> cette équation, nous avons comparé les valeursextraites <strong>de</strong>s simu<strong>la</strong>tions numériques (le modèle VHDL) qui sont basées sur Charlie(s) aveccelles <strong>de</strong> l’équation Charlie(R), La figure suivante montre que les <strong>de</strong>ux courbes sontid<strong>en</strong>tiques avec une erreur qui ne dépasse pas 1%.Pour montrer <strong>la</strong> symétrie <strong>en</strong>treFigure 3-13 : Charlie(R) diagramNTNBetNBNTet pour respecter l'analogie avecCharlie(s), nous proposons <strong>de</strong> tracer Charlie (R) <strong>en</strong> échelle semi-logarithmique.Figure 3-14 : Le diagramme <strong>de</strong> Charlie(R) <strong>en</strong> échelle logarithmiqueOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Architecture et Modélisation <strong>de</strong>s <strong>Oscil<strong>la</strong>teurs</strong> <strong>en</strong> <strong>Anneau</strong> <strong>Asynchrones</strong> 57Le diagramme <strong>de</strong> Charlie (R) permet <strong>de</strong> se faire une idée c<strong>la</strong>ire <strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ced’oscil<strong>la</strong>tion <strong>en</strong> fonction du nombre <strong>de</strong> Jetons et <strong>de</strong> Bulles dans l’anneau. Pour lesconcepteurs, il est plus facile d’interpréter le diagramme <strong>de</strong> Charlie(R) avec le paramètre Rque le diagramme Charlie(s) avec le paramètre s.Dans le cas où l’oscil<strong>la</strong>tion est <strong>en</strong> mo<strong>de</strong> « rafale », s n’a pas <strong>la</strong> même valeur pourtous les étages. La valeur calculée par l’équation est <strong>la</strong> valeur moy<strong>en</strong>ne.Si on néglige <strong>la</strong> valeur <strong>de</strong> D Charlie . On peut exprimer <strong>la</strong> fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion parl’équation suivante :( D,R)⎧= ⎨⎩1F OSC=2.D.(R+1)(3-17)( Drr, NTNB) si DffDrr≥ NTNB( D , N N ) si DffDrr≤ NTNBffBTCette approximation nous permet <strong>de</strong> calculer <strong>la</strong> fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion rapi<strong>de</strong>m<strong>en</strong>t<strong>en</strong> fonction du dé<strong>la</strong>i <strong>de</strong> <strong>la</strong> porte et du nombre <strong>de</strong> jetons qui circul<strong>en</strong>t dans l’anneau.A partir <strong>de</strong> cette équation, on <strong>en</strong> déduit que <strong>la</strong> fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion dép<strong>en</strong>d <strong>de</strong> <strong>la</strong>configuration jetons/bulles et non pas du nombre d’étages comme c’est le cas pour lesoscil<strong>la</strong>teurs <strong>en</strong> anneau à base d’inverseurs. Ainsi le même oscil<strong>la</strong>teur peut avoir plusieursfréqu<strong>en</strong>ces d’oscil<strong>la</strong>tion <strong>en</strong> changeant simplem<strong>en</strong>t le nombre <strong>de</strong> jetons dans l’anneau. LaDfréqu<strong>en</strong>ce maximale est atteinte quand :ffDN=T. Elle est égale àrrNBF OSC= 12.( D + D )(3-18)rrff3.5. La puissance consomméeLa puissance consommée dans les oscil<strong>la</strong>teurs asynchrones dép<strong>en</strong>d du nombre <strong>de</strong>jetons et <strong>de</strong> bulles qui circul<strong>en</strong>t dans l’anneau. Si on se trouve dans <strong>la</strong> région où l’anneau estlimité <strong>en</strong> jetons (limité <strong>en</strong> bulles) <strong>la</strong> consommation dép<strong>en</strong>dra du nombre <strong>de</strong> bulles (jetons)dans l’anneau.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


58 Chapitre 3SiSiN T ≥ ffP N B× PBNBDDrrN T ≤ ffP N T× PTNBDDrr= (3-19.a)= (3-19.b)où P est <strong>la</strong> puissance consommée dynamiquem<strong>en</strong>t <strong>de</strong> l’anneau et P B (P T ) est <strong>la</strong> puissanceconsommée équival<strong>en</strong>te à un jeton (une bulle).3.6. La robustesse aux variations.Dans [HAM 08], les auteurs ont étudié <strong>la</strong> robustesse <strong>de</strong>s anneaux asynchrones auxvariations <strong>de</strong>s procédés <strong>de</strong> fabrication d’une manière statistique <strong>en</strong> appliquant <strong>de</strong>s variationsaléatoires <strong>de</strong> type gaussi<strong>en</strong>ne aux paramètres du modèle <strong>de</strong> Charlie 3D. Ils ont conclu quelorsqu’on fonctionne dans <strong>la</strong> vallée du diagramme <strong>de</strong> Charlie, c'est-à-dire quand l’effet <strong>de</strong>Charlie est maximal on augm<strong>en</strong>te <strong>la</strong> robustesse <strong>de</strong> l’oscil<strong>la</strong>teur. Nous proposons <strong>de</strong> lemontrer d’une manière analytique <strong>en</strong> se basant sur <strong>la</strong> dérivée du diagramme <strong>de</strong> Charlie.Selon le modèle <strong>de</strong> Charlie à <strong>de</strong>ux dim<strong>en</strong>sions (3-6), on peut écrire :T42( )2( s) = D + D + ( s − s )= Charlie(3-19.b)moyLa dérivée <strong>de</strong> cette équation nous permettra d’étudier <strong>la</strong> robustesse aux variationsdans les anneaux asynchrones.dCharliedsCharliemin( s) ( s − smin)2D + D + ( s − s )= (3-19.b)moy( )2Charlieminlims→±∞dCharlieds( s)→ 1etlims→smindCharlieds( s)→ 0Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Architecture et Modélisation <strong>de</strong>s <strong>Oscil<strong>la</strong>teurs</strong> <strong>en</strong> <strong>Anneau</strong> <strong>Asynchrones</strong> 59Figure 3-15 : Le diagramme <strong>de</strong> Charlie pour différ<strong>en</strong>tes valeurs <strong>de</strong> D charlieFigure 3-16 : La valeur absolue <strong>de</strong> <strong>la</strong> dérivée <strong>de</strong> Charlie(s) pour différ<strong>en</strong>tes valeur <strong>de</strong> D charlieOn voit l’importance <strong>de</strong> l’effet Charlie pour corriger les erreurs dues aux étagesprécéd<strong>en</strong>t et suivant un étage (dans le cas où on fonctionne dans <strong>la</strong> vallée du diagramme <strong>de</strong>Charlie ( s → s min) ). Dans le cas d’une erreur dans le dé<strong>la</strong>i <strong>de</strong> l’étage i+1, ce<strong>la</strong> modifiera <strong>la</strong>valeur <strong>de</strong> s à l’étage i, et donc <strong>la</strong> valeur <strong>de</strong> Charlie(s). En se situant dans <strong>la</strong> vallée dudiagramme <strong>de</strong> Charlie, cette modification <strong>de</strong> <strong>la</strong> valeur <strong>de</strong> s sera corrigée par l’étage i grâce àl’effet <strong>de</strong> Charlie et minimisera l'effet <strong>de</strong> cette erreur dans l’anneau.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


60 Chapitre 3La figure 3-16 montre que, plus <strong>la</strong> valeur D charlie est importante, plus l’intervalle <strong>de</strong>robustesse est <strong>la</strong>rge. L’effet Charlie permet donc <strong>de</strong> minimiser les variations <strong>de</strong> <strong>la</strong> pério<strong>de</strong>.4. ValidationsNous avons conçu trois anneaux asynchrones à cinq, dix et onze étages, <strong>en</strong> utilisant<strong>la</strong> technologie CMOS 65nm <strong>de</strong> STMicroelectronics, <strong>en</strong> utilisant notre librairie TAL (<strong>TIMA</strong>Asynchronous Library) et les librairies standards <strong>de</strong> STMicroelectronics pourl’implém<strong>en</strong>tation physique. Nous avons utilisé l’outil Eldo <strong>de</strong> ‘‘M<strong>en</strong>tor Graphics’’ sousl’<strong>en</strong>vironnem<strong>en</strong>t <strong>de</strong> Cad<strong>en</strong>ce pour <strong>la</strong> simu<strong>la</strong>tion <strong>de</strong>s différ<strong>en</strong>tes configurations possibles <strong>de</strong>ces anneaux. Les résultats <strong>de</strong> simu<strong>la</strong>tions sont dans le Tableau 3-2:Les paramètres temporels <strong>de</strong> l’étage utilisé sont montrés dans le Tableau 3-1Tableau 3-1 : Les paramètres temporels <strong>de</strong> l’étageD ff (ps) D rr (ps) D charlie (ps)48 57 5CasNombred’étagesTableau 3-2 : Résultats <strong>de</strong> simu<strong>la</strong>tionsN T /N B R=N T /N B(simu<strong>la</strong>tionélectrique)Fréqu<strong>en</strong>ceMHzFréqu<strong>en</strong>ce(Mo<strong>de</strong>l)MHzConsommationdynamique(µW)Mo<strong>de</strong>d’Oscil<strong>la</strong>tionRapportCycliqueA 11 10T/1B 10 796 797 136 Régulier 50%B 11 8T/3B 2.66 2417 2386 410 Régulier 50%C 11 6T/5B 1.2 3908 3914 682 Régulier 50%D 11 4T/7B 0.57 3802 3737 634 Rafale NCE 11 2T/9B 0.2 1879 1891 320 Régulier 20%F 10 8T/2B 4 1751 1752 272 Régulier 50%G 10 6T/4B 1.5 3441 3476 546 Régulier 50%H 10 4T/6B 0.67 4143 4064 638 Régulier 50%I 10 2T/8B 0.25 2082 2081 320 Régulier 22%J 5 4T/1B 4 1747 1752 136 Régulier 50%K 5 2T/3B 0.67 4133 4064 320 Régulier 50%Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Architecture et Modélisation <strong>de</strong>s <strong>Oscil<strong>la</strong>teurs</strong> <strong>en</strong> <strong>Anneau</strong> <strong>Asynchrones</strong> 61Les simu<strong>la</strong>tions montr<strong>en</strong>t qu’avec le même rapport R, on obti<strong>en</strong>t <strong>la</strong> même fréqu<strong>en</strong>ced’oscil<strong>la</strong>tion quelque soit le nombre d’étages (Cas F et J) et qu’avec le même nombre <strong>de</strong>Bulles(Jeton) si on est limité <strong>en</strong> bulles (limité <strong>en</strong> Jetons), <strong>la</strong> puissance consommée estid<strong>en</strong>tique quelque soit le nombre d’étages <strong>de</strong> l’anneau (cas A et J & E et I). De plus pour unmême nombre d’étages, l’anneau peut être configuré facilem<strong>en</strong>t <strong>en</strong> contrô<strong>la</strong>nt le nombre <strong>de</strong>jetons et <strong>de</strong> bulles, contrairem<strong>en</strong>t aux oscil<strong>la</strong>teurs à inverseurs pour lesquels <strong>la</strong> fréqu<strong>en</strong>ce estfixe et <strong>la</strong> puissance consommée dép<strong>en</strong>d du nombre d’étages.Le Tableau 3-2 montre que le modèle <strong>de</strong> calcul <strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tionprés<strong>en</strong>té dans ce chapitre est très précis, avec une erreur <strong>de</strong> précision qui ne dépasse pas les2% si l'on compare aux simu<strong>la</strong>tions électriques.5. ConclusionDans ce chapitre nous avons prés<strong>en</strong>té l’architecture <strong>de</strong> l’oscil<strong>la</strong>teur <strong>en</strong> anneauasynchrone et son principe <strong>de</strong> fonctionnem<strong>en</strong>t. Nous avons prés<strong>en</strong>té un modèle qui intègreles effets <strong>de</strong> Charlie et <strong>de</strong> drafting qui sont <strong>de</strong>s effets analogiques qui caractéris<strong>en</strong>t <strong>la</strong> porte<strong>de</strong> Muller. Une métho<strong>de</strong> analytique pour calculer <strong>la</strong> fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion <strong>de</strong>s oscil<strong>la</strong>teursasynchrones est proposée. Cette métho<strong>de</strong> est très efficace et très précise. Elle nous permet<strong>de</strong> calculer <strong>la</strong> fréqu<strong>en</strong>ce <strong>en</strong> fonction <strong>de</strong>s paramètres temporels <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller et dunombre <strong>de</strong> jetons et <strong>de</strong> bulles qui circul<strong>en</strong>t dans l’anneau. L’importance <strong>de</strong>s effets <strong>de</strong>Charlie et <strong>de</strong> drafting est discutée. L’inclusion <strong>de</strong> ces <strong>de</strong>ux paramètres permet d'avoir <strong>de</strong>ssimu<strong>la</strong>tions numériques précises qui nous font gagner beaucoup <strong>de</strong> temps comparativem<strong>en</strong>tà une simu<strong>la</strong>tion analogique. En outre, nous avons id<strong>en</strong>tifié le point <strong>de</strong> fonctionnem<strong>en</strong>t quiprés<strong>en</strong>te <strong>de</strong>s caractéristiques qui limit<strong>en</strong>t les variations <strong>de</strong> <strong>la</strong> pério<strong>de</strong> d'oscil<strong>la</strong>tion et quiaugm<strong>en</strong>t<strong>en</strong>t <strong>la</strong> robustesse <strong>de</strong> l’oscil<strong>la</strong>teur face aux variations <strong>de</strong>s procédés <strong>de</strong> fabrication.Finalem<strong>en</strong>t <strong>la</strong> configurabilité <strong>de</strong> ces oscil<strong>la</strong>teurs est montrée. Avec un même oscil<strong>la</strong>teur,plusieurs fréqu<strong>en</strong>ces d’oscil<strong>la</strong>tion peuv<strong>en</strong>t être obt<strong>en</strong>ues. La fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion nedép<strong>en</strong>d pas ici du nombre d’étages <strong>de</strong> l’anneau mais simplem<strong>en</strong>t <strong>de</strong> sa configuration initialejetons/bulles.Les caractéristiques <strong>de</strong> programmation <strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ce, <strong>de</strong> robustesse, <strong>de</strong> stabilité <strong>en</strong>fréqu<strong>en</strong>ce <strong>de</strong> ces oscil<strong>la</strong>teurs sembl<strong>en</strong>t très appropriées pour limiter le bruit <strong>de</strong> phase(comme nous le détaillerons par <strong>la</strong> suite) et donc pour constituer <strong>de</strong>s circuits générateurd’horloges hautes fréqu<strong>en</strong>ces et haute résolution (cf. Chapitre 5). Il est à noter que saconfigurabilité naturelle <strong>en</strong> fera aussi un bon candidat pour les applications <strong>de</strong> type DFVS(cf. Chapitre 6)Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


62 Chapitre 3Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Les différ<strong>en</strong>tes implém<strong>en</strong>tations <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller 63Chapitre 4.Les différ<strong>en</strong>tesimplém<strong>en</strong>tations <strong>de</strong> <strong>la</strong> porte<strong>de</strong> Muller1. IntroductionComme nous l’avons déjà évoqué dans les chapitres précéd<strong>en</strong>ts, <strong>la</strong> porte <strong>de</strong> Mullerest un composant très utilisé pour <strong>la</strong> conception <strong>de</strong> circuits et systèmes asynchrones. Ell<strong>en</strong>ous sert notamm<strong>en</strong>t à implém<strong>en</strong>ter les protocoles <strong>de</strong> communication asynchrones. Elle aété introduite par D.E. Muller [MUL 59], elle est appelée aussi ‘‘C-elem<strong>en</strong>t’’. Elle réaliseun r<strong>en</strong><strong>de</strong>z-vous <strong>en</strong>tre <strong>de</strong>ux signaux. La valeur <strong>de</strong>s <strong>en</strong>trées est copiée sur <strong>la</strong> sortie lorsquecelles-ci sont id<strong>en</strong>tiques. Si les <strong>en</strong>trées sont différ<strong>en</strong>tes, <strong>la</strong> sortie conserve <strong>la</strong> <strong>de</strong>rnière valeurmémorisée.Comme <strong>la</strong> porte <strong>de</strong> Muller est le composant principal <strong>de</strong> l’anneau asynchrone, ilnous a paru indisp<strong>en</strong>sable <strong>de</strong> l’étudier finem<strong>en</strong>t afin <strong>de</strong> choisir l’implém<strong>en</strong>tation <strong>la</strong> plusadéquate pour notre application. Dans ce chapitre nous prés<strong>en</strong>tons les différ<strong>en</strong>tesimplém<strong>en</strong>tations <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller avec <strong>de</strong>s comparaisons <strong>de</strong> consommation, <strong>de</strong>fréqu<strong>en</strong>ce et <strong>de</strong> bruit <strong>de</strong> phase. Ces portes ont aussi été étudiées dans le but <strong>de</strong> trouver lesrègles <strong>de</strong> conception permettant d’avoir <strong>de</strong>s cellules optimisées <strong>en</strong> vitesse et <strong>en</strong> bruit <strong>de</strong>phase. Pour ce<strong>la</strong>, nous sommes partis du modèle dit « <strong>de</strong> l’effort logique » introduit par[SUT 99] et nous avons affiné notre technique par <strong>de</strong>s simu<strong>la</strong>tions réalisées avec <strong>la</strong>technologie CMOS 65 nm <strong>de</strong> STMicroelectronics. Nous introduisons aussi une nouvelleOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


64 Chapitre 4structure d'étage <strong>de</strong> notre oscil<strong>la</strong>teur qui est composé uniquem<strong>en</strong>t d'une porte <strong>de</strong> Mullerpossédant <strong>de</strong>ux sorties complém<strong>en</strong>taires. Cet oscil<strong>la</strong>teur modifié nous permet notamm<strong>en</strong>td’améliorer les performances <strong>de</strong> l’oscil<strong>la</strong>teur asynchrone <strong>en</strong> vitesse et <strong>en</strong> consommation.2. Les différ<strong>en</strong>tes implém<strong>en</strong>tations <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller.Dans <strong>la</strong> littérature, il existe trois implém<strong>en</strong>tations statiques différ<strong>en</strong>tes <strong>de</strong> <strong>la</strong> porte <strong>de</strong>Muller. L’implém<strong>en</strong>tation conv<strong>en</strong>tionnelle par Suther<strong>la</strong>nd [SUT 89], <strong>la</strong> symétrique par VanBerkel [BER 94] et <strong>la</strong> Weak-feedback par Martin [MAR 89] <strong>en</strong> sus <strong>de</strong> l’implém<strong>en</strong>tationdynamique.Une comparaison <strong>en</strong>tre ces implém<strong>en</strong>tations <strong>en</strong> termes <strong>de</strong> vitesse et <strong>de</strong>consommation <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller a été réalisée par Shams et al. [SHA 97][SHA 98] <strong>en</strong>effectuant <strong>de</strong>s simu<strong>la</strong>tions spice (<strong>en</strong> utilisant une technologie BiCMOS 0.8µm fonctionnantsous 3.0 V et dont les inverseurs ont un fan-out <strong>de</strong> 3). Dans [PIG98], les implém<strong>en</strong>tationsCMOS sont générées à partir <strong>de</strong> graphes <strong>de</strong> transition <strong>de</strong> signaux. Plusieursimplém<strong>en</strong>tations <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller ont été conçues et comparées <strong>en</strong> termes <strong>de</strong> vitesse et<strong>de</strong> consommation. D’autres implém<strong>en</strong>tations différ<strong>en</strong>tielles ont été proposées et citées dans[SHA 98] [PIG 98], elles ne sont pas concernées par cette étu<strong>de</strong> car leurs performances sontinsuffisantes pour nos applications.Ces travaux ont conclu que l’implém<strong>en</strong>tation dynamique <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller estprobablem<strong>en</strong>t <strong>la</strong> meilleure, que <strong>la</strong> statique est une très bonne alternative, que <strong>la</strong>conv<strong>en</strong>tionnelle est un cran <strong>en</strong> <strong>de</strong>ssous et que <strong>la</strong> weak feedback est sûrem<strong>en</strong>t <strong>la</strong> moinsappropriée. Dans le cadre <strong>de</strong> notre travail, et après l’optimisation <strong>de</strong> ces cellules, nous avonscomparé ces implém<strong>en</strong>tations <strong>en</strong> terme <strong>de</strong> consommation, <strong>de</strong> fréqu<strong>en</strong>ce et <strong>de</strong> bruit <strong>de</strong> phase(dans le cas particulier <strong>de</strong> l’oscil<strong>la</strong>teur <strong>en</strong> anneau asynchrone) <strong>en</strong> utilisant <strong>la</strong> technologieCMOS 65 nm <strong>de</strong> STMicroeloectronics. Ces résultats ont été publiés dans <strong>la</strong> confér<strong>en</strong>cePATMOS’2010 [ELI 10a].2.1. L’implém<strong>en</strong>tation dynamiqueL’implém<strong>en</strong>tation dynamique est prés<strong>en</strong>tée dans <strong>la</strong> figure 4-1, elle est constituée <strong>de</strong><strong>de</strong>ux transistors PMOS et <strong>de</strong> <strong>de</strong>ux transistors NMOS montés <strong>en</strong> série. Cette structure sertégalem<strong>en</strong>t <strong>de</strong> base lors <strong>de</strong> l’implém<strong>en</strong>tation <strong>de</strong>s versions statiques <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller. Lesversions statiques <strong>de</strong> cette porte ont <strong>en</strong> plus un dispositif <strong>de</strong> mémorisation <strong>de</strong> <strong>la</strong> valeur <strong>en</strong>sortie.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Les différ<strong>en</strong>tes implém<strong>en</strong>tations <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller 65Dans les implém<strong>en</strong>tations statiques, il existe donc <strong>de</strong>ux types <strong>de</strong> transistors : ceuxqui contribu<strong>en</strong>t à <strong>la</strong> commutation <strong>de</strong> <strong>la</strong> sortie (les transistors <strong>de</strong> basculem<strong>en</strong>t ou« switchers »), et ceux qui permett<strong>en</strong>t <strong>de</strong> conserver <strong>la</strong> valeur <strong>de</strong> l’état <strong>de</strong> sortie lorsque lesvaleurs <strong>de</strong>s <strong>en</strong>trées sont différ<strong>en</strong>tes (les transistors <strong>de</strong> mainti<strong>en</strong> ou « keepers »). Lestransistors <strong>de</strong> mainti<strong>en</strong> ne sont pas actifs lors <strong>de</strong>s commutations mais contribu<strong>en</strong>t à <strong>la</strong>contre-réaction pour maint<strong>en</strong>ir l'état <strong>de</strong> <strong>la</strong> sortie quand les valeurs <strong>de</strong>s <strong>en</strong>trées sontdiffér<strong>en</strong>tes. Ils sont donc les plus petits possible afin <strong>de</strong> réduire leur effet capacitif.C’Figure 4-1 : L’implém<strong>en</strong>tation dynamique <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller2.2. L’implém<strong>en</strong>tation « weak feedback »L’implém<strong>en</strong>tation ‘‘weak feedback’’ <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller est illustrée dans <strong>la</strong> figure4-2. Cette implém<strong>en</strong>tation est composée <strong>de</strong> transistors <strong>de</strong> basculem<strong>en</strong>t id<strong>en</strong>tiques àl’implém<strong>en</strong>tation dynamique, <strong>en</strong> plus d’un inverseur utilisé <strong>en</strong> contre-réaction (N4 and P4)pour maint<strong>en</strong>ir l’état <strong>de</strong> <strong>la</strong> sortie dans le cas où les <strong>en</strong>trées sont différ<strong>en</strong>tes. Cetteimplém<strong>en</strong>tation génère un conflit sur le noeud marqué d'un point noir lors <strong>de</strong> <strong>la</strong>commutation <strong>de</strong> <strong>la</strong> sortie. En effet, le réseau <strong>de</strong> transistors P et N impose un pot<strong>en</strong>tiel qui estdiffér<strong>en</strong>t <strong>de</strong> celui est qui imposé par l'inverseur <strong>de</strong> contre-réaction.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


66 Chapitre 4C’Figure 4-2: L’implém<strong>en</strong>tation Weak feedback2.3. L’implém<strong>en</strong>tation conv<strong>en</strong>tionnellePour résoudre ce problème I. Suther<strong>la</strong>nd a proposé l’implém<strong>en</strong>tation dite« conv<strong>en</strong>tionnelle » (cf. figure 4-3). La structure week-feedback a donc été complétée avecquatre transistors supplém<strong>en</strong>taires (N5, N6, P5 et P6) pour déconnecter l'inverseur <strong>de</strong>contre-réaction quand les <strong>en</strong>trées ont <strong>de</strong>s t<strong>en</strong>sions id<strong>en</strong>tiques. Les transistors N4, N5, N6,P4, P5 and P6 sont dim<strong>en</strong>sionnés à <strong>la</strong> taille minimale permise par <strong>la</strong> technologie pourminimiser leurs effets capacitifs.2.4. L’implém<strong>en</strong>tation symétriqueLa porte <strong>de</strong> Muller introduite par Van Berkel illustrée dans <strong>la</strong> figure 4-4 estlégèrem<strong>en</strong>t différ<strong>en</strong>te <strong>de</strong>s précéd<strong>en</strong>tes. Le réseau <strong>de</strong> transistors <strong>en</strong> <strong>en</strong>trée est divisé <strong>en</strong> <strong>de</strong>uxparties id<strong>en</strong>tiques. Les transistors <strong>de</strong> mainti<strong>en</strong> sont numérotés N6 et P6 sur <strong>la</strong> figure 4-4. Ilest à noter que les transistors <strong>de</strong> basculem<strong>en</strong>t (P1, P2, P3, P4, N1, N2, N3 et N4) sont aussiimpliqués dans le mainti<strong>en</strong> <strong>de</strong> l’état <strong>de</strong> sortie. De ce point <strong>de</strong> vue, cette implém<strong>en</strong>tation estdiffér<strong>en</strong>te <strong>de</strong> celles vue précé<strong>de</strong>mm<strong>en</strong>t où les transistors <strong>de</strong> basculem<strong>en</strong>t n'interv<strong>en</strong>ai<strong>en</strong>t pasdans le mainti<strong>en</strong> <strong>de</strong> l'état <strong>de</strong> sortie.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Les différ<strong>en</strong>tes implém<strong>en</strong>tations <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller 67C’Figure 4-3: L’implém<strong>en</strong>tation conv<strong>en</strong>tionnelleC’Figure 4-4: L’implém<strong>en</strong>tation symétrique2.5. Les implém<strong>en</strong>tations différ<strong>en</strong>tiellesLes structures statiques <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller sont construites autour d’un pointmémoire statique formé <strong>de</strong> <strong>de</strong>ux inverseurs tête bêche. Dans une telle structure, àOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


68 Chapitre 4l’exception <strong>de</strong>s instants <strong>de</strong> basculem<strong>en</strong>t, les niveaux logiques <strong>de</strong>s <strong>de</strong>ux noeuds C et C’ sonttoujours opposés. Cette caractéristique permet <strong>de</strong> concevoir à moindre coût <strong>de</strong>s circuitsasynchrones à logique différ<strong>en</strong>tielle.Figure 4-5: Une implém<strong>en</strong>tation <strong>en</strong> logique différ<strong>en</strong>tielleLa figure 4-5 représ<strong>en</strong>te une <strong>de</strong>s implém<strong>en</strong>tations proposées <strong>en</strong> logiquediffér<strong>en</strong>tielle. Les pot<strong>en</strong>tiels /A et /B représ<strong>en</strong>t<strong>en</strong>t le fil "négatif", ils form<strong>en</strong>t une pairediffér<strong>en</strong>tielle avec le fil "positif" associé A et B. Pour éviter que les inverseurs soi<strong>en</strong>tsurdim<strong>en</strong>sionnés pour apporter <strong>la</strong> sortance nécessaire à <strong>la</strong> porte, cette structure compr<strong>en</strong>d<strong>de</strong>ux inverseurs supplém<strong>en</strong>taires pour émettre les signaux <strong>de</strong> sortie, le dim<strong>en</strong>sionnem<strong>en</strong>t dupoint mémoire et <strong>de</strong>s étages d’<strong>en</strong>trée est donc beaucoup moins contraints par <strong>la</strong> sortance etpeut se faire à <strong>de</strong> très faibles <strong>la</strong>rgeurs <strong>de</strong> transistors.Dans notre étu<strong>de</strong>, on va se cont<strong>en</strong>ter d’étudier et <strong>de</strong> comparer les quatre premièresimplém<strong>en</strong>tations (non différ<strong>en</strong>tielles). Les implém<strong>en</strong>tations différ<strong>en</strong>tielles ont un dé<strong>la</strong>i plusgrand et une consommation plus importante. De plus, leur dim<strong>en</strong>sionnem<strong>en</strong>t est assezdifficile à cause du problème <strong>de</strong> déca<strong>la</strong>ge <strong>en</strong>tre les <strong>de</strong>ux rails positif et négatif [SHA 97].Les premières simu<strong>la</strong>tions que nous avons effectuées lors cette étu<strong>de</strong> ont confirmé que cesstructures ne sont pas intéressantes pour notre application.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Les différ<strong>en</strong>tes implém<strong>en</strong>tations <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller 693. Conception <strong>de</strong> l’étage <strong>de</strong> l’oscil<strong>la</strong>teur asynchrone.3.1. Utilisation <strong>de</strong> <strong>la</strong> métho<strong>de</strong> <strong>de</strong> l’effort logique.La première étape est <strong>de</strong> trouver une métho<strong>de</strong> efficace pour concevoir les portes <strong>de</strong>Muller ou plus précisém<strong>en</strong>t pour concevoir l’étage <strong>de</strong> l’anneau asynchrone composé <strong>de</strong> <strong>la</strong>porte <strong>de</strong> Muller et d’un inverseur. Pour ce<strong>la</strong>, nous avons appliqué le modèle <strong>de</strong> l’effortlogique introduit par I. Suther<strong>la</strong>nd et al. [SUT 99].3.1.1. Prés<strong>en</strong>tation <strong>de</strong> <strong>la</strong> métho<strong>de</strong> <strong>de</strong> l’effort logiqueL’effort logique est une métho<strong>de</strong> simple permettant d'évaluer le dé<strong>la</strong>i d’un circuitCMOS et <strong>de</strong> calculer un dim<strong>en</strong>sionnem<strong>en</strong>t grossier mais raisonnable <strong>de</strong>s transistors. Lemodèle a été conçu pour optimiser un chemin logique <strong>en</strong> vitesse. Or, nous faisonsl’hypothèse que l’optimisation <strong>de</strong> l’étage <strong>en</strong> vitesse impliquera une optimisation <strong>en</strong> termes<strong>de</strong> bruit <strong>de</strong> phase. Ce point sera vérifié par <strong>la</strong> suite. Ce paragraphe prés<strong>en</strong>te les paramètresutilisés par cette métho<strong>de</strong> ainsi que les étapes <strong>de</strong> dim<strong>en</strong>sionnem<strong>en</strong>t associées. Lesprincipaux paramètres <strong>de</strong> cette métho<strong>de</strong> sont prés<strong>en</strong>tés dans le tableau 4-1.Tableau 4-1: Les principaux paramètres <strong>de</strong> <strong>la</strong> métho<strong>de</strong> <strong>de</strong> l’effort logiqueL’expression L’expression pour unLe termepour un étagecheminL’effort logique g G = ∏giL’effort électrique h = C outCinH = C out −pathCin−pathL’effort <strong>de</strong>bi= CTotalCUtileB =branchem<strong>en</strong>t∏ biL’effort f=gh F=GBH)L’effort <strong>de</strong> l’étage1 Nf = FL'effort logique g donne une indication sur combi<strong>en</strong> <strong>de</strong> fois une porte produitmoins <strong>de</strong> courant <strong>en</strong> sortie qu'un inverseur <strong>de</strong> base (pour <strong>la</strong> même capacité <strong>en</strong> <strong>en</strong>trée). Onexprime l’effort logique d’un chemin par G = ∏ gi. g est l’effort logique <strong>de</strong> l’étage.L’effort électrique h décrit l’<strong>en</strong>vironnem<strong>en</strong>t électrique <strong>de</strong> <strong>la</strong> porte logique etcomm<strong>en</strong>t il affecte les performances et <strong>la</strong> taille <strong>de</strong>s transistors <strong>de</strong> <strong>la</strong> porte (ce<strong>la</strong> revi<strong>en</strong>t àdéterminer <strong>la</strong> sortance ou fan-out <strong>en</strong> ang<strong>la</strong>is). La sortance est ici exprimée <strong>en</strong> termes <strong>de</strong>capacité et non <strong>en</strong> nombre <strong>de</strong> portes équival<strong>en</strong>tes. L'effort logique est exprimé par <strong>la</strong>formuleh = C outCinpour un étage et par H = C out −pathCin−pathpour un chemin avec :Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


70 Chapitre 4• C out ( C −) est <strong>la</strong> capacité <strong>de</strong> <strong>la</strong> charge <strong>en</strong> sortie <strong>de</strong> <strong>la</strong> porte (sans lesoutpathcapacités parasites internes). Le terme est au numérateur car plus <strong>la</strong> charge <strong>en</strong> sortie estgran<strong>de</strong> plus le dé<strong>la</strong>i pour <strong>la</strong> charger est grand (hypothèse : charge d'un cond<strong>en</strong>sateur par uncourant constant).• C in (Cin− path) est <strong>la</strong> capacité d'<strong>en</strong>trée <strong>de</strong> <strong>la</strong> porte (fonction <strong>de</strong> <strong>la</strong> taille <strong>de</strong>stransistors reliés aux <strong>en</strong>trées). Le terme est au dénominateur car, <strong>en</strong> supposant les transistors<strong>de</strong> longueur minimale, le courant dans le transistor dép<strong>en</strong>d linéairem<strong>en</strong>t <strong>de</strong> sa <strong>la</strong>rgeur (donc<strong>de</strong> sa capacité pour L donné).L'effort <strong>de</strong> branchem<strong>en</strong>t b d'une porte est introduit pour t<strong>en</strong>ir compte du fanoutinterne d'une porte qui n'est pas uniquem<strong>en</strong>t sur le chemin considéré (non reliée à <strong>la</strong> sortie).Il est exprimé pareffortbi= CTotalCUtilepour un étage et B = ∏ bipour un chemin.Le dé<strong>la</strong>i sur tout le chemin est minimal quand tous les étages support<strong>en</strong>t le même)1 Nf = g h = F avec F l’effort sur tout le chemin : F=GBH. Alors On peutiidim<strong>en</strong>sionner les étages <strong>en</strong> appliquant :ii1Ng h = F soitCCin,iout,igi= (4-1)fˆ3.1.2. Application <strong>de</strong> <strong>la</strong> métho<strong>de</strong> à l’étage <strong>de</strong> l’oscil<strong>la</strong>teurIci on applique <strong>la</strong> métho<strong>de</strong> <strong>de</strong> l’effort logique afin d’obt<strong>en</strong>ir une règle <strong>de</strong>dim<strong>en</strong>sionnem<strong>en</strong>t <strong>de</strong> l’étage dans le but d’avoir l’étage le plus rapi<strong>de</strong> possible. La métho<strong>de</strong>est appliquée sur <strong>la</strong> structure dynamique, mais on estime que les résultats seront va<strong>la</strong>blespour les quatre structures car les transistors <strong>de</strong> mainti<strong>en</strong> sont <strong>de</strong> tailles suffisamm<strong>en</strong>t petitespar rapport aux transistors <strong>de</strong> basculem<strong>en</strong>t pour qu’on puisse négliger leur effet.Dans <strong>la</strong> structure <strong>de</strong> l’anneau asynchrone, <strong>la</strong> sortie <strong>de</strong> chaque étage est reliée àl’<strong>en</strong>trée F <strong>de</strong> l’étage suivant et l’<strong>en</strong>trée R <strong>de</strong> l’étage précéd<strong>en</strong>t. Donc chaque étage estchargé par :C = C + C(4-2)outRFCF= ( 1 + γ ) Wn(4-3) et CR= U × ( 1+γ ) Wn2(4-4)La capacité <strong>de</strong> sortie <strong>de</strong> l’étage estOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Les différ<strong>en</strong>tes implém<strong>en</strong>tations <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller 71Cout( U + 1) × ( + γ ) Wn=21(4-5)où C F , C R et C out sont respectivem<strong>en</strong>t les capacités <strong>de</strong>s <strong>en</strong>trées F, R et <strong>la</strong> sortie <strong>de</strong> l’étage.γ est le ratio <strong>de</strong> <strong>la</strong> <strong>la</strong>rgeur <strong>de</strong>s transistors PMOS sur <strong>la</strong> <strong>la</strong>rgeur <strong>de</strong>s transistors NMOSγ=wp/wn. U1 et U2 sont les rapports <strong>en</strong>tre <strong>la</strong> <strong>la</strong>rgeur du transistor NMOS <strong>de</strong> l’arbreprincipal <strong>de</strong> <strong>la</strong> porte et les <strong>la</strong>rgeurs <strong>de</strong>s transistors NMOS <strong>de</strong>s inverseurs <strong>de</strong> sortie etd’<strong>en</strong>trée (figure 4-6).On comm<strong>en</strong>cera par l’étu<strong>de</strong> du chemin R → C , ce chemin est composé <strong>de</strong> troissous-étages, l’inverseur d’<strong>en</strong>trée, l’arbre principal <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller et l’inverseur <strong>de</strong>sortie.Figure 4-6: L’étage dynamique <strong>de</strong> l’oscil<strong>la</strong>teur asynchroneL’effort logique <strong>de</strong> l’étageC C + C C 1→(4-6)Uout R FFHR C= = = 1+= 1+CinCRCR2L’effort <strong>de</strong> branchem<strong>en</strong>t B = ∑ bi = 1×1×1 = 1(4-7)L’effort logique G = ∑ gi = 1×2×1 = 2(4-8)L’effort du cheminR → ⎛ 1C⎟ ⎞F = G × B × H = 2 × ⎜1+(4-9)⎝ U2⎠Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


72 Chapitre 4L’effort <strong>de</strong> l’étage pour avoir le dé<strong>la</strong>i minimum est :131ˆ⎛ 2 ⎞Nf = F =⎜2+⎟(4-10)⎝ U2 ⎠On sait, selon le modèle, que pour avoir le dé<strong>la</strong>i minimum il faut respecter <strong>la</strong>Cre<strong>la</strong>tion suivanteCinoutgi= . En appliquant cette règle sur notre circuit, on trouve :fˆCCin1out1=⎛ 2 ⎞⎜2+U⎟⎝ 2 ⎠CCinin2113=2=⎛ 2 ⎞⎜2+U⎟⎝ 2 ⎠CCinin2( 1+γ ) ⋅U1U1=( U2+ 1) × ( 1+γ ) 1+U213=1=⎛ 2 ⎞⎜2+U⎟⎝ 2 ⎠( 1+γ ) 1=( 1+γ ) ⋅U1U113=( 1+γ ) ⋅U2= U2( 1+γ )(4-11)(4-12)(4-13)avecCin,in1C et Cinrespectivem<strong>en</strong>t les capacités d’<strong>en</strong>trée <strong>de</strong> l’inverseur d’<strong>en</strong>trée, <strong>de</strong>2l’arbre principal <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller et <strong>de</strong> l’inverseur <strong>de</strong> sortie.A partir <strong>de</strong> l’équation (4-13) on trouve que U 2 =0.56, et à partir <strong>de</strong>s équations (4-11)et (4-12) on trouve que U 1 =0.89.Nous allons suivre les mêmes étapes pour le chemin F → C qui est composé <strong>de</strong><strong>de</strong>ux sous-étages ; l’arbre principal <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller et l’inverseur <strong>de</strong> sortie.CoutCR+ CFCRL’effort logique <strong>de</strong> l’étage HF→ C= = = 1+= 1+U2(4-14)C C CL’effort <strong>de</strong> branchem<strong>en</strong>t B = ∑ bi = 1×1 = 1(4-15)L’effort logique G = ∑ gi = 1×2 = 2(4-16)inFFL’effort du cheminF → C F = G × B×H = 2×( 1+)(4-17)U 2Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Les différ<strong>en</strong>tes implém<strong>en</strong>tations <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller 73L’effort <strong>de</strong> l’étage pour avoir le minimum dé<strong>la</strong>i est :1( 2 + 2 U ) 21ˆ Nf = F = ⋅(4-18)( 1+γ)⋅ U1U1=( U2+ 1) × ( 1+γ) 1 U2Cin11=1Cout( 2 + 2 ⋅ U ) 2+22= (4-19)CC( 1+γ)1( 1+γ) ⋅ U1U12= (4-20)in 2= =1in ( 2 2 U ) 21+ ⋅2On trouve que U 1 =0.89 et U 2 =0.56 sont <strong>de</strong>s solutions à ces <strong>de</strong>ux équations ((4-19) et(4-20)).3.2. Dim<strong>en</strong>sionnem<strong>en</strong>t par simu<strong>la</strong>tions électriquesAfin <strong>de</strong> comparer les résultats <strong>de</strong> ce modèle, on a réalisé <strong>de</strong>s simu<strong>la</strong>tions sousCad<strong>en</strong>ce avec <strong>la</strong> technologie CMOS065 <strong>de</strong> STMicroelectronics à l’ai<strong>de</strong> <strong>de</strong> l’outilICAnalyste <strong>de</strong> M<strong>en</strong>tor Graphics qui se base sur <strong>de</strong>s simu<strong>la</strong>tions Eldo RF.Le but étant <strong>de</strong> trouver les règles <strong>de</strong> dim<strong>en</strong>sionnem<strong>en</strong>t pour avoir <strong>la</strong> porte <strong>de</strong> Mullerou plus précisém<strong>en</strong>t l’étage <strong>de</strong> l’anneau le plus optimisé <strong>en</strong> termes <strong>de</strong> vitesse, <strong>de</strong>consommation et <strong>de</strong> bruit <strong>de</strong> phase. Nous avons simulé quelques exemples d’anneaux avecles différ<strong>en</strong>tes implém<strong>en</strong>tations <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller et nous avons comparé lesperformances <strong>de</strong>s quatre implém<strong>en</strong>tations prés<strong>en</strong>tées au début <strong>de</strong> ce chapitre.Nous avons réalisé un ba<strong>la</strong>yage <strong>de</strong>s valeurs <strong>de</strong>s paramètres U 1 et U 2 , et pour chaquevaleur du couple (U 1 , U 2 ) nous extrayons <strong>la</strong> fréqu<strong>en</strong>ce, le bruit <strong>de</strong> phase, le FOM et uneestimation <strong>de</strong> <strong>la</strong> surface pour une consommation donnée. Nous avons effectué cessimu<strong>la</strong>tions pour différ<strong>en</strong>tes configurations Jetons/Bulles et pour les quatre implém<strong>en</strong>tations<strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller à différ<strong>en</strong>tes valeurs <strong>de</strong> γ=wp /wn et à différ<strong>en</strong>ts niveaux <strong>de</strong>consommation. Pour chaque configuration nous cherchons <strong>la</strong> valeur du couple (U 1 , U 2 ) afind’avoir l’étage le plus rapi<strong>de</strong>.Par <strong>la</strong> suite, nous avons comparé les différ<strong>en</strong>tes implém<strong>en</strong>tations <strong>de</strong> <strong>la</strong> porte <strong>de</strong>Muller <strong>en</strong>tre elles avec les valeurs <strong>de</strong> U 1 et U 2 optimales <strong>en</strong> termes <strong>de</strong> vitesse.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


74 Chapitre 43.3. Fréqu<strong>en</strong>ce optimale d’oscil<strong>la</strong>tionLes Figures 4-7 et 4-8 prés<strong>en</strong>t<strong>en</strong>t le résultat <strong>de</strong>s simu<strong>la</strong>tions <strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ce <strong>en</strong>fonction <strong>de</strong>s paramètres U 1 et U 2 . On remarque qu’il existe un point optimum <strong>en</strong> vitesse. Letableau 4-2 prés<strong>en</strong>te ce point optimum pour différ<strong>en</strong>tes combinaisons Jetons/Bulles.Figure 4-7 : La fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion <strong>en</strong> fonction <strong>de</strong> (U1, U2) <strong>en</strong> 3DFigure 4-8 : La fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion <strong>en</strong> fonction <strong>de</strong> (U1, U2) <strong>en</strong> 2DOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Les différ<strong>en</strong>tes implém<strong>en</strong>tations <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller 75Tableau 4-2: Les valeurs d’U 1 et U 2 <strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ce optimaleFreq. optimale<strong>Anneau</strong>xU 1 U 23 étages 1B/2T 1 0.94 étages 2B/2T 1 0.95 étages 1B/4T 1 0.95 étages 3B/2T 0.9 0.5On remarque que le point optimum <strong>en</strong> vitesse dans les trois premiers cas est( U , U2) ( 1,0.9)( , U ) ( 0.9,0.5)1= . Pour <strong>la</strong> configuration 3B/2T, le point optimal est localisé àU21= . C’est <strong>la</strong> seule configuration qui correspon<strong>de</strong> aux résultats obt<strong>en</strong>us par<strong>la</strong> métho<strong>de</strong> <strong>de</strong> l’effort logique.Dans les cas 1B/2T, 2B/2T et 1B/4T le point <strong>de</strong> fonctionnem<strong>en</strong>t se situe dans <strong>la</strong>partie limitée <strong>en</strong> bulles du diagramme <strong>de</strong> Charlie (D rr ≥ D ff ). Donc seul le dé<strong>la</strong>i D rrintervi<strong>en</strong>t dans le calcul <strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion. L’optimisation se fait sur un seulchemin D rr . L’égalitéN N = D D qui correspond à <strong>la</strong> fréqu<strong>en</strong>ce maximale ne peut êtreTBffrratteinte car elle exige d’avoir un D ff ≥ D rr ce qui est impossible avec <strong>la</strong> structure proposée.Dans ce cas, l’algorithme cherche à optimiser le cheminR → C <strong>en</strong> pr<strong>en</strong>ant <strong>en</strong> comptel’<strong>en</strong>trée F comme une capacité car D ff ne participe pas au fonctionnem<strong>en</strong>t <strong>de</strong> l’oscil<strong>la</strong>teur etdonc au calcul <strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion. Ce<strong>la</strong> explique les différ<strong>en</strong>ces avec les valeursobt<strong>en</strong>ues par <strong>la</strong> métho<strong>de</strong> <strong>de</strong> l’effort logique.Dans le cas 3B/2T, l’égalitéN N = D D (fréqu<strong>en</strong>ce maximale) est facilem<strong>en</strong>tatteignable et l’optimisation s’effectue sur les <strong>de</strong>ux cheminsexplique <strong>la</strong> validité <strong>de</strong>s résultats obt<strong>en</strong>us par <strong>la</strong> métho<strong>de</strong> <strong>de</strong> l’effort logique.TBffrrR → C et F → C , ce quiNous avons effectué les mêmes simu<strong>la</strong>tions pour les quatre implém<strong>en</strong>tations, lesrésultats sont id<strong>en</strong>tiques. Nous remarquons aussi que le point optimum <strong>en</strong> vitessecorrespond toujours au point optimum <strong>en</strong> FOM, ce qui confirme notre hypothèse <strong>de</strong> départ.Par conséqu<strong>en</strong>t, une optimisation <strong>en</strong> vitesse <strong>de</strong> l’étage nous permettra aussi <strong>de</strong> réduire lebruit <strong>de</strong> phase <strong>de</strong> l’oscil<strong>la</strong>teur. De plus, ce point optimum se situe toujours dans une zone où<strong>la</strong> surface est très réduite.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


76 Chapitre 43.4. Comparaison <strong>de</strong>s différ<strong>en</strong>tes implém<strong>en</strong>tations.ions.3.4.1. Fréqu<strong>en</strong>ce vs. ConsommationLa figure 4-9 représ<strong>en</strong>te <strong>la</strong> fréqu<strong>en</strong>ce <strong>en</strong> fonction <strong>de</strong> puissance dynamiqueconsommée par l’oscil<strong>la</strong>teur dans le cas optimal pour les quatre implém<strong>en</strong>tations <strong>de</strong> <strong>la</strong> porte<strong>de</strong> Muller. Nous avons choisi une configuration <strong>de</strong> cinq étages avec 2 jetons et 3 bulles. Laconsommation dynamique <strong>de</strong> puissance est calculée avec un wn qui varie <strong>de</strong> 0.12 µm et3µm.Nous avons effectué cette simu<strong>la</strong>tion pour différ<strong>en</strong>tes configurations et pourdiffér<strong>en</strong>tes valeurs <strong>de</strong> γ=wp/wn. Les conclusions sont id<strong>en</strong>tiques quelle que soit <strong>la</strong>configuration et <strong>la</strong> valeur <strong>de</strong> γ : L’implém<strong>en</strong>tation dynamique est le meilleur choix pour lesapplications rapi<strong>de</strong>s à basse consommation. L’implém<strong>en</strong>tation symétrique est le meilleurcompromis <strong>en</strong>tre <strong>la</strong> basse consommation, <strong>la</strong> haute fréqu<strong>en</strong>ce et <strong>la</strong> robustesse <strong>de</strong>fonctionnem<strong>en</strong>t du circuit. Les implém<strong>en</strong>tations conv<strong>en</strong>tionnelle et ‘‘weak feedback’’ nouspermett<strong>en</strong>t d’osciller à <strong>de</strong>s fréqu<strong>en</strong>ces plus basses avec une surface réduite.Figure 4-9 : La consommation dynamique vs. Fréqu<strong>en</strong>ceLa fréqu<strong>en</strong>ce maximale qu’on peut atteindre avec cette structure <strong>de</strong>s oscil<strong>la</strong>teursasynchrones <strong>en</strong> anneau est <strong>de</strong> 6.6 GHz <strong>en</strong>viron avec l’implém<strong>en</strong>tation dynamique <strong>en</strong>utilisant <strong>la</strong> technologie CMOS 65 nm <strong>de</strong> STMicroelectronics.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Les différ<strong>en</strong>tes implém<strong>en</strong>tations <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller 77Dans le but d’améliorer les performances <strong>de</strong>s oscil<strong>la</strong>teurs asynchrones <strong>en</strong> anneau,nous proposons un étage modifié. L’étage modifié est simplem<strong>en</strong>t <strong>la</strong> porte <strong>de</strong> Muller sansl’inverseur à l’<strong>en</strong>trée R et avec <strong>de</strong>s sorties complém<strong>en</strong>taires C et C’.3.4.2. L’étage modifié <strong>de</strong> l’oscil<strong>la</strong>teur <strong>en</strong> anneau asynchroneLa figure 4-10 représ<strong>en</strong>te <strong>la</strong> structure <strong>de</strong> l’oscil<strong>la</strong>teur <strong>en</strong> anneau asynchrone modifiéque nous proposons. Pour chaque étage, <strong>la</strong> sortie C est reliée à l’<strong>en</strong>trée F <strong>de</strong> l’étage suivantet <strong>la</strong> sortie complém<strong>en</strong>taire C’est connectée à l’<strong>en</strong>trée R <strong>de</strong> l’étage précéd<strong>en</strong>t.Figure 4-10 : L’oscil<strong>la</strong>teur <strong>en</strong> anneau asynchrone modifiéCette nouvelle structure nous permet d’augm<strong>en</strong>ter <strong>la</strong> fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tionmaximale <strong>de</strong> 25% et <strong>de</strong> réduire <strong>la</strong> consommation <strong>de</strong> puissance <strong>de</strong> 55% à <strong>la</strong> fréqu<strong>en</strong>cemaximale et <strong>de</strong> 30% par jeton ou bulle. Avec cette structure modifiée nous atteignons <strong>la</strong>fréqu<strong>en</strong>ce maximale <strong>de</strong> 8.3 GHz avec l’implém<strong>en</strong>tation symétrique <strong>en</strong> CMOS065. (Voirtableau 4-3)Tableau 4-3: La fréqu<strong>en</strong>ce et <strong>la</strong> consommation pour différ<strong>en</strong>tes config.Modifié C<strong>la</strong>ssique ModifiéConfig.2T/1B 2T/3B 2T/3BFreq.(GHz) 7.9 6.4 6.1Conso. (µW) 398 892 698La figure 4-9 représ<strong>en</strong>te <strong>la</strong> consommation <strong>de</strong> puissance <strong>en</strong> fonction <strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ce<strong>en</strong> utilisant l’étage modifié. Les résultats <strong>de</strong> comparaison sont très proches <strong>de</strong>s résultatsobt<strong>en</strong>us précé<strong>de</strong>mm<strong>en</strong>t. La principale différ<strong>en</strong>ce est que les performances <strong>de</strong>l’implém<strong>en</strong>tation dynamique sont très proches <strong>de</strong> l’implém<strong>en</strong>tation dynamique voiremeilleures quand wn est assez <strong>la</strong>rge. Cette amélioration est due à <strong>la</strong> structure particulière <strong>de</strong>l’implém<strong>en</strong>tation symétrique dont l’arbre principal est divisé <strong>en</strong> <strong>de</strong>ux parties. En effet, pourl’implém<strong>en</strong>tation dynamique, les transistors NMOS and PMOS atteign<strong>en</strong>t leur dé<strong>la</strong>i <strong>de</strong>Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


78 Chapitre 4saturation plus tôt que les transistors <strong>de</strong> l’implém<strong>en</strong>tation symétrique, et pour un wn <strong>la</strong>rgel’effet <strong>de</strong>s transistors du mainti<strong>en</strong> <strong>de</strong>vi<strong>en</strong>t négligeable. En plus, l’implém<strong>en</strong>tationsymétrique assure un comportem<strong>en</strong>t correct <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller à basse fréqu<strong>en</strong>ce.Figure 4-11 : La consommation dynamique Vs. Fréqu<strong>en</strong>ce pour les anneaux modifiésComme on peut remarquer à partir <strong>de</strong>s figures 4-9 et 4-11, l’implém<strong>en</strong>tation ‘‘weakfeedback’’ dispose d’une p<strong>la</strong>ge <strong>de</strong> fréqu<strong>en</strong>ce très <strong>la</strong>rge à l’opposé <strong>de</strong>s implém<strong>en</strong>tationssymétrique et dynamique qui dispos<strong>en</strong>t d’une p<strong>la</strong>ge réduite <strong>de</strong> fréqu<strong>en</strong>ce. En outre,l’implém<strong>en</strong>tation ‘‘weak feedback’’ nous permet d’atteindre <strong>de</strong>s fréqu<strong>en</strong>ces basses à faiblecoût grâce à une surface réduite.3.4.3. Le bruit <strong>de</strong> phaseLa figure 4-12 montre le facteur <strong>de</strong> mérite (FOM) <strong>en</strong> fonction <strong>de</strong> <strong>la</strong> <strong>la</strong>rgeur wn.Cette figure montre que les performances <strong>en</strong> termes <strong>de</strong> bruit <strong>de</strong> phase <strong>de</strong> l’implém<strong>en</strong>tation‘‘weak feedback’’ sont mauvaise par rapport aux autres implém<strong>en</strong>tations. On noteégalem<strong>en</strong>t que l’implém<strong>en</strong>tation conv<strong>en</strong>tionnelle a un bruit <strong>de</strong> phase plus faible dans <strong>la</strong>majorité <strong>de</strong>s cas.On peut remarquer aussi à partir <strong>de</strong> <strong>la</strong> figure 4-11, qui prés<strong>en</strong>te une comparaison <strong>en</strong>termes <strong>de</strong> bruit <strong>de</strong> phase, que pour une fréqu<strong>en</strong>ce donnée, <strong>la</strong> performance <strong>en</strong> bruit <strong>de</strong> phaseest meilleure <strong>en</strong> utilisant une implém<strong>en</strong>tation conv<strong>en</strong>tionnelle plutôt qu’une implém<strong>en</strong>tation‘‘weak feedback’’ bi<strong>en</strong> que cette <strong>de</strong>rnière consomme plus <strong>de</strong> puissance (normalem<strong>en</strong>t pluson consomme plus on améliore <strong>la</strong> performance <strong>en</strong> bruit <strong>de</strong> phase).Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Les différ<strong>en</strong>tes implém<strong>en</strong>tations <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller 79Figure 4-12 : Le facteur <strong>de</strong> mérite <strong>en</strong> fonction <strong>de</strong> <strong>la</strong> <strong>la</strong>rgeur wnFigure 4-13 : Le bruit <strong>de</strong> Phase <strong>en</strong> fonction <strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tionOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


80 Chapitre 44. ConclusionsDans ce chapitre, une comparaison <strong>de</strong>s différ<strong>en</strong>tes implém<strong>en</strong>tations <strong>de</strong> <strong>la</strong> porte <strong>de</strong>Muller <strong>en</strong> termes <strong>de</strong> vitesse, <strong>de</strong> consommation, <strong>de</strong> puissance et <strong>de</strong> bruit <strong>de</strong> phase a été faite.Nous avons utilisé dans un premier temps <strong>la</strong> métho<strong>de</strong> <strong>de</strong> l’effort logique afin <strong>de</strong> déterminerles règles <strong>de</strong> dim<strong>en</strong>sionnem<strong>en</strong>t <strong>de</strong>s étages. Nous avons <strong>en</strong>suite effectué <strong>de</strong>s simu<strong>la</strong>tionsélectriques pour confirmer ces résultats théoriques. Ce<strong>la</strong> nous permet <strong>de</strong> conclure que <strong>la</strong>métho<strong>de</strong> <strong>de</strong> l’effort logique est un bon point <strong>de</strong> départ pour comm<strong>en</strong>cer <strong>la</strong> conception, maiselle n’est pas suffisante dans le cas <strong>de</strong>s oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchrones. Les règles <strong>de</strong>dim<strong>en</strong>sionnem<strong>en</strong>t dép<strong>en</strong>d<strong>en</strong>t aussi <strong>de</strong> <strong>la</strong> configuration initiale <strong>de</strong>s oscil<strong>la</strong>teurs (<strong>en</strong> termes <strong>de</strong>jetons et <strong>de</strong> bulles), donc les simu<strong>la</strong>tions électriques sont nécessaires pour optimiser lesperformances.Les comparaisons faites nous permett<strong>en</strong>t <strong>de</strong> conclure que l’implém<strong>en</strong>tationsymétrique est un bon compromis <strong>en</strong>tre <strong>la</strong> basse consommation et le comportem<strong>en</strong>t correct<strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller. Pour les applications à hautes fréqu<strong>en</strong>ces, les implém<strong>en</strong>tationsdynamique et symétrique sont les meilleures candidates. Les implém<strong>en</strong>tationsconv<strong>en</strong>tionnelle et ‘‘weak feedback’’ nous permett<strong>en</strong>t <strong>en</strong> revanche d’accé<strong>de</strong>r à <strong>de</strong>sfréqu<strong>en</strong>ces plus basses avec un faible coût <strong>en</strong> surface. Pour les applications à faible bruit, onrecomman<strong>de</strong> fortem<strong>en</strong>t d’éviter l’utilisation <strong>de</strong> l’implém<strong>en</strong>tation ‘‘weak feedback’’ et icil’implém<strong>en</strong>tation conv<strong>en</strong>tionnelle semble être le bon choix. Nous avons aussi proposé unétage modifié <strong>de</strong> l’anneau, Composé seulem<strong>en</strong>t par une porte <strong>de</strong> Muller avec une sortiecomplém<strong>en</strong>taire C’, qui nous permet d’augm<strong>en</strong>ter <strong>la</strong> fréqu<strong>en</strong>ce maximale <strong>de</strong> 30% et <strong>de</strong>réduire <strong>la</strong> consommation <strong>de</strong> puissance <strong>de</strong> 55% à <strong>la</strong> fréqu<strong>en</strong>ce maximale. Le tableau 4-4.prés<strong>en</strong>te une comparaison sommaire <strong>en</strong>tre les différ<strong>en</strong>tes implém<strong>en</strong>tations. On note quecette comparaison est va<strong>la</strong>ble aussi bi<strong>en</strong> pour les étages c<strong>la</strong>ssiques que les étages modifiés.Tableau 4-4 : Comparaison <strong>en</strong>tre les quatre implém<strong>en</strong>tationsFréqu<strong>en</strong>ce Conso.Bruit <strong>de</strong>phaseFOM P<strong>la</strong>ge <strong>de</strong> fréqu<strong>en</strong>ceDynamique Haute Faible Haut Faible CourteSymétrique Haute Faible Haut Faible CourteConv<strong>en</strong>tionnelle Moy<strong>en</strong>ne Moy<strong>en</strong>ne Faible Faible Moy<strong>en</strong>neWeak feed-back Faible Importante Moy<strong>en</strong> Haut LargeOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


81Partie III.Applications.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


82Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Génération d’Horloge à Haute Résolution et à Faible Bruit <strong>de</strong> Phase 83Chapitre 5.Génération d’horloges polyphases à hautefréqu<strong>en</strong>ce et à faible bruit <strong>de</strong> phase.1. IntroductionLes oscil<strong>la</strong>teurs sont <strong>de</strong>s élém<strong>en</strong>ts ess<strong>en</strong>tiels dans <strong>de</strong> nombreuses applications. Ilsfont partie intégrante <strong>de</strong>s PLL, <strong>de</strong>s systèmes dits <strong>de</strong> ‘‘Clock recovery’’ et <strong>de</strong>s synthétiseurs<strong>de</strong> fréqu<strong>en</strong>ce. La conception d’horloges polyphasées à faible bruit <strong>de</strong> phase est crucialesurtout quand un grand nombre <strong>de</strong> phases est nécessaire. Il y a beaucoup <strong>de</strong> travaux dans <strong>la</strong>littérature portant sur <strong>la</strong> conception d'horloges polyphasées. Les oscil<strong>la</strong>teurs à hautefréqu<strong>en</strong>ce peuv<strong>en</strong>t être implém<strong>en</strong>tés <strong>en</strong> utilisant <strong>de</strong>s structures <strong>en</strong> anneau, <strong>de</strong>s circuits <strong>de</strong>re<strong>la</strong>xation ou <strong>de</strong>s circuits LC. Il est à noter que les architectures <strong>en</strong> anneau sont intéressantescar elles peuv<strong>en</strong>t facilem<strong>en</strong>t fournir <strong>de</strong>s horloges polyphases avec une surface très réduite.Ce chapitre propose une méthodologie pour générer <strong>de</strong>s horloges polyphasées àhaute fréqu<strong>en</strong>ce basée sur un oscil<strong>la</strong>teur <strong>en</strong> anneau asynchrone. Nous verrons aussicomm<strong>en</strong>t on peut générer <strong>de</strong>s signaux <strong>en</strong> quadrature <strong>de</strong> phases simplem<strong>en</strong>t avec un anneaucomposé <strong>de</strong> quatre étages. Nous expliquerons comm<strong>en</strong>t <strong>la</strong> configurabilité <strong>de</strong>s oscil<strong>la</strong>teursasynchrones peut être utilisée pour réduire le bruit <strong>de</strong> phase simplem<strong>en</strong>t <strong>en</strong> doub<strong>la</strong>nt l<strong>en</strong>ombre d'étapes sans modification <strong>de</strong> <strong>la</strong> structure <strong>de</strong> l’étage et <strong>en</strong> gardant une mêmefréqu<strong>en</strong>ce d'oscil<strong>la</strong>tion. Nous proposons <strong>en</strong>suite un flot <strong>de</strong> conception pour concevoir unoscil<strong>la</strong>teur polyphase à haute fréqu<strong>en</strong>ce et à faible bruit <strong>de</strong> phase. Ce travail à été publiédans VLSI-Soc’10 [ELI 10b] et ICM’10 [ELI 10c].Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


84 Chapitre 5Dans ce travail nous avons utilisé <strong>la</strong> technologie CMOS065nm <strong>de</strong>STMicroelectronics <strong>en</strong> utilisant <strong>la</strong> librairie TAL “<strong>TIMA</strong> Asynchronous Library” et leslibrairies standards <strong>de</strong> STMicroelectronics pour l’implém<strong>en</strong>tation physique. Nous avonsutilisé le flot <strong>de</strong> conception “Cad<strong>en</strong>ce”. Le bruit <strong>de</strong> phase est simulé avec l’outil SSTNOISEdu simu<strong>la</strong>teur Eldo RF <strong>de</strong> M<strong>en</strong>tor Graphics.2. Les oscil<strong>la</strong>teurs polyphasés.De nombreuses applications nécessit<strong>en</strong>t une horloge à haute fréqu<strong>en</strong>ce disposant <strong>de</strong>plusieurs phases équidistantes <strong>en</strong> sortie. On parle dans ce cas <strong>de</strong> <strong>la</strong> résolution <strong>de</strong>l’oscil<strong>la</strong>teur qui est le pas <strong>de</strong> temps <strong>en</strong>tre <strong>de</strong>ux phases équidistantes généré par l’oscil<strong>la</strong>teur.Les oscil<strong>la</strong>teurs <strong>en</strong> anneau à base d’inverseurs sont très souv<strong>en</strong>t utilisés pour produire ceg<strong>en</strong>re <strong>de</strong> signaux. Le problème principal auquel nous sommes confrontés avec lesoscil<strong>la</strong>teurs à base d’inverseurs pour générer les horloges polyphasées est <strong>la</strong> dégradation <strong>en</strong>1/x <strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ce quand un nombre <strong>de</strong> phases important est nécessaire. La fréqu<strong>en</strong>ceproduite par les oscil<strong>la</strong>teurs <strong>en</strong> anneau à base d’inverseur est déterminée par le nombred’étages dans l’anneau et le dé<strong>la</strong>i <strong>de</strong> l‘étage. De plus, leur résolution est limitée au dé<strong>la</strong>i <strong>de</strong>l’étage. La seule façon pour obt<strong>en</strong>ir plus <strong>de</strong> phases est d'ajouter plus d’étages, ce quidiminue <strong>la</strong> fréqu<strong>en</strong>ce maximale et n’améliore pas <strong>la</strong> résolution du système. Par conséqu<strong>en</strong>t,les oscil<strong>la</strong>teurs <strong>en</strong> anneau à base d’inverseurs ne peuv<strong>en</strong>t pas être utilisés dans lesapplications nécessitant <strong>de</strong>s horloges à haute fréqu<strong>en</strong>ce et à haute résolution.Un exemple d’application, qui ne peut pas utiliser d’oscil<strong>la</strong>teur <strong>en</strong> anneau à based’inverseurs, est le générateur <strong>de</strong> signaux à haute précision utilisés pour <strong>la</strong> vérificationfonctionnelle <strong>de</strong> circuit intégré monolithique [GAS 90]. Lors du test <strong>de</strong>s circuits intégrésnumériques, le dé<strong>la</strong>i <strong>de</strong> résolution qui est nécessaire pour avoir <strong>de</strong>s mesures précises estsouv<strong>en</strong>t plus petit que le dé<strong>la</strong>i d’une porte du dispositif sous test. Cette résolution fine peutêtre obt<strong>en</strong>ue seulem<strong>en</strong>t avec <strong>de</strong>s oscil<strong>la</strong>teurs <strong>en</strong> anneau <strong>en</strong> utilisant une technologie <strong>de</strong>circuits intégrés plus rapi<strong>de</strong> pour le testeur que pour le dispositif sous test.Le principal problème dans l’utilisation <strong>de</strong>s oscil<strong>la</strong>teurs à base d’inverseurs est <strong>la</strong>baisse <strong>de</strong> fréqu<strong>en</strong>ce lorsqu’un nombre considérable <strong>de</strong> phases est nécessaire. De multiplestechniques architecturales ont été proposées pour augm<strong>en</strong>ter <strong>la</strong> fréqu<strong>en</strong>ce maximale <strong>de</strong>soscil<strong>la</strong>teurs <strong>en</strong> anneau à base d’inverseurs avec <strong>de</strong>s sorties polyphasées. Certaines <strong>de</strong> cestechniques inclu<strong>en</strong>t l'utilisation <strong>de</strong> boucles <strong>de</strong> rétroaction [SUN 99] [SUN 01], <strong>de</strong> métho<strong>de</strong>sd'interpo<strong>la</strong>tion <strong>de</strong>s sorties [SUG 97], <strong>de</strong> boucles <strong>de</strong> rétroaction multiples [JEO 99],d’inverseurs à retard négatifs [LEE 97] et d’oscil<strong>la</strong>teurs couplés [MAN 94]. Cep<strong>en</strong>dant,Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Génération d’Horloge à Haute Résolution et à Faible Bruit <strong>de</strong> Phase 85toutes ces techniques nécessit<strong>en</strong>t une calibration soigneuse pour arriver à une hauteprécision et leur résolution <strong>de</strong>meure limitée. La modification <strong>de</strong> l'architecture augm<strong>en</strong>te <strong>la</strong>fréqu<strong>en</strong>ce d'oscil<strong>la</strong>tion, mais le bruit <strong>de</strong> phase et <strong>la</strong> gigue sont égalem<strong>en</strong>t <strong>de</strong>s considérationsimportantes. Les oscil<strong>la</strong>teurs <strong>en</strong> anneau ont souv<strong>en</strong>t recours à <strong>de</strong>s étages <strong>de</strong> gain analogique,mais <strong>la</strong> po<strong>la</strong>risation <strong>de</strong>s transistors <strong>en</strong> conduction continue augm<strong>en</strong>te leur contribution aubruit total [EKE 04].Un cas particulier <strong>de</strong>s oscil<strong>la</strong>teurs polyphasés sont les oscil<strong>la</strong>teurs qui génèr<strong>en</strong>t <strong>de</strong>ssignaux <strong>en</strong> quadrature <strong>de</strong> phase. La complexité <strong>de</strong> ces systèmes montre c<strong>la</strong>irem<strong>en</strong>t que lesarchitectures d’émetteurs/récepteurs radiofréqu<strong>en</strong>ces utilis<strong>en</strong>t systématiquem<strong>en</strong>t <strong>de</strong>sgénérateurs <strong>de</strong> fréqu<strong>en</strong>ce <strong>en</strong> quadrature <strong>de</strong> phase aussi bi<strong>en</strong> <strong>en</strong> émission qu’<strong>en</strong> réception etl’importance <strong>de</strong> <strong>la</strong> précision du déphasage <strong>de</strong> 90° sur les performances <strong>de</strong>s systèmes [COR04]. La génération <strong>de</strong> signaux <strong>en</strong> quadrature <strong>de</strong> phase nécessite un anneau à based’inverseurs avec un nombre pair d’étages. Or, comme nous avons vu dans le premierchapitre, ce<strong>la</strong> n’est malheureusem<strong>en</strong>t pas possible avec <strong>la</strong> structure standard <strong>de</strong> cesoscil<strong>la</strong>teurs. Pour ce<strong>la</strong> il faut utiliser <strong>de</strong>s structures différ<strong>en</strong>tielles <strong>de</strong> type CML ‘‘Curr<strong>en</strong>tMo<strong>de</strong> Logic’’ [HAJ 99] ou <strong>de</strong>s boucles <strong>de</strong> rétroaction [SUN 99].Avec les technologies nanométriques, il est nécessaire <strong>de</strong> faire face à <strong>la</strong> variabilité<strong>de</strong>s procédés <strong>de</strong> fabrication, à <strong>la</strong> stabilité dans le temps et au bruit <strong>de</strong> phase. Aujourd'hui, d<strong>en</strong>ombreuses étu<strong>de</strong>s sont m<strong>en</strong>ées sur les oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchrones qui prés<strong>en</strong>t<strong>en</strong>t<strong>de</strong>s caractéristiques bi<strong>en</strong> adaptées pour <strong>la</strong> gestion <strong>de</strong> <strong>la</strong> variabilité <strong>de</strong>s processus <strong>en</strong> offrantune structure appropriée pour limiter le bruit <strong>de</strong> phase. Par conséqu<strong>en</strong>t, les oscil<strong>la</strong>teurs <strong>en</strong>anneau asynchrones sont considérés comme une solution prometteuse pour générer <strong>de</strong>shorloges. S. Fairbanks et S. Moore dans [FAI 04] ont introduit l'idée d’exploiter <strong>de</strong>soscil<strong>la</strong>teurs <strong>en</strong> anneau asynchrones pour générer <strong>de</strong>s signaux <strong>de</strong> synchronisation à hauterésolution. Leur robustesse vis-à-vis <strong>de</strong> <strong>la</strong> variabilité par rapport aux anneaux à based’inverseurs est prouvée dans [HAM 08]. Comme nous l’avons vu dans le chapitre 3, <strong>la</strong>fréqu<strong>en</strong>ce d'oscil<strong>la</strong>tion <strong>de</strong>s oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchrone ne dép<strong>en</strong>d pas seulem<strong>en</strong>t dunombre d'étages, mais aussi du nombre <strong>de</strong> jetons et <strong>de</strong> bulles. Ils peuv<strong>en</strong>t être facilem<strong>en</strong>tconfigurés pour changer leur fréqu<strong>en</strong>ce. Le contrôle <strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ce s’obti<strong>en</strong>t <strong>en</strong> modifiantl’initialisation <strong>de</strong>s anneaux, ce qui est impossible à réaliser avec <strong>de</strong>s anneaux à based’inverseurs qui, eux, ne sont pas programmables.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


86 Chapitre 53. Les phases dans les oscil<strong>la</strong>teurs <strong>en</strong> anneau3.1. Les phases dans les oscil<strong>la</strong>teurs à base d’inverseursLe nombre <strong>de</strong> phases et <strong>la</strong> fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion dans les oscil<strong>la</strong>teurs à based’inverseurs dép<strong>en</strong>d<strong>en</strong>t du nombre d’inverseurs. La fréqu<strong>en</strong>ce est exprimée par <strong>la</strong> formule(5-1).F1− INV(5-1)2.D .NOSC=où D inv est le dé<strong>la</strong>i <strong>de</strong> l’inverseur et N est le nombre d’étages dans l’anneau.Le nombre <strong>de</strong> phases équidistantes différ<strong>en</strong>tes est égal au nombre d'étages N. <strong>la</strong>fréqu<strong>en</strong>ce d'oscil<strong>la</strong>tion est inversem<strong>en</strong>t proportionnelle au nombre d'étages et le nombre <strong>de</strong>phases est directem<strong>en</strong>t lié au nombre d'étages. Plus le nombre <strong>de</strong> sorties polyphasées estimportant, plus <strong>la</strong> fréqu<strong>en</strong>ce est réduite. Les solutions proposées pour augm<strong>en</strong>ter <strong>la</strong>fréqu<strong>en</strong>ce sont vraim<strong>en</strong>t limitées dans le cas d'un nombre important <strong>de</strong> sorties polyphasées[SUN 01] [LEE 97] [MAN 94].inv3.2. Les phases dans les oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchronesDans le cas <strong>de</strong>s oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchrones, <strong>la</strong> fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion nedép<strong>en</strong>d pas du nombre d’étages dans l’anneau, mais dép<strong>en</strong>d du rapport jetons/bulles. Lafréqu<strong>en</strong>ce est fixée par le rapport NTNB. On notera Nminle nombre minimum d’étages quisatisfait ce rapport. Le nombre <strong>de</strong> phases différ<strong>en</strong>tesTtemporelle est égale à TPh= . T est <strong>la</strong> pério<strong>de</strong> <strong>de</strong> l’oscil<strong>la</strong>teur.NPhNPhest égale à Nmin. La résolution3.3. Les règles <strong>de</strong> conceptiond’un oscil<strong>la</strong>teur polyphasé à base d’anneauxasynchronesLes anneaux asynchrones offr<strong>en</strong>t <strong>de</strong>s caractéristiques très intéressantes. Larésolution temporelle n’est pas limitée au temps <strong>de</strong> propagation <strong>de</strong>s cellules. Il n’y a doncpas <strong>de</strong> re<strong>la</strong>tion directe <strong>en</strong>tre le nombre <strong>de</strong> phases et <strong>la</strong> fréqu<strong>en</strong>ce d'oscil<strong>la</strong>tion. Avoir unehaute fréqu<strong>en</strong>ce avec une résolution fine est tout à fait possible avec les anneauxOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Génération d’Horloge à Haute Résolution et à Faible Bruit <strong>de</strong> Phase 87asynchrones. Pour avoir <strong>de</strong>s sorties polyphasées avec une haute fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion, ondoit respecter les <strong>de</strong>ux conditions suivantes :• Choisir un rapport N T /N B le plus proche possible <strong>de</strong> D ff /D rr pour atteindre <strong>la</strong>fréqu<strong>en</strong>ce <strong>la</strong> plus élevée possible.• Ce rapport doit être irréductible pour avoir N = N N .Ph min=On rappelle que le nombre <strong>de</strong> jetons est toujours pair et donc un rapport N T /N B =10/2 estdonc considéré comme irréductible. On gar<strong>de</strong> donc ici le plus grand nombre pair.Exemples :• Un anneau <strong>de</strong> 9 étages avec 4T/5B produit 9 phases équidistantes carN = N 9.min Ph=• Un anneau <strong>de</strong> 10 étages avec 6T/4B produit 10 phases équidistantes carN = N 10 .min Ph=• Un anneau <strong>de</strong> 10 étages avec 4T/6B produit 5 phases équidistantes carN = N 5.min Ph=Le tableau 5-1 prés<strong>en</strong>te <strong>la</strong> fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion et le nombre <strong>de</strong>s sortiespolyphasées produites par différ<strong>en</strong>ts anneaux asynchrones. Nous avons choisi pour cesexemples les étages modifiés prés<strong>en</strong>tés dans le Chapitre 4 et simulés <strong>en</strong> utilisant <strong>la</strong>technologie CMOS 65nm <strong>de</strong> STMicroelectronics. Nous avons choisi à chaque fois lerapport N T /N B le plus porche <strong>de</strong> D ff /D rr . Ce tableau nous montre qu’on peut atteindre unehaute fréqu<strong>en</strong>ce avec un nombre important <strong>de</strong> sorties polyphasées. Par exemple, avec unanneau <strong>de</strong> 41 étages on peut atteindre <strong>la</strong> fréqu<strong>en</strong>ce <strong>de</strong> 7,19 GHz avec 41 sorties polyphaséessoit une résolution <strong>de</strong> 3,4ps !3.4. Comparaisons avec d’autres topologiesAfin <strong>de</strong> montrer l’efficacité <strong>de</strong> notre oscil<strong>la</strong>teur, nous avons fait une comparaisonavec les <strong>de</strong>ux principales métho<strong>de</strong>s proposées pour améliorer <strong>la</strong> fréqu<strong>en</strong>ce dans les anneauxbasés sur inverseurs ; les solutions <strong>de</strong> Sun et al. [SUN 01] et Lee et al. [LEE 97]. Nouscomparons <strong>la</strong> fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion maximale atteignable <strong>en</strong> fonction du nombre <strong>de</strong>phases produites par les oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchrones c<strong>la</strong>ssiques et modifiés, lesanneaux à base d’inverseurs et les solutions <strong>de</strong> Sun et al. et Lee et al.Notez que les résultats <strong>de</strong> Sun et al. et Lee et al. n'ont pas été simulés mais ont étéestimés <strong>en</strong> fonction <strong>de</strong> l'amélioration <strong>en</strong> pourc<strong>en</strong>tage rapporté dans les référ<strong>en</strong>ces [SUN 01]et [LEE 97] par rapport à l'anneau basé sur <strong>de</strong>s inverseurs. Seuls les oscil<strong>la</strong>teurs <strong>en</strong> anneauxOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


88 Chapitre 5asynchrones et les oscil<strong>la</strong>teurs basés sur <strong>de</strong>s inverseurs c<strong>la</strong>ssiques ont été simulés. Latopologie <strong>de</strong> Sun et al. est basée sur l'utilisation <strong>de</strong>s étages à base d’inverseurs et unetechnique d'interpo<strong>la</strong>tion servant à construire <strong>de</strong>s boucles <strong>de</strong> rétroaction rapi<strong>de</strong> dans unechaîne longue. Le gain <strong>en</strong> fréqu<strong>en</strong>ce avec cette topologie est d'<strong>en</strong>viron 70% par rapport à unanneau à base d’inverseur c<strong>la</strong>ssique. Lee et al. utilis<strong>en</strong>t <strong>la</strong> technique <strong>de</strong>s inverseurs à retardsnégatifs, <strong>la</strong> vitesse a été améliorée <strong>de</strong> 50% par rapport à un anneau à base d’inverseurs.Tableau 5-1 : Fréqu<strong>en</strong>ce et nombre <strong>de</strong> Phase pour différ<strong>en</strong>tes configurations (Drr=21.3psand Dff=38.2ps)Nombred’étagesNombre <strong>de</strong>JetonsNombre <strong>de</strong>BullesNombre<strong>de</strong> phasesFréqu<strong>en</strong>ce(GHz)3 2 1 3 4.324 2 2 4 6.315 2 3 5 4.646 2 4 6 7.027 4 3 7 6.958 6 2 8 5.759 4 5 9 5.6910 6 4 10 7.1011 6 5 11 5.8113 6 7 13 5.8914 6 8 14 5.5015 8 7 15 6.7116 10 6 16 7.1817 10 7 17 7.0518 10 8 18 6.8319 12 7 19 7.1831 18 13 31 7.0141 24 17 41 7.19Comme le montre <strong>la</strong> figure 5-1, les anneaux à base d’inverseurs peuv<strong>en</strong>t atteindre<strong>de</strong>s fréqu<strong>en</strong>ces plus élevées lorsqu’un faible nombre <strong>de</strong> phases est <strong>de</strong>mandé. Cep<strong>en</strong>dant,quand un grand nombre <strong>de</strong> phases est ciblé, les anneaux à base d’inverseurs perd<strong>en</strong>t leuravantage. Cette comparaison montre que l'utilisation <strong>de</strong>s anneaux asynchrones pour générer<strong>de</strong>s horloges polyphasées à haute fréqu<strong>en</strong>ce est le meilleur choix quand un grand nombre <strong>de</strong>phases est nécessaire. La résolution <strong>de</strong>s oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchrones est l'un <strong>de</strong>sprincipaux avantages. En effet, il est possible d'augm<strong>en</strong>ter le nombre <strong>de</strong> phases tout <strong>en</strong>conservant une même fréqu<strong>en</strong>ce d'oscil<strong>la</strong>tion(ou du moins une fréqu<strong>en</strong>ce proche). Ce<strong>la</strong>conduit à une amélioration <strong>de</strong> <strong>la</strong> résolution <strong>de</strong> ces oscil<strong>la</strong>teurs polyphasés. Par exemple, <strong>en</strong>utilisant 31 étages (comme le montre tableau 5-1), on produit une fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion <strong>de</strong>7,01 GHz avec 31 phases et une résolution <strong>de</strong> 4,6 ps. En augm<strong>en</strong>tant le nombre d'étages àOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Génération d’Horloge à Haute Résolution et à Faible Bruit <strong>de</strong> Phase 8941, nous atteignons une fréqu<strong>en</strong>ce légèrem<strong>en</strong>t plus élevée avec 41 phases régulièrem<strong>en</strong>tespacées et donc avec une meilleure résolution (3,4ps). Ce résultat n'est évi<strong>de</strong>mm<strong>en</strong>t pasréalisable avec <strong>de</strong>s anneaux à base d’inverseurs. Leur résolution reste toujours déterminéepar le retard <strong>de</strong> l’étage.Figure 5-1: Comparaison <strong>en</strong>tres les oscil<strong>la</strong>teurs asynchrones et à base d’inverseurs3.5. Implém<strong>en</strong>tations et simu<strong>la</strong>tionsLe tableau 5-2 prés<strong>en</strong>te les performances <strong>de</strong> trois oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchronespolyphasés. Les paramètres temporels <strong>de</strong>s étages utilisés sont D ff = 32,4ps et D rr = 42,4ps<strong>en</strong> utilisant <strong>de</strong>s étages c<strong>la</strong>ssiques. Nous avons conçu ces trois oscil<strong>la</strong>teurs <strong>en</strong> respectant lesrègles énoncées dans le paragraphe 3.2 pour atteindre <strong>la</strong> fréqu<strong>en</strong>ce maximale avec unnombre maximum <strong>de</strong> sorties polyphasées équidistantes, nous avons donc choisi <strong>de</strong>sconfigurations jetons/bulles respectant N T /N B≈ D ff /D rr et telles que N T /N B soit irréductible.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


90 Chapitre 5Tableau 5-2 : <strong>Oscil<strong>la</strong>teurs</strong> <strong>en</strong> anneau asynchrones avec le meme rapport Jetons/bulles (Dff =32.4ps et Drr = 42.4ps)Nombre d’étages T/BFréqu. Comso. N° <strong>de</strong> Résolution Bruit <strong>de</strong> phase à(GHz) (mW) phases (ps) 1 MHz (dBc/Hz)9 4/5 6.41 1.94 9 17.3 -82.921 10/11 6.16 4.47 21 7.7 -87.641 20/21 6.02 8.62 41 4 -90.7L'anneau à 9-étages oscille avec une fréqu<strong>en</strong>ce plus élevée que les <strong>de</strong>ux autres parceque son ratio N T /N B est plus proche <strong>de</strong> D ff /D rr . Notez que <strong>la</strong> fréqu<strong>en</strong>ce d'oscil<strong>la</strong>tion esttotalem<strong>en</strong>t indép<strong>en</strong>dante <strong>de</strong> <strong>la</strong> taille <strong>de</strong> l'anneau; pour d'autres paramètres temporels <strong>de</strong>sétages, <strong>la</strong> fréqu<strong>en</strong>ce d'oscil<strong>la</strong>tion à 41-étages peut être plus gran<strong>de</strong> que celles <strong>de</strong> l’anneau à9 étages. La figure 5-2 montre les résultats générés par l’oscil<strong>la</strong>teur à 41-étages.Figure 5-2: 41 phases générées par l’oscil<strong>la</strong>teur asynchrone à 41 étages à 6.02 GHz.Le tableau 5-2 montre qu’<strong>en</strong> plus <strong>de</strong> l’amélioration <strong>de</strong> <strong>la</strong> résolution obt<strong>en</strong>ue <strong>en</strong>augm<strong>en</strong>tant le nombre d’étages, nous avons égalem<strong>en</strong>t amélioré le bruit <strong>de</strong> phase. On aamélioré le bruit <strong>de</strong> phase <strong>de</strong> -7,8 dBc/Hz <strong>en</strong> passant <strong>de</strong> 9 étages à 41 étages. A premièrevue, ce<strong>la</strong> peut paraitre aberrant ; comm<strong>en</strong>t peut-on améliorer le bruit <strong>de</strong> phase <strong>en</strong> ajoutant duOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Génération d’Horloge à Haute Résolution et à Faible Bruit <strong>de</strong> Phase 91matériel ? Intuitivem<strong>en</strong>t on dirait plutôt le contraire ! C’est ce qui est expliqué dans leparagraphe suivant.4. Le bruit <strong>de</strong> phase4.1. Duplication du nombre d’étagesNous avons vu dans <strong>la</strong> Section 3 du Chapitre 1. que le bruit <strong>de</strong> phase dans lesoscil<strong>la</strong>teurs peut être modalisé par l’équation <strong>de</strong> Leeson (5-2)2⎛⎞⎜ 1 ⎡ ⎛ f ⎞ ⎛ ⎞⎛⎞⎤0f⎢⎥⎟⎜⎜ +cFkT0L (f⎜⎟⎟⎜⎟m) = 10×log 1+1(5-2)2⎟⎝⎢⎣⎝ 2Qfoff⎠ ⎝ foff⎠⎝Pdiss⎠⎥⎦⎠Q : Facteur <strong>de</strong> qualité <strong>en</strong> chargef 0 : Fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion.f off : Fréqu<strong>en</strong>ce <strong>de</strong> l’offsetf c : Fréqu<strong>en</strong>ce <strong>de</strong> coupureF : Facteur <strong>de</strong> bruitk : La constante <strong>de</strong> Boltzmann.T 0 : Température (290K).P diss : Puissance consomméeNos simu<strong>la</strong>tions nous ont montré qu’<strong>en</strong> doub<strong>la</strong>nt le nombre d’étages on améliore lebruit <strong>de</strong> phase <strong>de</strong> 3dBc/Hz. Selon l’équation <strong>de</strong> Leeson, il existe <strong>de</strong>ux solutions pouraméliorer le bruit <strong>de</strong> phase dans les oscil<strong>la</strong>teurs : <strong>en</strong> améliorant le facteur <strong>de</strong> charge Q ou <strong>en</strong>augm<strong>en</strong>tant <strong>la</strong> puissance P diss . Le bruit <strong>de</strong> phase est inversem<strong>en</strong>t proportionnel à <strong>la</strong>puissance. On peut donc améliorer le bruit <strong>de</strong> 3dB <strong>en</strong> doub<strong>la</strong>nt <strong>la</strong> puissance consommée(fréqu<strong>en</strong>ce et facteur <strong>de</strong> qualité restant inchangés). Les oscil<strong>la</strong>teurs <strong>en</strong> anneaux asynchrones,qui ont le même rapport NTNB, ont une même fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion, une même formed’on<strong>de</strong> <strong>en</strong> sortie et donc un même facteur <strong>de</strong> qualité Q. Selon Razavi [RAZ 96], le facteur<strong>de</strong> qualité peut être exprimé par l’équation suivante :Q22ω0⎛ dA ⎞ ⎛ dφ= +(5-3)2⎜ ⎟⎝ dω ⎠⎞⎜ ⎟⎝ dω ⎠Avec A, φ and ω 0 est l’amplitu<strong>de</strong>, <strong>la</strong> phase et pulsation du signal.Pour les oscil<strong>la</strong>teurs <strong>en</strong> anneau à base d’inverseurs, <strong>la</strong> seule façon d'augm<strong>en</strong>ter <strong>la</strong>consommation <strong>de</strong> puissance est d'augm<strong>en</strong>ter <strong>la</strong> <strong>la</strong>rgeur <strong>de</strong>s transistors wn, ce qui impliqueun changem<strong>en</strong>t dans <strong>la</strong> fréqu<strong>en</strong>ce d'oscil<strong>la</strong>tion. Au contraire, nous pouvons augm<strong>en</strong>ter <strong>la</strong>consommation d'énergie dans les STR tout <strong>en</strong> conservant <strong>la</strong> même fréqu<strong>en</strong>ce d'oscil<strong>la</strong>tion,Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


92 Chapitre 5ce qui est réalisable <strong>en</strong> augm<strong>en</strong>tant le nombre d'étages tout <strong>en</strong> maint<strong>en</strong>ant constant lerapport jetons/Bulles. Cette propriété est très intéressante dans les oscil<strong>la</strong>teurs <strong>en</strong> anneauasynchrones car elle nous permet d'avoir un <strong>de</strong>gré <strong>de</strong> liberté supplém<strong>en</strong>taire au cours <strong>de</strong> <strong>la</strong>phase <strong>de</strong> conception.Tableau 5-3: Les performances <strong>de</strong>s oscil<strong>la</strong>teurs asynchrones à R=2Bruit <strong>de</strong>Freq. Conso. phase àT/B(GHz) (mW) 1MHz(dBc/Hz)Nombred’etagesBruit <strong>de</strong>phase à10MHz(dBc/Hz)3 2T/1B 3.95 0.454 -82.97 -109.076 4T/2B 3.95 0.908 -85.98 -112.089 6T/3B 3.95 1.369 -87.74 -113.8412 8T/4B 3.95 1.817 -88.99 -115.0915 10T/5B 3.95 2.272 -89.96 -116.0618 12T/6B 3.95 2.726 -90.75 -116.8524 16T/8B 3.95 3.635 -92 -118.1Figure 5-3 : Le bruit <strong>de</strong> phase dans les oscil<strong>la</strong>teurs asynchrones 2T/1B, 4T/2B, 8T/4B et unoscil<strong>la</strong>teur à base d’inverseurs.Le tableau 5-3 et <strong>la</strong> figure 5-3 prés<strong>en</strong>t<strong>en</strong>t les performances <strong>de</strong>s oscil<strong>la</strong>teurs <strong>en</strong>anneau asynchrones avec un rapport N T /N B =2. Ces oscil<strong>la</strong>teurs oscill<strong>en</strong>t à <strong>la</strong> mêmefréqu<strong>en</strong>ce. Le bruit <strong>de</strong> phase est réduit <strong>de</strong> 3 dB lorsque le nombre d'étages est doublé, ce quiOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Génération d’Horloge à Haute Résolution et à Faible Bruit <strong>de</strong> Phase 93confirme notre analyse. Bi<strong>en</strong> sûr, nous ne pouvons pas avoir un bruit <strong>de</strong> phase nul avec unnombre très important d'étages due au p<strong>la</strong>ncher <strong>de</strong> bruit imposé par le bruit thermique HF.La figure 5-3 prés<strong>en</strong>te les résultats <strong>de</strong> <strong>la</strong> simu<strong>la</strong>tion <strong>de</strong>s oscil<strong>la</strong>teurs asynchrones2T/1B, 4T/2B, 8T/4B. Nous avons simulé à titre <strong>de</strong> comparaison aussi un oscil<strong>la</strong>teur <strong>en</strong>anneau à base d’inverseurs avec <strong>la</strong> même fréqu<strong>en</strong>ce <strong>en</strong>viron (13 étages, 4.17Ghz, 546 µA)que les oscil<strong>la</strong>teurs asynchrones. On remarque qu’il se situe dans le même ordre <strong>de</strong>gran<strong>de</strong>ur <strong>en</strong> termes <strong>de</strong> bruit <strong>de</strong> phase que les oscil<strong>la</strong>teurs asynchrones. On notel’amélioration <strong>de</strong> 3dBc/Hz du bruit <strong>de</strong> phase quand on double le nombre d’étages, ce qui estirréalisable avec les oscil<strong>la</strong>teurs à base d’inverseurs.4.2. La symétrie <strong>en</strong>tre les frontsPour <strong>de</strong>ux oscil<strong>la</strong>teurs avec <strong>de</strong>s <strong>la</strong>rgeurs différ<strong>en</strong>tes wn (<strong>la</strong>rgeur du canal NMOS)qui oscill<strong>en</strong>t à <strong>la</strong> même fréqu<strong>en</strong>ce et consomm<strong>en</strong>t <strong>la</strong> même quantité d'énergie, l’anneau qui ale plus grand wn sera meilleur <strong>en</strong> termes <strong>de</strong> bruit <strong>de</strong> phase. Ce<strong>la</strong> est dû au fait qu’une plusgran<strong>de</strong> <strong>la</strong>rgeur wn se traduit par <strong>de</strong>s transitions plus rapi<strong>de</strong>s. Ceci peut être expliqué par <strong>la</strong>fonction <strong>de</strong> s<strong>en</strong>sibilité impulsionnelle ISF introduite par Hajimiri [HAJ 99] et prés<strong>en</strong>téedans le Chapitre 1. qui représ<strong>en</strong>te <strong>la</strong> s<strong>en</strong>sibilité du signal à <strong>la</strong> perturbation.Figure 5-4 : Les formes d'on<strong>de</strong> approximative et <strong>de</strong> l'ISFUn autre point très important est <strong>la</strong> symétrie <strong>en</strong>tre les fronts montants et<strong>de</strong>sc<strong>en</strong>dants ; A. Hajimiri dans [HAJ 99] montre que <strong>la</strong> valeur <strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ce <strong>de</strong> coupure f Cest liée à <strong>la</strong> symétrie <strong>de</strong>s fronts.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


94 Chapitre 5avecl’ISF.2dc2rmsf 1 / f <strong>la</strong> fréqu<strong>en</strong>ce <strong>de</strong> coupure du bruit 1/f,Γf C = f 1 / f .(5-4)Γ2Γ dc et2Γ rms les valeurs DC et RMS <strong>de</strong>On peut remarquer à partir <strong>de</strong> <strong>la</strong> Figure 5-4 et <strong>de</strong> l’équation (5-4) que plus les frontssont symétriques plus <strong>la</strong> fréqu<strong>en</strong>ce <strong>de</strong> coupure f C t<strong>en</strong>d vers le zéro (on annule <strong>la</strong> valeur DC<strong>de</strong> l’ISF). Par conséqu<strong>en</strong>t, <strong>la</strong> symétrie <strong>en</strong>tre les fronts permet <strong>de</strong> réduire le bruit <strong>de</strong> phase.La figure 5-5 montre les résultats <strong>de</strong> simu<strong>la</strong>tions du facteur <strong>de</strong> mérite (FOM) <strong>de</strong> <strong>la</strong>fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion et <strong>de</strong> <strong>la</strong> puissance consommée <strong>en</strong> fonction <strong>de</strong> <strong>la</strong> valeur du rapport<strong>de</strong>s <strong>la</strong>rgeurs PMOS/NMOS γ=wp /wn. Nous pouvons remarquer que le FOM est amélioréquand on augm<strong>en</strong>te <strong>la</strong> valeur <strong>de</strong> γ=wp /wn. Il atteint sa valeur optimale quand les frontssont symétriques ( 2 ≤ γ ≤ 3 ). Pour <strong>de</strong>s applications basse consommation et haute fréqu<strong>en</strong>ceun rapport <strong>de</strong> 1.2≤ γ ≤1.6 sera un meilleur compromis.Figure 5-5 : FOM, <strong>la</strong> fréqu<strong>en</strong>ce et <strong>la</strong> consomation <strong>de</strong> puissance Vs. γ=wp /wnOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Génération d’Horloge à Haute Résolution et à Faible Bruit <strong>de</strong> Phase 95On rappelle que le facteur <strong>de</strong> mérite (FOM) est un paramètre qui permet <strong>de</strong>comparer les oscil<strong>la</strong>teurs <strong>en</strong> normalisant le bruit <strong>de</strong> phase par rapport à <strong>la</strong> fréqu<strong>en</strong>ced’oscil<strong>la</strong>tion et à <strong>la</strong> puissance consommée. Il se calcule à l’ai<strong>de</strong> <strong>de</strong> l’équation (5-5).⎛ f0⎞ ⎛ Pdiss⎞FOM = L(f −⎜⎟off) 20 log + 10 log⎜⎟(5-5)⎝ foff ⎠ ⎝1mW⎠5. Génération <strong>de</strong>s signaux <strong>en</strong> quadrature <strong>de</strong> phaseLes architectures d’émetteurs/récepteurs radiofréqu<strong>en</strong>ces utilis<strong>en</strong>t systématiquem<strong>en</strong>t<strong>de</strong>s générateurs <strong>de</strong> fréqu<strong>en</strong>ce <strong>en</strong> quadrature <strong>de</strong> phase aussi bi<strong>en</strong> <strong>en</strong> émission qu’<strong>en</strong> réception.La génération <strong>de</strong> signaux <strong>en</strong> quadrature <strong>de</strong> phase nécessite un nombre d’anneau à based’inverseurs avec un nombre pair d’étages. Ce<strong>la</strong> n’est malheureusem<strong>en</strong>t pas possible avec <strong>la</strong>structure standard <strong>de</strong> ces oscil<strong>la</strong>teurs. De nombreux travaux trait<strong>en</strong>t <strong>de</strong> ce sujet [SUN 99][UEM 04] [GRO 03] [TU 04] et [NIZ 09]. Une comparaison <strong>de</strong>s performances est faite à <strong>la</strong>fin du paragraphe.Figure 5-6 : Les sorties <strong>en</strong> quadrature <strong>de</strong> phase <strong>de</strong> l’oscil<strong>la</strong>teur 2T/2BL’architecture <strong>de</strong>s oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchrones nous permet <strong>de</strong> générer lesphases <strong>en</strong> quadrature simplem<strong>en</strong>t par un oscil<strong>la</strong>teur <strong>de</strong> quatre étages avec <strong>de</strong>ux bulles estOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


96 Chapitre 5<strong>de</strong>ux jetons. D’ailleurs elle est <strong>la</strong> seule configuration possible avec cet anneau. On peutaussi avoir <strong>de</strong>s phases <strong>en</strong> quadrature avec <strong>de</strong>s anneaux multiples <strong>de</strong> quatre <strong>en</strong> respectant lesrègles citées dans le paragraphe 3. La figure 5-6 montre les résultats <strong>de</strong> simu<strong>la</strong>tion d’unanneau asynchrone à quatre étages. On remarque un déphasage <strong>de</strong> 90° <strong>en</strong>tre les signaux.Cet oscil<strong>la</strong>teur a été conçu <strong>en</strong> utilisant l’implém<strong>en</strong>tation conv<strong>en</strong>tionnelle. Lafréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion est <strong>de</strong> 5 GHz avec un bruit <strong>de</strong> phase <strong>de</strong> -98 dBc/Hz à 4MHz d’offsetet une consommation <strong>de</strong> 620 µA. Le bruit <strong>de</strong> phase est réduit <strong>de</strong> 3dB à chaque fois que l’ondouble le nombre d’étages. Nous obt<strong>en</strong>ons un facteur <strong>de</strong> mérite <strong>de</strong> -162 dBc/Hz. La figure5-7 prés<strong>en</strong>te l’allure du bruit <strong>de</strong> phase pour cet oscil<strong>la</strong>teur.Nous avons effectué une comparaison <strong>de</strong>s performances <strong>de</strong> cet oscil<strong>la</strong>teur avec lesperformances <strong>de</strong>s oscil<strong>la</strong>teurs publiés [UEM 04] [GRO 03] [TU 04] et [NIZ 09]. Cesoscil<strong>la</strong>teurs prés<strong>en</strong>tés dans ces publications sont <strong>de</strong>s oscil<strong>la</strong>teurs <strong>en</strong> anneaux qui génèr<strong>en</strong>t<strong>de</strong>s phases <strong>en</strong> quadrature <strong>en</strong> technologie 0.18 µm dans <strong>de</strong>s gammes <strong>de</strong> fréqu<strong>en</strong>cecomparable. Le tableau 5-4 résume cette comparaison.Figure 5-7 : Le bruit <strong>de</strong> phase <strong>de</strong> l’oscil<strong>la</strong>teur 2T/2B <strong>en</strong> dBc/Hz.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Génération d’Horloge à Haute Résolution et à Faible Bruit <strong>de</strong> Phase 97Le tableau 5-4 montre que les oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchrones sont unealternative très sérieuse pour <strong>la</strong> conception <strong>de</strong>s oscil<strong>la</strong>teurs polyphasés à faible bruit <strong>de</strong>phase. Le FOM <strong>de</strong> notre oscil<strong>la</strong>teur est <strong>de</strong> -162 dBc/Hz ce qui est <strong>la</strong>rgem<strong>en</strong>t meilleur que <strong>la</strong>plupart <strong>de</strong>s cas prés<strong>en</strong>tés dans ce tableau. Le bruit <strong>de</strong> phase peut être amélioré par <strong>la</strong>duplication du nombre d’étages <strong>en</strong> gardant <strong>la</strong> même valeur du FOM.Tableau 5-4 : comparaison avec <strong>de</strong>s précéd<strong>en</strong>ts travauxRef. Techno.Freq.Bruit <strong>de</strong>Conso. FMaxoffphase(mW) (MHz)(GHz)(dBc/Hz)FOM (dBc)[UEM 04] 0.18µm 6.3 175 1 -101.4 -155.4[GRO 03] 0.18µm 3.5 16 4 -106 -152.7[TU 04] 0.18µm 5.2 17 1 -90.1 -148.9[NIZ 09] 0.18µm 5.5 81 4 -116.06 -162.2Notre travail4 étages (2T/2B)65 nm 5 0.62 4 -98 -162Notre travail16 étages(8T/8B)65 nm 5 2.5 4 -104 -1626. Largeur <strong>de</strong> <strong>la</strong> ban<strong>de</strong> <strong>de</strong> fréqu<strong>en</strong>ce.Une autre caractéristique très recherchée dans les oscil<strong>la</strong>teurs principalem<strong>en</strong>t pour <strong>la</strong>conception <strong>de</strong>s oscil<strong>la</strong>teurs contrôlée <strong>en</strong> t<strong>en</strong>sion VCO est <strong>la</strong> <strong>la</strong>rgeur <strong>de</strong> <strong>la</strong> ban<strong>de</strong> <strong>de</strong>fréqu<strong>en</strong>ce [NGU 10] [GRO 03]. L’oscil<strong>la</strong>teur <strong>en</strong> anneau asynchrone pourra ici tirer parti <strong>de</strong>sa configurabilité. On peut par exemple imaginer un VCO avec un rég<strong>la</strong>ge « gros gain » <strong>en</strong>changeant l’initialisation et le rég<strong>la</strong>ge « grain fin » <strong>en</strong> utilisant les techniques <strong>de</strong> contrôle <strong>de</strong>t<strong>en</strong>sion ou <strong>de</strong> courant c<strong>la</strong>ssiques. Si on pr<strong>en</strong>d l’exemple d’un oscil<strong>la</strong>teur <strong>en</strong> anneauasynchrone à 5 étages, <strong>de</strong>ux configurations sont possible : 2T/3B et 4T/1B. Avecconfiguration 2T/3B on peut atteindre <strong>la</strong> fréqu<strong>en</strong>ce maximale possible et <strong>la</strong> configuration4T/1B nous permet d’avoir une fréqu<strong>en</strong>ce divisée par <strong>de</strong>ux <strong>en</strong>viron. Nous avons simulé cetexemple qui produit cinq phases équidistance déphasées <strong>de</strong> 72°. Nous avons fait varier <strong>la</strong>t<strong>en</strong>sion d’alim<strong>en</strong>tation <strong>de</strong> 0.6V à 1.3V. Les résultats obt<strong>en</strong>us sont prés<strong>en</strong>tés sur <strong>la</strong> figure 5-8La fréqu<strong>en</strong>ce varie linéairem<strong>en</strong>t <strong>en</strong> fonction <strong>de</strong> <strong>la</strong> t<strong>en</strong>sion d’alim<strong>en</strong>tation, ce qui estpréférable pour un oscil<strong>la</strong>teur contrôlé <strong>en</strong> t<strong>en</strong>sion (VCO). De plus, le passage d’uneconfiguration à une autre nous permet d’é<strong>la</strong>rgir <strong>la</strong> ban<strong>de</strong> <strong>de</strong> fréqu<strong>en</strong>ce.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


98 Chapitre 5Figure 5-8 : La fréqu<strong>en</strong>ce <strong>en</strong> fonction <strong>de</strong> <strong>la</strong> t<strong>en</strong>sion d’alim<strong>en</strong>tation7. Flot <strong>de</strong> conception pour les oscil<strong>la</strong>tscil<strong>la</strong>teurs <strong>en</strong> anneau asynchrones.La figure 5-9 prés<strong>en</strong>te une proposition <strong>de</strong> flot <strong>de</strong> conception pour <strong>la</strong> conception d’unoscil<strong>la</strong>teur <strong>en</strong> anneau asynchrone. Selon le cahier <strong>de</strong>s charges et grâce à <strong>la</strong> formule (3-1) etselon les paramètres temporels D ff et D rr , nous pouvons déci<strong>de</strong>r d’une architecturepréliminaire (nombre d’étages, <strong>de</strong> jetons et <strong>de</strong> bulles, l’implém<strong>en</strong>tation <strong>de</strong> l’étage) qui nouspermet d’atteindre <strong>la</strong> fréqu<strong>en</strong>ce voulue. On comm<strong>en</strong>cera par les <strong>la</strong>rgeurs <strong>de</strong> transistorspetites et un γ=wp /wn optimisé <strong>en</strong> vitesse et <strong>en</strong> consommation <strong>de</strong> puissance ( 1.2≤ γ ≤ 1. 6<strong>en</strong> technologie CMOS065nm). On pr<strong>en</strong>dra soin <strong>de</strong> respecter les règles <strong>de</strong> dim<strong>en</strong>sionnem<strong>en</strong>ténoncées au chapitre 4. Les <strong>la</strong>rgeurs <strong>de</strong>s transistors peuv<strong>en</strong>t être ajustées pour un rég<strong>la</strong>ge fin<strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ce. Dans le cas où l’on vise <strong>la</strong> conception d’oscil<strong>la</strong>teurs polyphasés, le rapportjetons/bulles doit être irréductible et le plus proche possible du rapportDffDrr. Dans lecas <strong>de</strong>s oscil<strong>la</strong>teurs <strong>en</strong> quadrature <strong>de</strong> phase une condition s’ajoute : le nombre d’étages doitêtre un multiple <strong>de</strong> quatre. A partir <strong>de</strong> ce sta<strong>de</strong>, nous pouvons comm<strong>en</strong>cer l’optimisation dubruit <strong>de</strong> phase si <strong>la</strong> valeur ciblée n’est pas atteinte. Deux solutions sont alors possibles :- La première solution consiste à réduire le bruit <strong>de</strong> phase <strong>de</strong> 3 dB <strong>en</strong> doub<strong>la</strong>nt l<strong>en</strong>ombre d'étages et <strong>en</strong> maint<strong>en</strong>ant le rapport jetons/Bulles constant. Le principa<strong>la</strong>vantage <strong>de</strong> cette approche est que <strong>la</strong> fréqu<strong>en</strong>ce d'oscil<strong>la</strong>tion ne change pas.- La <strong>de</strong>uxième solution consiste à augm<strong>en</strong>ter <strong>la</strong> <strong>la</strong>rgeur <strong>de</strong>s transistors et/ou àoptimiser le rapport <strong>de</strong> wp/wn <strong>en</strong> termes <strong>de</strong> FOM. Ce<strong>la</strong> peut impliquer unemodification <strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ce susceptible <strong>de</strong> nous am<strong>en</strong>er à reconsidérerOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Génération d’Horloge à Haute Résolution et à Faible Bruit <strong>de</strong> Phase 99l'architecture <strong>de</strong> l’oscil<strong>la</strong>teur. Il faut aussi t<strong>en</strong>ir compte du budget permis <strong>en</strong>consommation.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


100 Chapitre 5Figure 5-9 : Le flot <strong>de</strong> conception <strong>de</strong>s oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchronesOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Génération d’Horloge à Haute Résolution et à Faible Bruit <strong>de</strong> Phase 1018. ConclusionDans ce chapitre, nous avons prés<strong>en</strong>té une nouvelle topologie d’oscil<strong>la</strong>teurs baséesur <strong>de</strong>s anneaux asynchrones pour <strong>la</strong> génération <strong>de</strong> signaux polyphasés utilisables dans d<strong>en</strong>ombreuses applications. La résolution temporelle <strong>de</strong>s oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchronesn’est pas limitée au dé<strong>la</strong>i <strong>de</strong> l’étage. Nous avons montré comm<strong>en</strong>t ces oscil<strong>la</strong>teurs peuv<strong>en</strong>tproduire <strong>de</strong>s oscil<strong>la</strong>tions à haute fréqu<strong>en</strong>ce avec un nombre important <strong>de</strong> sortiespolyphasées. Une comparaison avec un oscil<strong>la</strong>teur <strong>en</strong> anneau à base d’inverseurs ainsiqu’avec <strong>de</strong>s topologies permettant d’améliorer <strong>la</strong> fréqu<strong>en</strong>ce maximale d’oscil<strong>la</strong>tion montred’une manière très c<strong>la</strong>ire l’avantage <strong>de</strong>s oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchrones quand unnombre important <strong>de</strong> phases est nécessaire. Nous avons vu que les oscil<strong>la</strong>teurs <strong>en</strong> anneauasynchrones peuv<strong>en</strong>t être aussi utilisés pour générer <strong>de</strong>s signaux <strong>en</strong> quadrature <strong>de</strong> phase quisont systématiquem<strong>en</strong>t utilisé dans les émetteurs/récepteurs radiofréqu<strong>en</strong>ces. Unecomparaison avec d’autres travaux montre l’efficacité <strong>de</strong> notre métho<strong>de</strong>.Nous avons montré aussi dans chapitre comm<strong>en</strong>t on peut réduire le bruit <strong>de</strong> phasedans les oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchrones avec une technique très simple qui est <strong>la</strong>duplication du nombre d’étages. Cette caractéristique offre à ce type d’oscil<strong>la</strong>teur un <strong>de</strong>gré<strong>de</strong> liberté supplém<strong>en</strong>taire pour <strong>la</strong> conception d’oscil<strong>la</strong>teurs à faible bruit <strong>de</strong> phase. De plus,ces oscil<strong>la</strong>teurs sont configurables ce qui peut être utilisé dans un but d’ext<strong>en</strong>sion <strong>de</strong> <strong>la</strong>ban<strong>de</strong> <strong>de</strong> fréqu<strong>en</strong>ce, caractéristique très recherché pour <strong>la</strong> conception d’oscil<strong>la</strong>teurscontrôlés <strong>en</strong> t<strong>en</strong>sion. Enfin, nous avons conclu ce chapitre par <strong>la</strong> proposition d’un flot <strong>de</strong>conception pour <strong>la</strong> conception <strong>de</strong>s oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchrones.Ces résultats sont confirmés par les mesures sur silicium du testchip que nous avonsfabriqué <strong>en</strong> technologie CMOS 65nm <strong>de</strong> STMicroelectronique et qui sont prés<strong>en</strong>tés auchapitre 7.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


102 Chapitre 5Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Conception d’un Oscil<strong>la</strong>teur Programmable et Arrêtable 103Chapitre 6.Conception d’un Oscil<strong>la</strong>teurProgrammable et Arrêtable basé surun <strong>Anneau</strong> Asynchrone1. IntroductionAvec les réc<strong>en</strong>tes avancées dans les technologies nanométriques, les performances<strong>de</strong>s systèmes intégrés après <strong>la</strong> fabrication ne sont plus totalem<strong>en</strong>t prévisibles. En effet, lesvariations <strong>de</strong>s procédés <strong>de</strong> fabrication <strong>de</strong>vi<strong>en</strong>n<strong>en</strong>t vraim<strong>en</strong>t s<strong>en</strong>sibles même à l'échelle d’unepuce. Ce<strong>la</strong> implique l’étu<strong>de</strong> <strong>de</strong> stratégies <strong>de</strong> gestion globale robuste afin <strong>de</strong> respecter lescontraintes énergétiques. Par conséqu<strong>en</strong>t, l'estimation et <strong>la</strong> gestion <strong>de</strong>s performances sontaujourd'hui <strong>de</strong>s points ess<strong>en</strong>tiels dans les systèmes intégrés. Des solutions <strong>de</strong> gestiondynamique <strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ce et <strong>de</strong> <strong>la</strong> t<strong>en</strong>sion (Dynamic Voltage and Frequ<strong>en</strong>cy Scaling‘‘DVFS’’) doiv<strong>en</strong>t être considérées. Elles ont été explorées et ont montré <strong>de</strong> substantielleséconomies d'énergie [NOW 02]. La consommation <strong>de</strong> puissance moy<strong>en</strong>ne P ave et l'énergieconsommée E <strong>de</strong>s microprocesseurs CMOS peuv<strong>en</strong>t être définies par [CHA 95]:P α (6-1)2aveK. f V.ddEα C V.(6-2)2ddoù K est une constante, f est <strong>la</strong> fréqu<strong>en</strong>ce <strong>de</strong> l’horloge et V dd est <strong>la</strong> t<strong>en</strong>siond’alim<strong>en</strong>tation. Les équations (6-1) et (6-2) montr<strong>en</strong>t que <strong>la</strong> réduction <strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ce ou <strong>de</strong><strong>la</strong> t<strong>en</strong>sion d’alim<strong>en</strong>tation implique <strong>la</strong> réduction <strong>de</strong> l’énergie et <strong>de</strong> <strong>la</strong> puissance consommée.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


104 Chapitre 6Cette réduction est quadratique <strong>en</strong> fonction <strong>de</strong> <strong>la</strong> t<strong>en</strong>sion d’alim<strong>en</strong>tation et linéaire <strong>en</strong>fonction <strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ce <strong>de</strong> l’horloge.En conséqu<strong>en</strong>ce, <strong>la</strong> gestion dynamique <strong>de</strong> t<strong>en</strong>sion (DVS) peut être utilisée pourgérer efficacem<strong>en</strong>t <strong>la</strong> consommation d'énergie dans les systèmes sur puce (SoC). La t<strong>en</strong>siond'alim<strong>en</strong>tation peut être réduite si l’activité diminue. Cep<strong>en</strong>dant, ceci réduit <strong>la</strong> vitesse dusystème et implique l'utilisation d’une technique <strong>de</strong> gestion dynamique <strong>de</strong> fréqu<strong>en</strong>ce (DFS)pour conserver un comportem<strong>en</strong>t correct au système. L'ajout <strong>de</strong>s techniques <strong>de</strong> gestiondynamique <strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ce et <strong>de</strong> <strong>la</strong> t<strong>en</strong>sion se traduit par une économie <strong>de</strong> <strong>la</strong> puissance et <strong>de</strong>l’énergie. L'application <strong>de</strong> <strong>la</strong> gestion ‘‘DFS’’ à un système nécessite l'utilisation d'unesource pour générer <strong>de</strong>s horloges rég<strong>la</strong>bles. Par exemple, ces horloges peuv<strong>en</strong>t être généréespar <strong>de</strong>s oscil<strong>la</strong>teurs contrôlés <strong>en</strong> t<strong>en</strong>sion (VCO) qui font partie <strong>de</strong>s boucles à verrouil<strong>la</strong>ge <strong>de</strong>phase (PLL). Toutefois, les VCO ont un rayon d'action limité et un temps <strong>de</strong> stabilisationnécessaire lors du changem<strong>en</strong>t <strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ce [BOY 06]. Une autre solution consiste àutiliser un diviseur d'horloge standard, mais cette solution est très limitée <strong>en</strong> nombre et pas<strong>de</strong> fréqu<strong>en</strong>ces, car <strong>la</strong> fréqu<strong>en</strong>ce est divisée par <strong>de</strong>s nombres <strong>en</strong>tiers [STO 03]. En outre, ilsdonn<strong>en</strong>t un pas <strong>de</strong> temps régulier qui implique un pas <strong>de</strong> fréqu<strong>en</strong>ce irrégulier.Comme nous avons vu dans les chapitres précéd<strong>en</strong>ts, l’un <strong>de</strong>s principaux avantages<strong>de</strong>s oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchrones est leur configurabilité. Avec un même nombred’étages donné, on peut fonctionner à différ<strong>en</strong>tes fréqu<strong>en</strong>ces d’oscil<strong>la</strong>tion <strong>en</strong> changeantsimplem<strong>en</strong>t le nombre <strong>de</strong> jetons et <strong>de</strong> bulles qui circul<strong>en</strong>t dans l’anneau. Au contraire,l’anneau basé sur les inverseurs a une fréqu<strong>en</strong>ce fixe [FAI 04]. Dans ce chapitre nous allonsexploiter cette caractéristique <strong>de</strong>s oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchrones pour concevoir unoscil<strong>la</strong>teur programmable et arrêtable. Grâce à un protocole poignée <strong>de</strong> main, cet oscil<strong>la</strong>teurcommunique avec le processeur afin d’assurer une commutation correcte d’une fréqu<strong>en</strong>ce àune autre. L'oscil<strong>la</strong>teur est conçu afin d'éviter <strong>la</strong> prés<strong>en</strong>ce <strong>de</strong> glitches et <strong>de</strong> pério<strong>de</strong>sd'horloge tronquées.Dans ce chapitre, nous allons prés<strong>en</strong>ter l’architecture d’un oscil<strong>la</strong>teur programmablebasé sur un anneau asynchrone ainsi que les résultats obt<strong>en</strong>us <strong>en</strong> simu<strong>la</strong>tion. Cet oscil<strong>la</strong>teurest implém<strong>en</strong>té <strong>en</strong> technologie CMOS 45nm <strong>de</strong> STMicroelectronics. Ce travail a fait l’objetd’une publication à <strong>la</strong> confér<strong>en</strong>ce ASYNC 2009 [YAH 09].Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Conception d’un Oscil<strong>la</strong>teur Programmable et Arrêtable 1052. L’architecture <strong>de</strong> l’oscil<strong>la</strong>teur programmable.Comme nous avons expliqué dans les chapitres précéd<strong>en</strong>ts, les oscil<strong>la</strong>teurs <strong>en</strong>anneau asynchrones prés<strong>en</strong>t<strong>en</strong>t <strong>de</strong>s avantages <strong>de</strong> différ<strong>en</strong>ts points <strong>de</strong> vue ; <strong>la</strong> génération <strong>de</strong>ssignaux <strong>de</strong> haute résolution, <strong>la</strong> robustesse aux variations <strong>de</strong>s procédés <strong>de</strong> fabrication et <strong>la</strong>configurabilité. En conséqu<strong>en</strong>ce notre oscil<strong>la</strong>teur programmable sera basé sur l’oscil<strong>la</strong>teur<strong>en</strong> anneau asynchrone. Dans <strong>la</strong> suite, nous le nommerons PSTR (Programmable Self-TimedRing). L’architecture du PSTR est représ<strong>en</strong>tée dans <strong>la</strong> Figure 6-1.Il existe <strong>de</strong> nombreuses façons <strong>de</strong> contrôler <strong>la</strong> fréqu<strong>en</strong>ce d’un anneau asynchrone.Dans ce chapitre, trois architectures sont décrites.2.1. Première solution : contrôlec<strong>de</strong>s jetons et <strong>de</strong>s bullesCette solution est basée sur le changem<strong>en</strong>t du nombre <strong>de</strong> jetons et <strong>de</strong> bulles quicircul<strong>en</strong>t dans l’anneau. Nous avons conçu <strong>de</strong>s portes <strong>de</strong> Muller avec <strong>de</strong>s signaux <strong>de</strong> remiseà zéro RESET et mise à un SET (Figure 6-1). En utilisant cette porte, nous pouvons insérerdynamiquem<strong>en</strong>t <strong>de</strong>s jetons et <strong>de</strong>s bulles dans l’oscil<strong>la</strong>teur asynchrone. Cette architecture estsimi<strong>la</strong>ire à celle <strong>de</strong> <strong>la</strong> figure (3-2) du chapitre 3 sauf qu’elle est basée sur <strong>de</strong>s portes <strong>de</strong>Muller avec les signaux d’initialisation SET/RESET.Tok<strong>en</strong> Control WordSetResetSetResetSetResetReqC1AckbM1aReqC2AckbM2aFromStage (3)From Stage(n-1)To Stage(n-1)CnStage 1T1Stage 2D1T2To AND ofStage (3)Stage nSCW0SCW1Stage Control WordSCW2Figure 6-1 : L’architecture <strong>de</strong> l’oscil<strong>la</strong>teur programmableOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


106 Chapitre 6Si on pr<strong>en</strong>d l’exemple d’un anneau à cinq étages N=5, nous pouvons insérer <strong>la</strong>configuration “BTTTT” <strong>en</strong> contrô<strong>la</strong>nt les signaux SET et RESET <strong>de</strong>s étages, donc lesétages sont initialem<strong>en</strong>t charger à “11010”. Cette configuration produit <strong>la</strong> fréqu<strong>en</strong>ceminimale <strong>de</strong> cet anneau. Si on change les signaux SET/RESET <strong>de</strong> l’anneau pour initialiserles étages par “11110”, ce qui revi<strong>en</strong>t à <strong>la</strong> configuration “BBBTT”, cet anneau oscillera à <strong>la</strong>fréqu<strong>en</strong>ce maximale. Cet exemple montre comm<strong>en</strong>t <strong>la</strong> fréqu<strong>en</strong>ce <strong>de</strong> l’anneau peut êtrecontrôlée par le changem<strong>en</strong>t du nombre <strong>de</strong> jetons et <strong>de</strong> bulles avec les signauxd’initialisation.L’utilisation <strong>de</strong> cette solution, permet à l’oscil<strong>la</strong>teur d’atteindre <strong>de</strong>s fréqu<strong>en</strong>cesélevées. En revanche, l’utilisation d’un nombre d’étages fixe limite le nombre <strong>de</strong> fréqu<strong>en</strong>cesd’oscil<strong>la</strong>tion possible avec un pas <strong>de</strong> fréqu<strong>en</strong>ce assez grossier. Si on pr<strong>en</strong>d comme exempleun anneau à douze étages N=12, le nombre <strong>de</strong> fréqu<strong>en</strong>ces d’oscil<strong>la</strong>tion possible est <strong>de</strong> cinq.Si l’objectif est d’obt<strong>en</strong>ir <strong>de</strong>s pas <strong>de</strong> fréqu<strong>en</strong>ce plus fins, nous proposons <strong>la</strong> <strong>de</strong>uxièmesolution.2.2. Deuxième solution : contrôle du nombre d’étagesDans cette solution, non seulem<strong>en</strong>t le nombre <strong>de</strong> jetons et <strong>de</strong> bulles est contrô<strong>la</strong>ble,mais aussi le nombre d’étages. La figure 6-1 montre l’architecture <strong>de</strong> notre oscil<strong>la</strong>teurprogrammable <strong>en</strong> anneau asynchrone. Il est composé d’étages quasi-id<strong>en</strong>tiques ‘‘STG’’basés sur <strong>de</strong>s portes <strong>de</strong> Muller avec <strong>de</strong>s signaux d’initialisation SET/RESET. L’initialisation<strong>de</strong> l’anneau est contrôlée par un mot <strong>de</strong> contrôle <strong>de</strong>s jetons et <strong>de</strong> bulles appelé “TCW”(Tok<strong>en</strong> Control Word). Il s'agit <strong>de</strong> définir le nombre <strong>de</strong> jetons et <strong>de</strong> bulles qui circul<strong>en</strong>t dansl'anneau. Par le biais <strong>de</strong> "TCW", <strong>la</strong> fréqu<strong>en</strong>ce <strong>de</strong> l'anneau peut être programmée. Pour être<strong>en</strong> mesure <strong>de</strong> modifier le nombre d’étages, un multiplexeur “Mux” est p<strong>la</strong>cé après chaqueétage. Ces multiplexeurs sont contrôlés par le mot <strong>de</strong> contrôle “SCW” (Stage ControlWord). SCW contrôle le nombre d'étages <strong>de</strong> l'anneau. Si l’étage est activé, le bit équival<strong>en</strong>tdans le mot SCW est mis à zéro. Nous précisons que le signal <strong>de</strong> requête “Req” correspondà l’<strong>en</strong>trée F <strong>de</strong> l’étage et le signal d’acquittem<strong>en</strong>t “Ack” correspond a l’<strong>en</strong>trée R <strong>de</strong> l’étage.Dans <strong>la</strong> figure 6-1, on suppose que tous les étages sont activés. Ce<strong>la</strong> signifie quetous les bits <strong>de</strong> SCW sont à zéro. Ce qui implique que tous les multiplexeurs sélectionn<strong>en</strong>tl’<strong>en</strong>trée “a” qui est reliée à l’étage précéd<strong>en</strong>t (STG1 est connecté à STG2, STG2 estconnecté à STG3 etc...). En ce qui concerne le <strong>de</strong>rnier étage STGn, son signald’acquittem<strong>en</strong>t est connecté par un buffer trois états ‘‘T1’’ (Three-state buffer) à <strong>la</strong> sortie dupremier étage STG1. Ainsi l’anneau est fermé et peut fonctionner correctem<strong>en</strong>t.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Conception d’un Oscil<strong>la</strong>teur Programmable et Arrêtable 107Maint<strong>en</strong>ant, supposons que l’étage STG1 doit être <strong>en</strong>levé. Pour ce<strong>la</strong> le bit SCW0 estréglé sur "1" et les autres bits sont à zéros. Deux choses sont à faire; d’une part, le signal <strong>de</strong>requête “Req” du <strong>de</strong>uxième étage STG2 doit être relié à <strong>la</strong> sortie du <strong>de</strong>rnier étage STGn.D’autre part le signal d’acquittem<strong>en</strong>t “Ack” du <strong>de</strong>rnier étage STGn doit être connecté à <strong>la</strong>sortie <strong>de</strong> l’étage STG2. Comme le bit SCW0 est à ‘‘1’’, le multiplexeur Mux1 connecteral’<strong>en</strong>trée ‘‘b’’ à <strong>la</strong> sortie. Ce<strong>la</strong> relie <strong>la</strong> sortie du <strong>de</strong>rnier étage STGn au signal <strong>de</strong> requête“Req” <strong>de</strong> l’étage STG2. De plus, SCW0 va positionner T1 dans l’état haute impédance. Lasortie <strong>de</strong> <strong>la</strong> porte ET ‘‘D1” produit un ‘‘1’’, ce qui active le buffer T2 et relie <strong>la</strong> sortie <strong>de</strong>l’étage STG2 au signal d’acquittem<strong>en</strong>t <strong>de</strong> l’étage STGn. Selon ce sc<strong>en</strong>ario, l’anneauconti<strong>en</strong>t N-1 étages. Par le biais <strong>de</strong>s mots TCW et SCW, l’oscil<strong>la</strong>teur <strong>en</strong> anneau asynchroneprogrammable <strong>de</strong> <strong>la</strong> figure 6-1 peut être programmé dynamiquem<strong>en</strong>t pour avoir un nombred’étages modifiable al<strong>la</strong>nt <strong>de</strong> N à 3 (il faut 3 étages minimum pour obt<strong>en</strong>ir un oscil<strong>la</strong>teur), etune configuration jetons/bulles quelconque.Concernant le dé<strong>la</strong>i <strong>de</strong>s étages <strong>de</strong> l’anneau, notre conception est très modu<strong>la</strong>ire etéquilibrée. Le dé<strong>la</strong>i direct Dff <strong>de</strong> l’étage compr<strong>en</strong>d le dé<strong>la</strong>i <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller et dumultiplexeur. Le dé<strong>la</strong>i inverse Drr <strong>de</strong> l’étage compr<strong>en</strong>d le dé<strong>la</strong>i <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller et <strong>de</strong>l’inverseur. Seul le <strong>de</strong>rnier étage STGn a un dé<strong>la</strong>i Drr légèrem<strong>en</strong>t plus grand, cettediffér<strong>en</strong>ce <strong>de</strong> dé<strong>la</strong>i est due au buffer trois états T1. Ce<strong>la</strong> n'affecte pas le comportem<strong>en</strong>t d<strong>en</strong>otre oscil<strong>la</strong>teur. L'<strong>en</strong>semble <strong>de</strong>s portes ET <strong>de</strong>s autres buffers trois états ne contribu<strong>en</strong>t pasaux dé<strong>la</strong>is car ils ne sont utilisés que lors <strong>de</strong> <strong>la</strong> phase <strong>de</strong> programmation. Cette structur<strong>en</strong>ous permet d’avoir un anneau asynchrone avec un nombre important <strong>de</strong> fréqu<strong>en</strong>ces. Plus<strong>de</strong> détails sur <strong>la</strong> conception et les performances obt<strong>en</strong>ues sont prés<strong>en</strong>tés dans le paragraphesuivant. Avec cette solution, le PSTR donne <strong>de</strong>s pas <strong>de</strong> fréqu<strong>en</strong>ces plus fins que <strong>la</strong> premièresolution. En revanche, <strong>la</strong> fréqu<strong>en</strong>ce maximale atteinte est réduite, <strong>de</strong> même que <strong>la</strong> puissanceconsommée est supérieure à <strong>la</strong> première solution.2.3. Troisième solution : <strong>la</strong> solution hybri<strong>de</strong>L’ajout d'un nombre limité <strong>de</strong> Multiplexeurs donne une troisième solution qui estune solution hybri<strong>de</strong> <strong>en</strong>tre <strong>la</strong> première et <strong>la</strong> <strong>de</strong>uxième. Par exemple, pour un anneau <strong>de</strong>douze étages N=12, <strong>de</strong>ux multiplexeurs peuv<strong>en</strong>t être ajoutés, l'un après l'étage STG12 etl'autre après l'étage STG6. Ce<strong>la</strong> donne un PSTR avec les configurations possibles avec 12,11 et 5 étages. Ce compromis donne <strong>de</strong> bons résultats sur tous les p<strong>la</strong>ns : <strong>en</strong> fréqu<strong>en</strong>ce, <strong>en</strong>puissance et <strong>en</strong> surface. Cette solution pourra donc être ret<strong>en</strong>ue pour répondre efficacem<strong>en</strong>tà un besoin spécifique.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


108 Chapitre 63. L’oscil<strong>la</strong>teur Programmable et Arrêtable.L’oscil<strong>la</strong>teur programmable et arrêtable PSO (Programmable-Stoppable Oscil<strong>la</strong>tor)exploite l’oscil<strong>la</strong>teur programmable PSTR proposé au paragraphe précéd<strong>en</strong>t. L'objectifprincipal <strong>de</strong> notre conception est <strong>de</strong> fournir un protocole <strong>de</strong> communication <strong>en</strong>tre leprocesseur et notre PSO <strong>de</strong> sorte que:Le processeur puisse arrêter et reprogrammer <strong>la</strong> sortie du PSOL'horloge puisse être arrêtée lors <strong>de</strong> <strong>la</strong> commutation d'une fréqu<strong>en</strong>ce à une autre etque <strong>la</strong> pério<strong>de</strong> d’arrêt soit contrôlée par le processeur.Le démarrage et l’arrêt <strong>de</strong> l'horloge soi<strong>en</strong>t effectués sans pério<strong>de</strong>s tronquées et sansglitches.3.1. Le protocole <strong>de</strong> communication <strong>en</strong>tre <strong>de</strong> processeur et le PSOResetFCCFTop Control+Micro-ProcessorPCResetFCCFPCProgrammable/Stoppable Oscil<strong>la</strong>tor“PSO”CLKCFDPCDFigure 6-2 : Le protocole <strong>de</strong> communication <strong>en</strong>tre <strong>de</strong> processeur et le PSOLa Figure 6-2 montre les connections <strong>en</strong>tre le processeur et notre oscil<strong>la</strong>teurprogrammable et arrêtable PSO. Le PSO reçoit <strong>de</strong>ux comman<strong>de</strong>s <strong>de</strong> <strong>la</strong> part du processeur.Le signal Changem<strong>en</strong>t <strong>de</strong> Fréqu<strong>en</strong>ce ‘‘CF’’ (Change Frequ<strong>en</strong>cy) qui met le PSO <strong>en</strong> mo<strong>de</strong><strong>de</strong> Changem<strong>en</strong>t <strong>de</strong> fréqu<strong>en</strong>ce (Mo<strong>de</strong> 1). Dans ce mo<strong>de</strong>, on utilise le co<strong>de</strong> <strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ce‘‘FC’’ (Frequ<strong>en</strong>cy Co<strong>de</strong>) pour programmer le PSTR par <strong>la</strong> fréqu<strong>en</strong>ce requise. Le signal“PC” (Pause Clock) sert à arrêter les oscil<strong>la</strong>tions à <strong>la</strong> sortie du PSO. L’activation <strong>de</strong> ceOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Conception d’un Oscil<strong>la</strong>teur Programmable et Arrêtable 109signal met le PSO <strong>en</strong> mo<strong>de</strong> d’arrêt (Mo<strong>de</strong> 2). L'horloge <strong>de</strong> sortie est arrêtée jusqu'à cequ'elle soit relâchée lors <strong>de</strong> <strong>la</strong> reprise <strong>de</strong> l’oscil<strong>la</strong>tion, <strong>la</strong> fréqu<strong>en</strong>ce <strong>de</strong>meure dans ce casinchangée.Le protocole <strong>de</strong> communication est le suivant. En Mo<strong>de</strong>1, le processeur <strong>en</strong>voie lesignal CF (mise à 1) et FC est réglé sur le co<strong>de</strong> approprié à <strong>la</strong> fréqu<strong>en</strong>ce requise. Lorsque lePSO est prêt à changer <strong>la</strong> fréqu<strong>en</strong>ce, il arrête l'horloge et désactive (mise à 0) le signal quiinforme le processeur que le changem<strong>en</strong>t <strong>de</strong> fréqu<strong>en</strong>ce est fait "CFD" (Change Frequ<strong>en</strong>cyDone). L'horloge est arrêtée jusqu'à ce que le signal CF soit désactivé par le processeur.Lorsque le signal CF est désactivé, l'horloge est relâchée avec <strong>la</strong> nouvelle fréqu<strong>en</strong>ce et lesignal CFD bascule à l’état haut pour informer le processeur que le changem<strong>en</strong>t <strong>de</strong>fréqu<strong>en</strong>ce est fait. Avec un scénario simi<strong>la</strong>ire <strong>en</strong> Mo<strong>de</strong>2, le processeur active le signal PCpour <strong>la</strong> mise <strong>en</strong> arrêt <strong>de</strong> l’horloge. Le PSO arrête l'horloge et désactive le signal qui informele processeur que l’arrêt <strong>de</strong> l’horloge est fait "PCD" (Pause Clock Done). Chaque fois que leprocesseur désactive <strong>la</strong> comman<strong>de</strong> PC, le PSO active le signal PCD et continue d'<strong>en</strong>voyer <strong>la</strong>sortie <strong>de</strong> l’horloge avec <strong>la</strong> même fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion qu’avant l’arrêt.FC CF PCResetFCCFControl Unit“CU”PCResetTCW SCW R_outStopPCDCFDProgrammable Self-Timed Ring“PSTR”R_outReset+CCLKCFDPCDFigure 6-3 : L’oscil<strong>la</strong>teur Programmable et ArrêtablePar le biais <strong>de</strong> ce protocole <strong>de</strong> communication <strong>en</strong>tre le processeur et le PSO, leprocesseur a un contrôle total sur <strong>la</strong> pério<strong>de</strong> d’arrêt <strong>en</strong>tre <strong>de</strong>ux fréqu<strong>en</strong>ces d’oscil<strong>la</strong>tionOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


110 Chapitre 6différ<strong>en</strong>tes. De cette façon, différ<strong>en</strong>tes applications peuv<strong>en</strong>t être appliquées sur leprocesseur, (rééchelonnem<strong>en</strong>t <strong>de</strong>s tâches, DVS, reconfiguration HW ...), sans que l’on est àse soucier du temps nécessaire à ces opérations.Dans <strong>la</strong> figure 6-3, les détails <strong>de</strong> <strong>la</strong> conception du PSO sont prés<strong>en</strong>tés. Le PSO estcomposé d'une unité <strong>de</strong> contrôle, "CU" (Control Unit) et du PSTR. L’unité <strong>de</strong> contrôlefournit les co<strong>de</strong>s TCW et le SCW qui détermin<strong>en</strong>t respectivem<strong>en</strong>t <strong>la</strong> configurationjetons/bulles et le nombre d'étages. La CU est cad<strong>en</strong>cée par <strong>la</strong> sortie <strong>de</strong> <strong>la</strong> PSTR "R_Out".La sortie du PSO ‘‘Clk’’ qui est <strong>en</strong>voyée au processeur est <strong>la</strong> sortie <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Mullerdissymétrique "C1". La sortie ‘‘Stop’’ du CU contrôle si R_Out est connectée à Clk ou non.Lorsque le signal Stop est activé (état haut), <strong>la</strong> sortie R_Out est reliée à Clk. Quand il estdésactivé (état bas), tout changem<strong>en</strong>t <strong>de</strong> R_Out, y compris les pério<strong>de</strong>s tronquées ou quiconti<strong>en</strong>n<strong>en</strong>t <strong>de</strong>s glitches sont filtrées par <strong>la</strong> porte Muller. La figure 6-3 montre les détails <strong>de</strong>l’unité <strong>de</strong> contrôle.3.2. L’unité <strong>de</strong> contrôleFCCFPCCFReset(Asy.)LUT 1LUT 2StopCount_RefCFEQResetStopCounterComparatorEQResetDD-FFQStopDe<strong>la</strong>y 1De<strong>la</strong>y 2TCWSCWR_OutStopCFDPCDFigure 6-4 : L’unité <strong>de</strong> contrôleOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Conception d’un Oscil<strong>la</strong>teur Programmable et Arrêtable 111La Figure 6-4 montre les détails <strong>de</strong> <strong>la</strong> conception <strong>de</strong> l’unité <strong>de</strong> contrôle. Dans les<strong>de</strong>ux tables <strong>de</strong> correspondance LUT1 et LUT2 (Look Up Table), les co<strong>de</strong>s <strong>de</strong>s différ<strong>en</strong>tesfréqu<strong>en</strong>ces qui correspond<strong>en</strong>t aux co<strong>de</strong>s TCW et SCW sont stockés respectivem<strong>en</strong>t. Dans <strong>la</strong>LUT2, il y a aussi une autre valeur stockée pour chaque fréqu<strong>en</strong>ce. C'est le nombre <strong>de</strong> coupsd'horloges nécessaires pour que le PSTR est atteint son état d’équilibre. Nous avons appelécette sortie ‘‘Count_Ref’’. Count_Ref et <strong>la</strong> sortie <strong>de</strong> compteur binaire ‘‘Counter’’ sontcomparés avec le bloc ‘‘Comparator’’. Le compteur est cad<strong>en</strong>cé par R_Out pour compterles pério<strong>de</strong>s <strong>de</strong> <strong>la</strong> phase <strong>de</strong> transition. La sortie du comparateur passe à 1 (EQ=1) quand <strong>la</strong>sortie du compteur et <strong>la</strong> sortie Count_Ref sont égales. La sortie du comparateur EQ reliée àl’<strong>en</strong>trée d’une bascule D ‘‘D-FF’’ (D Flip Flop) est cad<strong>en</strong>cé par <strong>la</strong> sortie du PSTR R_Out.La sortie <strong>de</strong> <strong>la</strong> D-FF est le signal ‘‘Stop’’. Ce signal est retardé par <strong>de</strong>ux dé<strong>la</strong>is De<strong>la</strong>y1 etDe<strong>la</strong>y2 avant d’être <strong>en</strong>voyé au processeur <strong>en</strong> tant que CFD et PCD respectivem<strong>en</strong>t. Durantle fonctionnem<strong>en</strong>t normal, les signaux CF et PC sont à zéro. Le signal Stop est à un, ce quiimplique que R_Out et Clk sont reliés par le porte <strong>de</strong> Muller C1. Le processeur peut émettrel'une <strong>de</strong>s <strong>de</strong>ux comman<strong>de</strong>s : CF pour passer <strong>en</strong> Mo<strong>de</strong>1 et PC pour passer <strong>en</strong> Mo<strong>de</strong>23.3. Mo<strong>de</strong>1: Changem<strong>en</strong>t <strong>de</strong> Fréqu<strong>en</strong>ceDans ce mo<strong>de</strong> le processeur active le signal CF et <strong>en</strong>voie le co<strong>de</strong> approprié sur FC.Au prochain front négatif <strong>de</strong> <strong>la</strong> sortie R_Out, les sorties <strong>de</strong> <strong>la</strong> bascule D-FF et du compteursont mises à zéros. Ce<strong>la</strong> désactive le signal Stop et isole R_Out <strong>de</strong> Clk. En outre, les tables<strong>de</strong> correspondances LUT1 et LUT2 <strong>en</strong>voi<strong>en</strong>t les nouveaux co<strong>de</strong>s TCW et SCW pourprogrammer le PSTR avec <strong>la</strong> nouvelle fréqu<strong>en</strong>ce. La LUT2 <strong>en</strong>voie aussi <strong>la</strong> nouvelle valeurCout_Ref. Le dé<strong>la</strong>i De<strong>la</strong>y1 retar<strong>de</strong> le signal Stop d’un temps équival<strong>en</strong>t au temps d’accèsaux tables <strong>de</strong> correspondances (LUTs) plus le temps nécessaire à <strong>la</strong> programmation duPSTR. Ce<strong>la</strong> garantit que le signal CFD ne sera pas désactivé avant que le PSTR soitcorrectem<strong>en</strong>t programmé. Quand CFD est désactivé, le processeur désactive le signal CFquand il est prêt à recevoir <strong>la</strong> nouvelle Clk. Quand CF est désactivé, <strong>la</strong> LUT1 reçoit lesignal Reset. Ce<strong>la</strong> force TCW à zéro et permet au PSTR <strong>de</strong> comm<strong>en</strong>cer à l’osciller. Lecompteur compte alors le nombre <strong>de</strong> pério<strong>de</strong>s <strong>de</strong> R_Out. Quand R_Out est égale àCout_Ref, <strong>la</strong> sortie du comparateur EQ passe à 1 et le compteur s’arrête <strong>de</strong> compter. Auprochain front négatif <strong>de</strong> <strong>la</strong> sortie R_Out, le signal Stop passe à 1. Ce<strong>la</strong> active <strong>la</strong> porte <strong>de</strong>Muller C1 et relie R_Out à Clk. Le PSO revi<strong>en</strong>t alors à son fonctionnem<strong>en</strong>t normal et <strong>la</strong>sortie du PSTR est reliée au processeur.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


112 Chapitre 63.4. Mo<strong>de</strong>2 : <strong>la</strong> mise <strong>en</strong> pause <strong>de</strong> l’oscil<strong>la</strong>teurDans ce mo<strong>de</strong>, le processeur active le signal PC pour <strong>de</strong>man<strong>de</strong>r <strong>la</strong> mise <strong>en</strong> pause <strong>de</strong><strong>la</strong> sortie Clk. La bascule D-FF reçoit un signal Reset. Au prochain front négatif <strong>de</strong> <strong>la</strong> sortieR_Out, le signal Stop est mis à zéro et isole le signal Clk <strong>de</strong> R_Out qui reste bloqué à zéro.Le dé<strong>la</strong>i De<strong>la</strong>y2 retar<strong>de</strong> alors le signal Stop d’une durée égale au retard <strong>de</strong> <strong>la</strong> porte <strong>de</strong>Muller C1 (Figure 6-4). Ensuite, le signal PCD est <strong>en</strong>voyé au processeur pour l’informerque l’horloge Clk est arrêtée. Lorsque le processeur déci<strong>de</strong> <strong>de</strong> <strong>la</strong> redémarrer, il désactive lesignal PC. Au prochain front négatif <strong>de</strong> <strong>la</strong> sortie R_Out, <strong>la</strong> bascule D-FF reçoit le signal EQà nouveau qui est toujours à 1 car <strong>la</strong> sortie du compteur et Count_Ref sont toujours égales.Il <strong>en</strong> résulte <strong>la</strong> mise à zéro du signal Stop qui reconnecte R_Out à Clk. Ainsi, on désactivealors l’arrêt du PSO qui revi<strong>en</strong>t alors à son fonctionnem<strong>en</strong>t normal (<strong>la</strong> sortie du PSTR estreliée à nouveau au processeur).La principale différ<strong>en</strong>ce <strong>en</strong>tre les mo<strong>de</strong>s Mo<strong>de</strong>1 et Mo<strong>de</strong>2, est que dans le mo<strong>de</strong>2 lesco<strong>de</strong>s TCW et SCW rest<strong>en</strong>t inchangés. Ce<strong>la</strong> implique que les tables <strong>de</strong> correspondancesLUT1 et LUT2 ne sont pas sollicitées et permet au processeur <strong>de</strong> mettre <strong>en</strong> pause et <strong>de</strong>redémarrer le PSO à une cad<strong>en</strong>ce plus élevée que celle nécessaire à <strong>la</strong> programmation et <strong>la</strong>reprogrammation du PSO. Pour le mo<strong>de</strong>1, le dé<strong>la</strong>i minimum <strong>en</strong>tre <strong>de</strong>ux requêtes sur lesignal CF doit être plus long que le temps d’accès aux tables <strong>de</strong> correspondances augm<strong>en</strong>tédu temps <strong>de</strong> programmation. En revanche, le temps minimum <strong>en</strong>tre <strong>de</strong>ux requêtes sur lesignal PC est égal à <strong>la</strong> somme <strong>de</strong>s dé<strong>la</strong>is <strong>de</strong> <strong>la</strong> porte OU, <strong>de</strong> <strong>la</strong> bascule D-FF et <strong>de</strong> <strong>la</strong> porte<strong>de</strong> Muller C1. Cette durée est inférieure à une pério<strong>de</strong> d’horloge du PSTR à <strong>la</strong> fréqu<strong>en</strong>cemaximale. Bi<strong>en</strong> que <strong>la</strong> taille <strong>de</strong> l’anneau puisse être importante, le surcoût matériel <strong>de</strong>l’unité <strong>de</strong> contrôle est très limité par rapport à <strong>la</strong> taille <strong>de</strong> l’oscil<strong>la</strong>teur. Pour chaque étageajouté, LUT1 aura <strong>de</strong>ux bits <strong>de</strong> plus et LUT2 aura un bit <strong>de</strong> plus.L’oscil<strong>la</strong>teur programmable et arrêtable PSO est implém<strong>en</strong>té avec <strong>la</strong> technologieCMOS 45nm <strong>de</strong> STMicroelectronics, les détails d'implém<strong>en</strong>tation sont prés<strong>en</strong>tés dans leparagraphe suivant. Les informations sur les dé<strong>la</strong>is sont extraites <strong>de</strong> l’implém<strong>en</strong>tationphysique et insérées dans notre modèle VHDL. En utilisant le flot <strong>de</strong> conception numérique,on peut rapi<strong>de</strong>m<strong>en</strong>t réaliser plusieurs simu<strong>la</strong>tions. Grâce à l’inclusion <strong>de</strong>s effets Charlie etDrafting dans le modèle numérique, nous avons obt<strong>en</strong>u <strong>de</strong>s résultats précis, très proches <strong>de</strong>srésultats <strong>de</strong> simu<strong>la</strong>tions analogiques. La figure 6-5 montre un exemple où le PSO estsollicité pour passer d’une fréqu<strong>en</strong>ce basse à une fréqu<strong>en</strong>ce haute et <strong>en</strong>suite d’arrêterl’horloge <strong>de</strong> sortie durant quelques cycles.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Conception d’un Oscil<strong>la</strong>teur Programmable et Arrêtable 1133.5. Simu<strong>la</strong>tion numérique du PSOFigure 6-5 : Les chronogrammes du PSODans <strong>la</strong> figure 6-5, au point A, le signal CF est activé et le nouveau co<strong>de</strong> FC est<strong>en</strong>voyé. Ri<strong>en</strong> ne se passe jusqu'au prochain front négatif <strong>de</strong> R_Out (point B). Après ce front<strong>de</strong>sc<strong>en</strong>dant, le signal Stop est désactivé. Au point C, il est c<strong>la</strong>ir que R_Out a une horlogetronquée <strong>en</strong> raison <strong>de</strong> <strong>la</strong> nouvelle configuration. Toutefois, cette horloge tronquée n'apparaîtjamais sur <strong>la</strong> sortie Clk. CF est désactivé au point D, R_Out comm<strong>en</strong>ce alors à osciller avec<strong>la</strong> nouvelle fréqu<strong>en</strong>ce et le compteur comm<strong>en</strong>ce à compter le nombre <strong>de</strong> pério<strong>de</strong>stransitoires. D'autre part, au point F, le signal PC est <strong>en</strong>voyé. Au front négatif suivant, lesignal Stop est désactivé et <strong>la</strong> sortie Clk est bloquée à zéro (point G). Veuillez noter queR_Out continue à osciller avec <strong>la</strong> même fréqu<strong>en</strong>ce. Au point H, le signal PC est désactivé.Au prochain front négatif <strong>de</strong> R_Out, <strong>la</strong> sortie du comparateur passe à un (EQ =1) et force lesignal Stop à un. Par conséqu<strong>en</strong>t, <strong>la</strong> sortie Clk oscillera au prochain front positif <strong>de</strong> R_Out.La conception a été testée avec <strong>de</strong> nombreux scénarios, <strong>en</strong> particulier le protocole <strong>de</strong>communication <strong>en</strong>tre le processeur et le PSO. Tous les tests montr<strong>en</strong>t un comportem<strong>en</strong>t etun fonctionnem<strong>en</strong>t correct.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


114 Chapitre 64. Résultats d’implém<strong>en</strong>tationL’oscil<strong>la</strong>teur programmable et arrêtable PSO prés<strong>en</strong>té dans les paragraphes 2 et 3 <strong>de</strong>ce chapitre est implém<strong>en</strong>té <strong>en</strong> technologie CMOS 45nm <strong>de</strong> STMicroelectronics. Nous avonsutilisé notre bibliothèque TAL “<strong>TIMA</strong> Asynchronous Library” ainsi que les bibliothèquesstandards <strong>de</strong> STMicroelectronics pour l’implém<strong>en</strong>tation physique. Le flot <strong>de</strong> conceptionCADENCE pour les simu<strong>la</strong>tions, le ‘‘<strong>la</strong>yout’’ et les simu<strong>la</strong>tions post ‘‘<strong>la</strong>yout’’.Nous avons utilisé <strong>de</strong>s portes <strong>de</strong> Muller <strong>de</strong> type « conv<strong>en</strong>tionnel » avec <strong>de</strong>s signaux<strong>de</strong> mise a zéro et mise à un (SET et RESET). Le circuit complet <strong>de</strong> l'anneau avec 11 étagescomme montré sur <strong>la</strong> figure 6-1 est implém<strong>en</strong>té et testé. La porte <strong>de</strong> Muller du <strong>de</strong>rnier étageSTGn, doit être dim<strong>en</strong>sionnée <strong>de</strong> sorte à avoir une sortante suffisante pour piloter tous lesmultiplexeurs <strong>de</strong>s N étages.4.1. Les performances <strong>de</strong>s différ<strong>en</strong>tes solutionsComme nous l’avons expliqué dans le paragraphe 2, nous avons trois stratégies <strong>de</strong>programmation pour contrôler <strong>la</strong> fréqu<strong>en</strong>ce <strong>de</strong> l’oscil<strong>la</strong>teur basé sur l’anneau asynchronePSTR. Le tableau 6-1 résume les performances <strong>de</strong> chaque stratégie. Pour une comparaisonéquitable <strong>en</strong> termes <strong>de</strong> puissance consommée, toutes les stratégies sont testées avec 11étages. Ainsi, les fréqu<strong>en</strong>ces <strong>de</strong> fonctionnem<strong>en</strong>t <strong>de</strong>s trois stratégies sont très proches <strong>en</strong>raison <strong>de</strong> <strong>la</strong> dép<strong>en</strong>dance au dé<strong>la</strong>i Drr, qui est pratiquem<strong>en</strong>t égal pour les trois stratégies.Tableau 6-1: Résultats <strong>de</strong>s différ<strong>en</strong>tes solutions <strong>de</strong> programmationSolution 1 Solution 2 Solution 3P<strong>la</strong>ge <strong>de</strong> Fréqu<strong>en</strong>ce 500MHz – 3GHz 400MHz–1.7 GHz450MHz–2GHzNo. <strong>de</strong> Fréqu<strong>en</strong>ces 5 13 9Taille du Pas Irrégulier 100 MHz IrrégulierLa Consommation <strong>de</strong>Puissance StatiqueLa Consommation <strong>de</strong>Puissance Dynamique8.7 nW 37.5 nW 15.94 nW63.68 µW 145 µW 82.3 µWOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Conception d’un Oscil<strong>la</strong>teur Programmable et Arrêtable 115Dans <strong>la</strong> Solution 1, un anneau <strong>de</strong> 11 étages est conçu. Sa fréqu<strong>en</strong>ce <strong>de</strong> sortie estcontrôlée par le changem<strong>en</strong>t du nombre <strong>de</strong> jetons et <strong>de</strong> bulles dans l’anneau par le motTCW. Sa fréqu<strong>en</strong>ce d'oscil<strong>la</strong>tion maximale est <strong>de</strong> 3 GHz. Le nombre <strong>de</strong> fréqu<strong>en</strong>cespossibles est limité, <strong>en</strong> raison <strong>de</strong> sa taille, et les pas <strong>de</strong> fréqu<strong>en</strong>ce sont irréguliers (<strong>de</strong> 300 à700 MHz). Cette stratégie est celle qui consomme le mois <strong>de</strong> puissance dynamique etstatique car elle utilise moins <strong>de</strong> matériel que les autres solutions.Dans <strong>la</strong> Solution 2, Un anneau avec 11 étages est conçu. Sa fréqu<strong>en</strong>ce <strong>de</strong> sortie estcontrôlée par le changem<strong>en</strong>t du nombre <strong>de</strong> jetons, <strong>de</strong> bulles et du nombre d’étages <strong>de</strong>l’anneau par les mots TCW et SCW. Il offre un pas <strong>de</strong> fréqu<strong>en</strong>ce plus fin et régulier (100MHz <strong>en</strong>viron) et, En revanche, <strong>la</strong> fréqu<strong>en</strong>ce maximale est plus basse car <strong>de</strong>s dé<strong>la</strong>is sontajoutés par les multiplexeurs. En raison du matériel supplém<strong>en</strong>taire, cette stratégieconsomme plus <strong>de</strong> puissance dynamique et statique.Enfin, <strong>la</strong> Solution 3 est une solution hybri<strong>de</strong> <strong>de</strong>s <strong>de</strong>ux premières solutions. Nousavons conçu un oscil<strong>la</strong>teur à 12 étages, <strong>de</strong>ux multiplexeurs ont été ajouté afin d’utiliserl’oscil<strong>la</strong>teur avec 12, 11 et 5 étages. La Solution 3 est un compromis <strong>en</strong>tre <strong>la</strong> stratégie 1 (pas<strong>de</strong> multiplexeur, un nombre limité <strong>de</strong> fréqu<strong>en</strong>ces et une puissance consommée minimale), et<strong>la</strong> stratégie 2 (un multiplexeur par étage, un nombre important <strong>de</strong> fréqu<strong>en</strong>ces et unepuissance consommée plus élevée).Dans le cas <strong>de</strong> <strong>la</strong> Solution 2, il y a 25 configurations <strong>de</strong> fréqu<strong>en</strong>ces différ<strong>en</strong>tespossibles avec TCW et SCW, mais on obti<strong>en</strong>t seulem<strong>en</strong>t 13 fréqu<strong>en</strong>ces. Ce<strong>la</strong> est dû à <strong>de</strong>uxraisons. La première est que certaines fréqu<strong>en</strong>ces sont obt<strong>en</strong>ues avec <strong>de</strong>s configurationsdiffér<strong>en</strong>tes. Par exemple, pour 10 étages avec N T /N B =4/6, nous obt<strong>en</strong>ons <strong>la</strong> même fréqu<strong>en</strong>cequ’avec 5 étages avec N T /N B = 2/3, soit 1,4 GHz. Ce<strong>la</strong> est dû à <strong>la</strong> proportionnalité <strong>de</strong> <strong>la</strong>fréqu<strong>en</strong>ce <strong>de</strong> sortie du PSTR au ratio N T /N B . La <strong>de</strong>uxième raison est que certainesconfigurations produis<strong>en</strong>t une oscil<strong>la</strong>tion <strong>en</strong> mo<strong>de</strong> rafale (burst). Nous avons obt<strong>en</strong>u aveccette stratégie 10 fréqu<strong>en</strong>ces avec un rapport cyclique <strong>de</strong> 50% et 3 avec un rapport cyclique<strong>de</strong> 30%. Un rapport cyclique <strong>de</strong> 50% est obligatoire uniquem<strong>en</strong>t pour les applications quiutilis<strong>en</strong>t les <strong>de</strong>ux fronts [BUI 06]. En conclusion, nous avons trois stratégies différ<strong>en</strong>tes <strong>de</strong>contrôle <strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ce que nous pouvons choisir selon l'application.La Figure 6-6 prés<strong>en</strong>te le changem<strong>en</strong>t <strong>de</strong> <strong>la</strong> sortie d’une fréqu<strong>en</strong>ce basse a unefréqu<strong>en</strong>ce haute par le changem<strong>en</strong>t <strong>de</strong> TCW/SCW. Nous avons obt<strong>en</strong>u <strong>la</strong> fréqu<strong>en</strong>ce basseavec <strong>la</strong> configuration 10T/1B et <strong>la</strong> fréqu<strong>en</strong>ce haute avec <strong>la</strong> configuration 6T/5B.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


116 Chapitre 6Figure 6-6 : Exemple <strong>de</strong> changem<strong>en</strong>t <strong>de</strong> fréqu<strong>en</strong>ce du PSO4.2. Fréqu<strong>en</strong>ce vs. T<strong>en</strong>sion d’alim<strong>en</strong>tationLa caractéristique du PSTR <strong>en</strong> fonction <strong>de</strong> sa t<strong>en</strong>sion d'alim<strong>en</strong>tation est un élém<strong>en</strong>ttrès intéressant. De manière générale, <strong>la</strong> vitesse <strong>de</strong>s circuits asynchrones, y compris lesanneaux asynchrones, peut être contrôlée naturellem<strong>en</strong>t par <strong>la</strong> t<strong>en</strong>sion d'alim<strong>en</strong>tation. Notreimplém<strong>en</strong>tation du PSTR utilisant <strong>la</strong> Solution1 est examinée <strong>en</strong> fonction du changem<strong>en</strong>t <strong>de</strong><strong>la</strong> t<strong>en</strong>sion d’alim<strong>en</strong>tation. Les résultats sont prés<strong>en</strong>tés sur <strong>la</strong> figure 6-7. Le PSTR estconfiguré <strong>de</strong> sorte qu'il oscille avec sa fréqu<strong>en</strong>ce maximale. Sa t<strong>en</strong>sion d'alim<strong>en</strong>tation passe<strong>de</strong> zéro à 1.1V. L'anneau n’oscille plus sous 0.5V. Entre 0,8V et 1.1V, le changem<strong>en</strong>t <strong>de</strong> <strong>la</strong>fréqu<strong>en</strong>ce <strong>en</strong> fonction <strong>de</strong> <strong>la</strong> t<strong>en</strong>sion est linéaire. Cette linéarité, nous permet d'utiliser <strong>la</strong>t<strong>en</strong>sion pour générer davantage <strong>de</strong> fréqu<strong>en</strong>ces et/ou pour un rég<strong>la</strong>ge fin <strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ced'oscil<strong>la</strong>tion. Cep<strong>en</strong>dant, nous n'avons pas complètem<strong>en</strong>t inclus cette fonction dans notreimplém<strong>en</strong>tation. Il faudrait <strong>en</strong> effet inclure <strong>de</strong>s travaux sur les régu<strong>la</strong>teurs <strong>de</strong> t<strong>en</strong>sion et lestrans<strong>la</strong>teurs <strong>de</strong> niveau pour ajouter cette fonctionnalité.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Conception d’un Oscil<strong>la</strong>teur Programmable et Arrêtable 117Figure 6-7 : La fréqu<strong>en</strong>ce <strong>de</strong> sortie du PSTR Vs. T<strong>en</strong>sion d’alim<strong>en</strong>tation.4.3. La s<strong>en</strong>sibilité aux variations <strong>de</strong> processus(a)(b)Figure 6-8 : Les variations du procesus du PSTR (a) Inter-Die (b) Intra-Die.Afin <strong>de</strong> mesurer l'effet <strong>de</strong> <strong>la</strong> variabilité <strong>de</strong>s procédés <strong>de</strong> fabrication surl’implém<strong>en</strong>tation proposée, une simu<strong>la</strong>tion <strong>de</strong> Monte-Carlo (1000 itérations) <strong>de</strong> <strong>la</strong> Solution1avec 12 étages utilisant <strong>la</strong> configuration 6T/6B a été réalisée. Comme le montre <strong>la</strong> figure 6-Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


118 Chapitre 68.a, pour <strong>la</strong> variabilité Inter-Die (ou Die-to-Die), nous obt<strong>en</strong>ons une fréqu<strong>en</strong>ce moy<strong>en</strong>ne <strong>de</strong>2,7 GHz, et un écart type <strong>de</strong> 205 MHz. Ce qui donne un effet <strong>de</strong> variabilité du procédé sur<strong>la</strong> pério<strong>de</strong> d'horloge <strong>de</strong> 7,6%. Pour variabilité Intra-Die (Within-Die), les résultats <strong>de</strong>simu<strong>la</strong>tion nous donn<strong>en</strong>t une fréqu<strong>en</strong>ce moy<strong>en</strong>ne <strong>de</strong> 2,6 GHz et un écart type <strong>de</strong> 25 MHz, cequi signifie un effet <strong>de</strong> variabilité <strong>de</strong> processus sur <strong>la</strong> pério<strong>de</strong> d'horloge <strong>de</strong> 1% (Figure 6-8.b)5. ConclusionCe chapitre abor<strong>de</strong> le problème <strong>de</strong> <strong>la</strong> conception d’un oscil<strong>la</strong>teur programmable etarrêtable cib<strong>la</strong>nt <strong>de</strong>s applications qui ont besoin <strong>de</strong> fréqu<strong>en</strong>ces compris <strong>en</strong>tre 3 GHz à 400MHz. L’oscil<strong>la</strong>teur asynchrone est choisi comme le noyau <strong>de</strong> l'oscil<strong>la</strong>teur <strong>en</strong> raison <strong>de</strong> sesnombreux avantages (<strong>la</strong> configurabilité, <strong>la</strong> précision, <strong>la</strong> robustesse contre <strong>la</strong> variabilité <strong>de</strong>sprocessus ...).En utilisant trois stratégies différ<strong>en</strong>tes, <strong>la</strong> programmation <strong>de</strong> l’oscil<strong>la</strong>teur <strong>en</strong>asynchrone est prés<strong>en</strong>tée. Les stratégies proposées sont <strong>de</strong>s solutions architecturalessimples. Ces stratégies montr<strong>en</strong>t une gran<strong>de</strong> efficacité et flexibilité pour changer <strong>la</strong>fréqu<strong>en</strong>ce <strong>de</strong> l'anneau. Basé sur un oscil<strong>la</strong>teur <strong>en</strong> anneau asynchrone programmable,l’oscil<strong>la</strong>teur programmable et arrêtable a été conçu et implém<strong>en</strong>té. Un protocole <strong>de</strong>communication efficace <strong>en</strong>tre le processeur et l'oscil<strong>la</strong>teur est utilisé pour assurer unecommutation correcte d'une fréqu<strong>en</strong>ce à une autre. L'oscil<strong>la</strong>teur est conçu <strong>en</strong> pr<strong>en</strong>ant <strong>en</strong>compte les problèmes <strong>de</strong> glitches et <strong>de</strong>s horloges tronquées <strong>en</strong> sortie. Les architecturesproposées ont été implém<strong>en</strong>tées <strong>en</strong> utilisant <strong>la</strong> technologie CMOS 45nm <strong>de</strong>STMicroelectronics. Pour chaque stratégie, nous avons évalué <strong>la</strong> vitesse, <strong>la</strong> consommationet <strong>la</strong> s<strong>en</strong>sibilité aux variations <strong>de</strong>s procédés <strong>de</strong> fabrication. L’implém<strong>en</strong>tation prés<strong>en</strong>te une<strong>la</strong>rge p<strong>la</strong>ge <strong>de</strong> fréqu<strong>en</strong>ces avec <strong>de</strong>s pas <strong>de</strong> fréqu<strong>en</strong>ce réguliers. En utilisant une simu<strong>la</strong>tion<strong>de</strong> Monte-Carlo avec 1000 itérations, notre implém<strong>en</strong>tation montre une variabilité Intra-Die<strong>de</strong> moins <strong>de</strong> 1% et <strong>en</strong>viron 7,5% <strong>de</strong> variabilité inter-Die sur <strong>la</strong> pério<strong>de</strong> <strong>de</strong> l'horloge. Lacaractéristique du PSTR <strong>en</strong> fonction <strong>de</strong> sa t<strong>en</strong>sion d'alim<strong>en</strong>tation est aussi étudiée. Lavariation <strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ce est linéaire par rapport à <strong>la</strong> t<strong>en</strong>sion d’alim<strong>en</strong>tation.Ce travail montre comm<strong>en</strong>t les oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchrones peuv<strong>en</strong>t êtreefficacem<strong>en</strong>t utilisés pour l’implém<strong>en</strong>tation d’un oscil<strong>la</strong>teur programmable et arrêtable. Lecircuit implém<strong>en</strong>té donne <strong>de</strong>s résultats très satisfaisants <strong>en</strong> termes <strong>de</strong> vitesse, <strong>de</strong> puissanceconsommée, <strong>de</strong> variabilité, <strong>de</strong> régu<strong>la</strong>rité du pas <strong>de</strong> fréqu<strong>en</strong>ce et <strong>de</strong> <strong>la</strong> <strong>la</strong>rgeur <strong>de</strong> <strong>la</strong> ban<strong>de</strong> <strong>de</strong>Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Conception d’un Oscil<strong>la</strong>teur Programmable et Arrêtable 119fréqu<strong>en</strong>ce. A notre connaissance, ce circuit est <strong>la</strong> première implém<strong>en</strong>tation réalisée d'unoscil<strong>la</strong>teur programmable basé sur un anneau asynchrone.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


120 Chapitre 6Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


TestChip : Validation sur Silicium <strong>en</strong> Technologie STCMOS065 121Chapitre 7.TestChip : Validation sur Silicium <strong>en</strong>Technologie STCMOS0651. IntroductionNous avons réalisé un circuit <strong>de</strong> test <strong>en</strong> technologie CMOS065 <strong>de</strong>STMicroelectronics qui embarque plusieurs types d’anneaux asynchrones dans le but <strong>de</strong>vali<strong>de</strong>r sur silicium le fonctionnem<strong>en</strong>t et les performances <strong>de</strong>s oscil<strong>la</strong>teurs <strong>en</strong> anneauasynchrones. On souhaite montrer principalem<strong>en</strong>t les points suivants :G<strong>en</strong>eration <strong>de</strong> différ<strong>en</strong>tes fréqu<strong>en</strong>ces d’oscil<strong>la</strong>tion par configuration du nombre <strong>de</strong>jetons et <strong>de</strong> bulles injectés dans l’anneau p<strong>en</strong>dant <strong>la</strong> phase d’initialisation par <strong>de</strong>uxmétho<strong>de</strong>s <strong>de</strong> programmation : <strong>en</strong> utilisant les portes <strong>de</strong> Muller avec <strong>de</strong>s signaux <strong>de</strong>SET/RESET (Solution 1 du chapitre 6) et par injection <strong>de</strong> jetons <strong>en</strong> série.L’amélioration du bruit <strong>de</strong> phase <strong>de</strong> 3dB lorsqu’on double le nombre d’étages et quel’on conserve <strong>la</strong> même fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion.Montrer qu’on peut obt<strong>en</strong>ir <strong>de</strong>s sorties polyphasées équidistantes et indép<strong>en</strong>dantes<strong>de</strong>s dé<strong>la</strong>is <strong>de</strong>s portes.Le testchip a été caractérisé sous pointes dans le <strong>la</strong>boratoire RF <strong>de</strong>STMicroelectronics Crolles.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


122 Chapitre 72. L’architecture <strong>de</strong> TestChipLa figure 7-1 montre l’architecture du TestChip. Il est composé d’une interfaced’<strong>en</strong>trée (SIO) pour <strong>la</strong> programmation et <strong>la</strong> sélection <strong>de</strong>s oscil<strong>la</strong>teurs, un bloc qui conti<strong>en</strong>tplusieurs oscil<strong>la</strong>teurs et une interface <strong>de</strong> sortie pour sortir les signaux RF.Figure 7-1 : L’architecture du TestChip2.1. Interface d’<strong>en</strong>tréeL’interface d’<strong>en</strong>trée utilise un protocole JTAG (Joint Test Action Group) <strong>de</strong> <strong>la</strong>norme IEEE 1149.1 intitulé « Standard Test Access Port and Boundary-Scan Architecture ».Le bus JTAG est un bus série synchrone composé <strong>de</strong>s cinq signaux <strong>de</strong> contrôlesuivants (Figure 7-2):TMS, (Test Mo<strong>de</strong> Select) Signal d'activation <strong>de</strong> <strong>la</strong> communication JTAG,Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


TestChip : Validation sur Silicium <strong>en</strong> Technologie STCMOS065 123TCK, (Test ClocK) Horloge,TDI, (Test Data Input) Entrée <strong>de</strong>s données,TDO, (Test Data Output) Sortie <strong>de</strong>s données,TRST, (Test ReSeT) Réinitialisation. Ce signal optionnel est actif au niveau bas.Figure 7-2 : Le protocole JTAG <strong>en</strong> écritureCette interface consiste à donner un accès auxiliaire aux broches d'<strong>en</strong>trée-sortie <strong>de</strong>scomposants fortem<strong>en</strong>t intégrés. Chaque broche d'<strong>en</strong>trée-sortie n'est pas connectéedirectem<strong>en</strong>t à l'intérieur du composant, mais à travers une « cellule JTAG » permettant <strong>de</strong> <strong>la</strong>piloter indép<strong>en</strong>damm<strong>en</strong>t <strong>de</strong> sa fonction initiale. Dans notre cas, nous avons utilisé ceprotocole pour piloter les <strong>en</strong>trées <strong>de</strong>s composants (oscil<strong>la</strong>teurs et interfaces <strong>de</strong> sortie) quisont <strong>de</strong> l’ordre <strong>de</strong> <strong>la</strong> c<strong>en</strong>taine.La Figure 7-3 montre les résultats <strong>de</strong> simu<strong>la</strong>tion pour activer un oscil<strong>la</strong>teur (2T/1B)<strong>en</strong> utilisant <strong>la</strong> sortie JTAG. Selon le Protocole (Figure 7-2), nous avons programmé lessignaux TMS et TDI par les co<strong>de</strong>s d’adresse (IR) et données (DR) appropriés à l’activation<strong>de</strong> <strong>la</strong> sortie JTAG qui active l’oscil<strong>la</strong>teur 2T/1B.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


124 Chapitre 7Figure 7-3 : L’activation d’oscil<strong>la</strong>teur 2T/1B par le protocole JTAG2.2. Le cœur du testestchip: les oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchronesAfin <strong>de</strong> vali<strong>de</strong>r le fonctionnem<strong>en</strong>t et les performances <strong>de</strong>s oscil<strong>la</strong>teurs <strong>en</strong> anneauasynchrones. Le cœur <strong>de</strong> ce testchip conti<strong>en</strong>t neuf oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchrones et unoscil<strong>la</strong>teur à base d’inverseurs :OSC_2T_1B : Un oscil<strong>la</strong>teur <strong>en</strong> anneau asynchrone à trois étages qui conti<strong>en</strong>t <strong>de</strong>uxjetons et une bulle préprogrammés <strong>en</strong> utilisant <strong>de</strong>ux étages avec un signal RESET et unétage avec un signal SET exploitant l’implém<strong>en</strong>tation conv<strong>en</strong>tionnelle <strong>de</strong> <strong>la</strong> porte <strong>de</strong>Muller.OSC_4T_2B : Un oscil<strong>la</strong>teur <strong>en</strong> anneau asynchrone à six étages qui conti<strong>en</strong>t quatrejetons et <strong>de</strong>ux bulles préprogrammés <strong>en</strong> utilisant quatre étages avec un signal RESET et<strong>de</strong>ux étages avec un signal SET exploitant l’implém<strong>en</strong>tation conv<strong>en</strong>tionnelle <strong>de</strong> <strong>la</strong> porte <strong>de</strong>Muller.OSC_8T_4B : Un oscil<strong>la</strong>teur <strong>en</strong> anneau asynchrone à douze étages qui conti<strong>en</strong>t huitjetons et quatre bulles préprogrammés <strong>en</strong> utilisant huit étages avec un signal RESET etOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


TestChip : Validation sur Silicium <strong>en</strong> Technologie STCMOS065 125quatre étages avec un signal SET exploitant l’implém<strong>en</strong>tation conv<strong>en</strong>tionnelle <strong>de</strong> <strong>la</strong> porte <strong>de</strong>Muller.OSC_2T_3B : Un oscil<strong>la</strong>teur <strong>en</strong> anneau asynchrone à cinq étages qui conti<strong>en</strong>t <strong>de</strong>uxjetons et trois bulles préprogrammés <strong>en</strong> utilisant trois étages avec un signal RESET et <strong>de</strong>uxétages avec un signal SET exploitant l’implém<strong>en</strong>tation conv<strong>en</strong>tionnelle <strong>de</strong> <strong>la</strong> porte <strong>de</strong>Muller.OSC_2T_3B_DYN : Un oscil<strong>la</strong>teur <strong>en</strong> anneau asynchrone à cinq étages qui conti<strong>en</strong>t<strong>de</strong>ux jetons et trois bulles préprogrammés <strong>en</strong> utilisant trois étages avec un signal RESET et<strong>de</strong>ux étages avec un signal SET exploitant l’implém<strong>en</strong>tation conv<strong>en</strong>tionnelle <strong>de</strong> <strong>la</strong> porte <strong>de</strong>Muller.OSC_2T_2B : Un oscil<strong>la</strong>teur <strong>en</strong> anneau asynchrone à quatre étages qui conti<strong>en</strong>t<strong>de</strong>ux jetons et <strong>de</strong>ux bulles préprogrammés <strong>en</strong> utilisant <strong>de</strong>ux étages avec un signal RESET et<strong>de</strong>ux étages avec un signal SET exploitant l’implém<strong>en</strong>tation conv<strong>en</strong>tionnelle <strong>de</strong> <strong>la</strong> porte <strong>de</strong>Muller.OSC_2T_1B_MOD : Un oscil<strong>la</strong>teur <strong>en</strong> anneau asynchrone à trois étages modifiésqui conti<strong>en</strong>t <strong>de</strong>ux jetons et une bulle préprogrammés <strong>en</strong> utilisant <strong>de</strong>ux étages avec un signalRESET et un étage avec un signal SET exploitant l’implém<strong>en</strong>tation dynamique <strong>de</strong> <strong>la</strong> porte<strong>de</strong> Muller modifiée.OSC_21_PROG_SET_REST : Un oscil<strong>la</strong>teur <strong>en</strong> anneau asynchrone à vingt et unétages utilisant <strong>de</strong>s étages conv<strong>en</strong>tionnels avec les signaux SET et RESET. Cet oscil<strong>la</strong>teur aquatre sorties. Il comporte quatre blocs <strong>de</strong> multiplexeurs qui permett<strong>en</strong>t <strong>de</strong> sélectionnerquatre phases <strong>de</strong> l’oscil<strong>la</strong>teur parmi les vingt et une phases <strong>de</strong> l’oscil<strong>la</strong>teur. Les vingt et unephases peuv<strong>en</strong>t prov<strong>en</strong>ir <strong>de</strong> n’importe quelle sortie. On peut donc faire sortir <strong>la</strong> mêmephase sur les quatre sorties simultaném<strong>en</strong>t. Ce<strong>la</strong> nous sera utile pour calibrer l’appareil <strong>de</strong>mesure lors <strong>de</strong> <strong>la</strong> mesure du déphasage.OSC_21_PROG_SERIE : Un oscil<strong>la</strong>teur <strong>en</strong> anneau asynchrone à vingt et un étagesutilisant <strong>de</strong>s étages conv<strong>en</strong>tionnels avec le signal RESET. Le premier et le <strong>de</strong>rnier étageconti<strong>en</strong>n<strong>en</strong>t chacun un multiplexeur pour l’ouverture <strong>de</strong> l’anneau utilisée pour <strong>la</strong>programmation série.Initialem<strong>en</strong>t l’anneau est ouvert après avoir initialisé tous les étages à 0 avec lesignal RB. La phase <strong>de</strong> configuration consiste à injecter le nombre voulu <strong>de</strong> jetons dansl’anneau. En utilisant l’<strong>en</strong>trée CONFIG. L’injection d’un jeton correspond à une transition.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


126 Chapitre 7Les <strong>de</strong>ux premières transitions se propag<strong>en</strong>t jusqu’aux étages 21 et 20 qui mémoris<strong>en</strong>t lesvaleurs 1 et 0 respectivem<strong>en</strong>t. Ces <strong>de</strong>ux transitions successives cré<strong>en</strong>t <strong>de</strong>ux jetons quis’empil<strong>en</strong>t dans les étages 21 et 20. Si <strong>de</strong> nouvelles transitions sont générées sur l’<strong>en</strong>tréeCONFIG, alors, <strong>de</strong> nouveaux jetons sont créés et s’empil<strong>en</strong>t progressivem<strong>en</strong>t sur les jetonsdéjà prés<strong>en</strong>ts. Ainsi, <strong>en</strong> contrô<strong>la</strong>nt le nombre <strong>de</strong> transitions du signal CONFIG, on contrôlele nombre <strong>de</strong> jetons dans l’anneau. Une fois configuré avec le nombre <strong>de</strong> jetons voulu,l’oscil<strong>la</strong>teur peut être démarré <strong>en</strong> positionnant OPEN à 0 pour fermer l’anneau [HAM 09].Figure 7-4 : L’architecture <strong>de</strong> l’oscil<strong>la</strong>teur OSC_21_PROG_SERIELa figure 7-5 représ<strong>en</strong>te le chronogramme <strong>de</strong> <strong>la</strong> phase <strong>de</strong> configuration p<strong>en</strong>dant<strong>la</strong>quelle 12 jetons sont injectés dans l’anneau.Figure 7-5 : L’injection <strong>de</strong> 12 jetons <strong>en</strong> sérieOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


TestChip : Validation sur Silicium <strong>en</strong> Technologie STCMOS065 127OSC_21_INV : Un oscil<strong>la</strong>teur à base d’inverseurs à vingt et un étages.A noter que toutes les <strong>en</strong>trées comport<strong>en</strong>t <strong>de</strong>s protections CDM et un buffer estajouté à <strong>la</strong> sortie <strong>de</strong> chaque oscil<strong>la</strong>teur.2.3. L’interface <strong>de</strong> sortieL’interface <strong>de</strong> sortie permet <strong>de</strong> sélectionner <strong>la</strong> sortie (ou les sorties) <strong>de</strong> l’oscil<strong>la</strong>teur(<strong>de</strong>s oscil<strong>la</strong>teurs) vers quatre sorties RF. Nous pouvons sortir n’importe quelle sortie <strong>de</strong>l’oscil<strong>la</strong>teur sur n’importe quelle sortie RF. Cette interface conti<strong>en</strong>t aussi un diviseur <strong>de</strong>fréqu<strong>en</strong>ce par <strong>de</strong>ux et <strong>de</strong>s buffers <strong>de</strong> sortie pourront être chargé par une d’impédance 50ohms.2.4. Les alim<strong>en</strong>tations, ions, <strong>en</strong>trées et sortiesLe circuit comporte onze <strong>en</strong>trées/sorties DC. Six <strong>en</strong>trées serv<strong>en</strong>t à l’alim<strong>en</strong>tation et<strong>la</strong> mise à <strong>la</strong> masse <strong>de</strong>s trois blocs du circuit (VDDSIO, VSSSIO, VDDRING, VSSRING,VDDBUF, VSSBUF). Les cinq autres <strong>en</strong>trées/sorties sont les signaux <strong>de</strong> l’interface JTAG(TMS, TCK, TDI, TDO, TRST) qui permett<strong>en</strong>t <strong>de</strong> sélectionner et programmer lesoscil<strong>la</strong>teurs. Par ailleurs, le circuit prés<strong>en</strong>te six sorties RF <strong>de</strong> type GSG (Ground-Signal-Ground). Quatre plots <strong>de</strong> sortie sont sur le côté droit du circuit (OUT1, OUT2, OUT3,OUT4) et <strong>de</strong>ux sont <strong>en</strong> haut du circuit (OUT3BIS et OUT4BIS). Ils constitu<strong>en</strong>t uneduplication <strong>de</strong>s sorties OUT3 et OUT4. Cette duplication a pour but <strong>de</strong> faciliter <strong>la</strong> mesure<strong>de</strong> déphasage <strong>en</strong>tre quatre signaux car l’<strong>en</strong>vironnem<strong>en</strong>t <strong>de</strong> mesure ne permet <strong>de</strong> traiter que<strong>de</strong>ux signaux RF à <strong>la</strong> fois <strong>de</strong> chaque côté.2.5. Layout et CircuitLa figure 7-6 montre le <strong>la</strong>yout et <strong>la</strong> photo du circuit. La surface globale est définiepar <strong>la</strong> couronne <strong>de</strong> plots (pad-limited). La dim<strong>en</strong>sion <strong>de</strong> <strong>la</strong> couronne <strong>de</strong> plots est <strong>de</strong> 1.7×1.7pour une surface totale <strong>de</strong> 2.9mm2.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


128 Chapitre 7Figure 7-6 : Layout et photo du Circuit3. Les mesures expérim<strong>en</strong>talesLa mesure <strong>de</strong> performance <strong>en</strong> bruit <strong>de</strong> phase et le déphasage <strong>de</strong>s oscil<strong>la</strong>teurs <strong>en</strong>anneau est une mesure délicate à effectuer. Elle nécessite <strong>de</strong>s appareils <strong>de</strong> mesuresparticulièrem<strong>en</strong>t performants. Nous avons réalisé ces mesures expérim<strong>en</strong>tales dans le<strong>la</strong>boratoire RF <strong>de</strong> STMicroelectronics à Crolles qui dispose <strong>de</strong> ce type <strong>de</strong> matériel. Lecircuit a été caractérisé sous pointes.3.1. L’<strong>en</strong>vironnem<strong>en</strong>t <strong>de</strong> mesureL’<strong>en</strong>vironnem<strong>en</strong>t <strong>de</strong> mesures compr<strong>en</strong>d un ‘‘wafer prober’’ (Figure 7-7.a et Figure7-7.b) pour le test sous pointe du circuit directem<strong>en</strong>t sur le wafer, une alim<strong>en</strong>tationstabilisée, un analyseur <strong>de</strong> spectre, un appareil <strong>de</strong> mesure <strong>de</strong> bruit <strong>de</strong> phase, un oscilloscope16GHz et un ampèremètre (Figure 7-7.c). La programmation <strong>de</strong> <strong>la</strong> partie JTAG est gérée<strong>de</strong>puis un PC à travers un programme Labview et une carte d’interface branchée au portparallèle.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


TestChip : Validation sur Silicium <strong>en</strong> Technologie STCMOS065 129(a) ‘‘wafer prober’’(b) le circuit sous pointes(c) une partie <strong>de</strong>s appareils <strong>de</strong> mesure(d) Carte d’interface JTAGFigure 7-7 : L’<strong>en</strong>vironnem<strong>en</strong>t <strong>de</strong> mesure3.2. Les mesures expérim<strong>en</strong>tales3.2.1. Les oscil<strong>la</strong>teurs OSC_2T_1B, OSC_4T_2B et OSC_8T_4B.Nous avons mesuré les performances <strong>en</strong> bruit <strong>de</strong> phase <strong>de</strong>s oscil<strong>la</strong>teursOSC_2T_1B, OSC_4T_2B et OSC_8T_4B. Le résumé <strong>de</strong>s performances est prés<strong>en</strong>té dansle tableau 7-1. On remarque l’amélioration du bruit <strong>de</strong> phase <strong>en</strong> doub<strong>la</strong>nt le nombred’étages dans l’anneau tout <strong>en</strong> gardant <strong>la</strong> même fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion. On constate que lebruit <strong>de</strong> phase <strong>de</strong> l’oscil<strong>la</strong>teur OSC_8T_4B à 1MHz <strong>de</strong> fréqu<strong>en</strong>ce d’offset est amélioré <strong>de</strong>2,84dB par rapport à OSC_4T_2B et <strong>de</strong> 7,19dB par rapport à OSC_2T_1B. Nous avonsannoncé dans le chapitre 5 une amélioration <strong>de</strong> 3dB <strong>en</strong> doub<strong>la</strong>nt le nombre d’étages. Ici,nous avons obt<strong>en</strong>u une amélioration <strong>de</strong> 4,35dB <strong>en</strong> passant <strong>de</strong> trois étages à six étages et uneamélioration <strong>de</strong> 2,84 <strong>en</strong> passant <strong>de</strong> six étages à douze étages. Cette différ<strong>en</strong>ce peut être dueà <strong>la</strong> précision <strong>de</strong>s appareils <strong>de</strong> mesure ou au buffers <strong>de</strong> sortie qui sont id<strong>en</strong>tiques pour lesOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


130 Chapitre 7trois oscil<strong>la</strong>teurs ce qui explique que <strong>la</strong> consommation <strong>de</strong> puissance <strong>de</strong>s oscil<strong>la</strong>teursOSC_4T_2B et OSC_8T_4B n’est pas exactem<strong>en</strong>t le double et le quadruple <strong>de</strong> l’oscil<strong>la</strong>teurOSC_2T_1B.Tableau 7-1 : Les performances <strong>de</strong>s oscil<strong>la</strong>teurs OSC_2T_1B, OSC_4T_2B et OSC_8T_4BL’oscil<strong>la</strong>teurFréq.(GHz)Conso. (mA)Bruit <strong>de</strong> phase à 1 MHz(Hz)Bruit <strong>de</strong> phase à 10 MHz(dBc/Hz)OSC_2T_1B 2,00 180 -83,32 -108, 95OSC_4T_2B 2,00 340 -87,67 -111,53OSC_8T_4B 2,00 600 -90, 51 -114,73La figure 7-8 montre l’allure du bruit <strong>de</strong> phase <strong>de</strong>s oscil<strong>la</strong>teurs OSC_2T_1B etOSC_8T_4B <strong>en</strong>tre 1KHz et 30MHz <strong>de</strong> fréqu<strong>en</strong>ce d’offset. On remarque une différ<strong>en</strong>ce <strong>de</strong>6dB <strong>en</strong>viron <strong>en</strong>tre ces <strong>de</strong>ux oscil<strong>la</strong>teurs ce qui est conforme à ce que nous avons annoncédans le chapitre 5.Figure 7-8 : Le bruit <strong>de</strong> phase dans les oscil<strong>la</strong>teurs OSC_2T_1B et OSC_8T_4B3.2.2. L’oscil<strong>la</strong>teur OSC_2T_2B.Nous avons mesuré les performances <strong>de</strong> l’oscil<strong>la</strong>teur OSC_2T_2B. Cesperformances sont prés<strong>en</strong>tées dans le Tableau 7-2. Les performances <strong>en</strong> bruit <strong>de</strong> phase <strong>de</strong>cet oscil<strong>la</strong>teur sont très attractives. Le bruit <strong>de</strong> phase à 1MHz et à 10MHz d’offset estOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


TestChip : Validation sur Silicium <strong>en</strong> Technologie STCMOS065 131respectivem<strong>en</strong>t -86,05 dBc/Hz et -110,34 dBc/Hz pour une fréqu<strong>en</strong>ce <strong>de</strong> 5GHz et uneconsommation <strong>de</strong> courant <strong>de</strong> 800µA, ce qui donne un excell<strong>en</strong>t facteur <strong>de</strong> mérite (-160,20dB à 1MHz et -164.49dB à 10MHz). Ces performances montr<strong>en</strong>t que les oscil<strong>la</strong>teurs<strong>en</strong> anneau asynchrones sont une alternative très sérieuse aux oscil<strong>la</strong>teurs à inverseurs (cf.comparaison du paragraphe 5 du chapitre 5). La Figure 7-9 montre l’allure du bruit <strong>de</strong> phase<strong>de</strong> cet oscil<strong>la</strong>teur <strong>en</strong>tre 1kHz et 30MHz <strong>de</strong> fréqu<strong>en</strong>ce d’offset.Tableau 7-2 : Les performances <strong>de</strong> l’oscil<strong>la</strong>teur OSC_2T_2BL’oscil<strong>la</strong>teurFreq.(GHz)Conso. (µA)Bruit <strong>de</strong> phase (dBc/Hz)Facteur <strong>de</strong> mérite (dB)à 1MHz à 10 MHz à 1MHz à 10 MHzOSC_2T_2B 5.00 800 -86.05 -110.34 -160,20 -164.49Figure 7-9 : Le bruit <strong>de</strong> phase dans les oscil<strong>la</strong>teurs OSC_2T_2B3.2.3. Les oscil<strong>la</strong>teurs OSC_2T_3B et OSC_2T_3B_DYNLes performances <strong>de</strong>s oscil<strong>la</strong>teurs OSC_2T_3B et OSC_2T_3B_DYN sontprés<strong>en</strong>tées dans le Tableau 7-3. On remarque que les performances <strong>en</strong> bruit <strong>de</strong> phase <strong>de</strong>l’oscil<strong>la</strong>teur OSC_2T_3B_DYN composé <strong>de</strong>s cellules <strong>de</strong> Muller dynamiques a un bruit <strong>de</strong>phase meilleur par rapport à l’oscil<strong>la</strong>teur OSC_2T_3B composé <strong>de</strong>s cellulesconv<strong>en</strong>tionnelles <strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller avec une consommation quasi-id<strong>en</strong>tique. Dans leOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


132 Chapitre 7chapitre 4, nous avons montré que les performances <strong>en</strong> bruit <strong>de</strong> phase (comparaison <strong>en</strong>termes <strong>de</strong> FOM) <strong>de</strong> l’implém<strong>en</strong>tation conv<strong>en</strong>tionnelle sont quasi-id<strong>en</strong>tiques. IciOSC_2T_3B_DYN basé sur l’implém<strong>en</strong>tation dynamique prés<strong>en</strong>te un FOM meilleur quel’oscil<strong>la</strong>teur OSC_2T_3B basé sur l’implém<strong>en</strong>tation conv<strong>en</strong>tionnelle. L’oscil<strong>la</strong>teurOSC_2T_3B est composé <strong>de</strong>s mêmes étages que nous avons utilisés dans les oscil<strong>la</strong>teursprécéd<strong>en</strong>ts. Nous avons vu, dans le Chapitre 4, que l’optimisation <strong>de</strong> l’étage dép<strong>en</strong>d <strong>de</strong> <strong>la</strong>configuration, et que le point optimal <strong>de</strong> <strong>la</strong> configuration 2T/3B est différ<strong>en</strong>t <strong>de</strong>s autresconfigurations. Etant donné que les étages utilisés ne sont pas dim<strong>en</strong>sionnés <strong>de</strong> telle sorte àoptimiser les performances <strong>de</strong> <strong>la</strong> configuration 2T/3B, et que les cellules dynamiquesutilisées dans l’oscil<strong>la</strong>teur OSC_2T_3B_DYN sont dim<strong>en</strong>sionnées et optimiséesspécialem<strong>en</strong>t pour cette configuration, nous pouvons expliquer les résultats du Tableau 7-3. Les figures 7-10 et 7-11 prés<strong>en</strong>t<strong>en</strong>t l’allure du bruit <strong>de</strong> phase <strong>de</strong> ces oscil<strong>la</strong>teurs <strong>en</strong>tre1kHz et 30MHz <strong>de</strong> fréqu<strong>en</strong>ce d’offset.Tableau 7-3 : Les performances <strong>de</strong>s oscil<strong>la</strong>teurs OSC_2T_3B et OSC_2T_3B_DYNL’oscil<strong>la</strong>teurFréq.(GHz)Conso. (µA)Bruit <strong>de</strong> phase à 1MHz (dBc/Hz)FOM à 1 MHz (dB)OSC_2T_3B 3.5 833 -81 -152,69OSC_2T_3B_DYN 4,5 800 -81, 36 -155,93Figure 7-10 : Le bruit <strong>de</strong> phase dans l’oscil<strong>la</strong>teur OSC_2T_3BOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


TestChip : Validation sur Silicium <strong>en</strong> Technologie STCMOS065 133Figure 7-11 : Le bruit <strong>de</strong> phase dans l’oscil<strong>la</strong>teur OSC_2T_3B_DYN3.2.4. L’oscil<strong>la</strong>teur OSC_21_PROG_SET_RESTNous avons mesuré <strong>la</strong> fréqu<strong>en</strong>ce et le courant consommé pour toutes lesconfigurations possibles <strong>de</strong> l’oscil<strong>la</strong>teur OSC_21_PROG_SET_REST. Le tableau 7-4montre les résultats. Selon <strong>la</strong> configuration initiale <strong>de</strong>s signaux SET et RESET <strong>de</strong>s étagesp<strong>en</strong>dant <strong>la</strong> phase <strong>de</strong> configuration, dix fréqu<strong>en</strong>ces différ<strong>en</strong>tes al<strong>la</strong>nt <strong>de</strong> 273 MHz à 1700Mhz peuv<strong>en</strong>t être générées à <strong>la</strong> sortie <strong>de</strong> l’oscil<strong>la</strong>teur. Cet oscil<strong>la</strong>teur conti<strong>en</strong>t un bloc <strong>de</strong>multiplieurs qui peut sélectionner quatre sorties parmi les vingt et une sorties <strong>de</strong>l’oscil<strong>la</strong>teur, ce qui explique <strong>la</strong> consommation assez élevée <strong>en</strong> courant.Dans cet oscil<strong>la</strong>teur il existe <strong>de</strong>s configurations qui peuv<strong>en</strong>t générer <strong>de</strong>s sortiespolyphasées ; 20T/1B et 10T/11B par exemple qui peuv<strong>en</strong>t générer vingt et une phases.Dans le but <strong>de</strong> mesurer le déphasage <strong>en</strong>tre les sorties successives nous avons programmé lebloc <strong>de</strong> multiplexage pour sélectionner quarte sorties successives. Il est très difficile <strong>de</strong>mesurer le déphasage avec précision sur <strong>de</strong>s signaux à haute fréqu<strong>en</strong>ce avec les appareils <strong>de</strong>mesure qui exist<strong>en</strong>t. Pour cette raison, nous avons mesuré le déphasage <strong>de</strong> quatre phasessuccessives <strong>en</strong> utilisant <strong>la</strong> configuration 20T/1B. Les figures 7-12 et 9-13 montr<strong>en</strong>t lesrésultats <strong>de</strong> mesure. On remarque que les quatre phases successives sont déphasées <strong>de</strong> 17<strong>de</strong>grés. Ce qui correspond exactem<strong>en</strong>t au résultat att<strong>en</strong>du théoriquem<strong>en</strong>t(360°/21phases=17.14°).Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


134 Chapitre 7Tableau 7-4 : Les performances <strong>de</strong> l’oscil<strong>la</strong>teur OSC_21_PROG_SET_RESETLa configuration T/B La fréqu<strong>en</strong>ce (MHz)La consommation <strong>en</strong>courant (mA)20T/1B 273 1.218T/3B 690 2.6216T/5B 1090 4,0614T/7B 1480 5,2312T/9B 1700 5,9310T/11B 1680 5,908T/13B 1670 5,906T/15B 1360 4,884T/17B Rafale (456/1440) 3,852T/19B 505 2,01Figure 7-12 : Quatre phases <strong>de</strong> <strong>la</strong> configuration 20T/1B (1)Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


TestChip : Validation sur Silicium <strong>en</strong> Technologie STCMOS065 135Figure 7-13 : Quatre phases <strong>de</strong> <strong>la</strong> configuration 20T/1B (2)3.2.5. L’oscil<strong>la</strong>teur OSC_21_PROG_SERIENous avons mesuré <strong>la</strong> fréqu<strong>en</strong>ce et le courant consommé pour toutes lesconfigurations possibles <strong>de</strong> l’oscil<strong>la</strong>teur OSC_21_PROG_SERIE. Le tableau 7-5 montre lesrésultats. Comme nous l’avons vu dans le paragraphe précéd<strong>en</strong>t, cet oscil<strong>la</strong>teur est composéd’étages avec seulem<strong>en</strong>t <strong>de</strong>s signaux <strong>de</strong> remise à zéro RESET. L’initialisation se fait parl’injection <strong>de</strong>s jetons <strong>en</strong> série.Cet oscil<strong>la</strong>teur est c<strong>en</strong>sé être plus rapi<strong>de</strong> que le précéd<strong>en</strong>t, du fait qu’il conti<strong>en</strong>t <strong>de</strong>sétages plus petits (sans signal SET). Ce que nous pouvons vérifier on comparant lesconfigurations 2T/19B et 20T/1B <strong>de</strong>s oscil<strong>la</strong>teurs OSC_21_PROG_SERIE etOSC_21_PROG_RB_SET. A partir du Tableau 7-5, on remarque qu’on obti<strong>en</strong>t <strong>la</strong> mêmefréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion pour les configurations 14T/7B, 12T/9B, 10T/11B, 8T/13B et6T/15B. Ce résultat est dû au phénomène du « Bottl<strong>en</strong>eck » : La vitesse <strong>de</strong> l’anneau estlimitée par le dé<strong>la</strong>i <strong>de</strong> l'étage le plus l<strong>en</strong>t [GIL 09]. Dans cet oscil<strong>la</strong>teur les étages ne sontpas id<strong>en</strong>tiques. Le premier est le <strong>de</strong>rnier étage sont différ<strong>en</strong>ts <strong>de</strong>s autres étages (ilsconti<strong>en</strong>n<strong>en</strong>t <strong>de</strong>s multiplexeurs). La vitesse <strong>de</strong>s étages est limitée par le dé<strong>la</strong>i D ff du premierétage et le dé<strong>la</strong>i D rr du <strong>de</strong>rnier étage.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


136 Chapitre 7Tableau 7-5 : Les performances <strong>de</strong> l’oscil<strong>la</strong>teur OSC_21_PROG_SERIELa configuration T/B La fréqu<strong>en</strong>ce (MHz)La consommation <strong>en</strong>courant (µA)20T/1B 307 26018T/3B Rafale (1320/620) 55716T/5B 1260 85714T/7B 1510 99212T/9B 1510 98710T/11B 1510 9808T/13B 1510 9726T/15B 1510 9684T/17B Rafale (1070/1530) 8242T/19B 637 4703.2.6. L’oscil<strong>la</strong>teur OSC_21_INVLe tableau 7-6 prés<strong>en</strong>te les performances <strong>de</strong> l’oscil<strong>la</strong>teur OSC_21_INV. Cetoscil<strong>la</strong>teur basé sur <strong>de</strong>s cellules « inverseur » oscille à une fréqu<strong>en</strong>ce <strong>de</strong> 1.75Ghz avec uneconsommation <strong>de</strong> courant <strong>de</strong> 208 µA. La Figure 7-14 prés<strong>en</strong>te le bruit <strong>de</strong> phase mesuré.Tableau 7-6 : Les performances <strong>de</strong> l’oscil<strong>la</strong>teur OSC_21_INVL’oscil<strong>la</strong>teurFreq.(GHz)Conso. (mA)Bruit <strong>de</strong> phase(dBc/Hz)Facteur <strong>de</strong> mérite (dB)à 1MHz à 10 MHz à 1MHz à 10 MHzOSC_21_INV 1.75 208 -87.88 -111.69 -158.71 -162.52Les performances <strong>en</strong> bruit <strong>de</strong> phase <strong>de</strong> cet oscil<strong>la</strong>teur sont du même ordre <strong>de</strong>gran<strong>de</strong>ur que l’oscil<strong>la</strong>teur <strong>en</strong> anneau asynchrone prés<strong>en</strong>té précé<strong>de</strong>mm<strong>en</strong>t. En revanche,l’amélioration <strong>de</strong> ces performances n’est pas facile et nécessite un rég<strong>la</strong>ge minutieux. Dansles oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchrone nous pouvons améliorer le bruit <strong>de</strong> phase simplem<strong>en</strong>t<strong>en</strong> doub<strong>la</strong>nt le nombre d’étages, tout <strong>en</strong> gardant <strong>la</strong> même fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion.De plus, cet oscil<strong>la</strong>teur conti<strong>en</strong>t 21 cellules inverseurs pour fournir 21 sortiespolyphasées. On remarque que <strong>la</strong> fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion est <strong>de</strong> 1.75 GHz. Ce qui n’est pasOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


TestChip : Validation sur Silicium <strong>en</strong> Technologie STCMOS065 137le cas <strong>de</strong>s oscil<strong>la</strong>teurs <strong>en</strong> anneaux asynchrones où le nombre <strong>de</strong> sorties polyphasées estindép<strong>en</strong>dant du nombre d’étages.Figure 7-14 : Le bruit <strong>de</strong> phase dans l’oscil<strong>la</strong>teur OSC_21_INV4. ConclusionCe chapitre, qui conclut ce manuscrit, prés<strong>en</strong>te les résultats <strong>de</strong>s mesures réalisées surle circuit <strong>de</strong> test qui embarque plusieurs types d’anneaux asynchrones <strong>en</strong> technologieCMOS065 <strong>de</strong> STMicroelectronics. Ces validations expérim<strong>en</strong>tales confirm<strong>en</strong>t les avantages<strong>de</strong>s oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchrones. Nous avons vu qu’il est possible <strong>de</strong> générerdiffér<strong>en</strong>tes fréqu<strong>en</strong>ces d’oscil<strong>la</strong>tion par configuration du nombre <strong>de</strong> jetons et <strong>de</strong> bullesinjectés dans l’anneau p<strong>en</strong>dant <strong>la</strong> phase d’initialisation, par <strong>de</strong>ux métho<strong>de</strong>s <strong>de</strong>programmation : <strong>en</strong> utilisant <strong>de</strong>s portes <strong>de</strong> Muller avec <strong>de</strong>s signaux <strong>de</strong> SET/RESET ou parinjection <strong>de</strong> jetons <strong>en</strong> série. Nous avons pu vérifier que les performances <strong>en</strong> bruit <strong>de</strong> phases’amélior<strong>en</strong>t <strong>de</strong> 3dB <strong>en</strong> doub<strong>la</strong>nt le nombre d’étages tout <strong>en</strong> conservant <strong>la</strong> même fréqu<strong>en</strong>ced’oscil<strong>la</strong>tion. Nous avons montré égalem<strong>en</strong>t qu’on peut avoir <strong>de</strong>s sorties polyphaséeséquidistantes indép<strong>en</strong>dantes <strong>de</strong>s dé<strong>la</strong>is <strong>de</strong> propagation dans <strong>la</strong> porte <strong>de</strong> Muller. Les résultats<strong>de</strong> ce chapitre montr<strong>en</strong>t que les oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchrones, très peu connus dans leOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


138 Chapitre 7mon<strong>de</strong> <strong>de</strong> l’industrie, sont une alternative très sérieuse aux oscil<strong>la</strong>teurs <strong>en</strong> anneau c<strong>la</strong>ssiquesà base d’inverseurs.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Conclusion 139ConclusionLes travaux <strong>de</strong> thèse prés<strong>en</strong>tés dans ce manuscrit se sont focalisés sur les avantageset les pot<strong>en</strong>tiels offerts les oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchrones. Cette conclusion synthétiseles élém<strong>en</strong>ts clés et les principales contributions <strong>de</strong> cette thèse.Dans <strong>la</strong> première partie <strong>de</strong> ce manuscrit, nous avons montré l’adéquation <strong>en</strong>tre lessystèmes <strong>de</strong> radiocommunication et <strong>la</strong> conception asynchrone. Dans le premier chapitre,nous avons effectué un tour d’horizon <strong>de</strong>s architectures d’oscil<strong>la</strong>teurs les plus fréquemm<strong>en</strong>tutilisés <strong>en</strong> microélectronique. Aujourd’hui, on cherche à concevoir <strong>de</strong>s oscil<strong>la</strong>teurs qui sonttrès stables <strong>en</strong> fréqu<strong>en</strong>ce, qui consomm<strong>en</strong>t peu et qui ont une surface très faible. Toutes cescaractéristiques sont très difficiles à obt<strong>en</strong>ir avec un même circuit. Les oscil<strong>la</strong>teurspermettant d’obt<strong>en</strong>ir un bruit <strong>de</strong> phase répondant aux exig<strong>en</strong>ces <strong>de</strong>s standards <strong>de</strong>radiocommunication utilis<strong>en</strong>t un résonateur LC. Cep<strong>en</strong>dant, l’utilisation d’oscil<strong>la</strong>teurs LCprés<strong>en</strong>te beaucoup d’inconvéni<strong>en</strong>ts : principalem<strong>en</strong>t un coût <strong>en</strong> surface élevé, uneconsommation importante et une s<strong>en</strong>sibilité aux rayonnem<strong>en</strong>ts électromagnétiques. Al’opposé, les oscil<strong>la</strong>teurs <strong>en</strong> anneaux c<strong>la</strong>ssiques prés<strong>en</strong>t<strong>en</strong>t l’avantage d’avoir une surface<strong>de</strong> silicium très faible et consomm<strong>en</strong>t beaucoup moins que les oscil<strong>la</strong>teurs LC. Cep<strong>en</strong>dantleurs performances <strong>en</strong> bruit <strong>de</strong> phase sont très inférieures aux exig<strong>en</strong>ces <strong>de</strong>s standards <strong>de</strong>communications sans fil.La technologie asynchrone semble être une alternative à l’approche synchrone <strong>en</strong>général et dans le domaine <strong>de</strong>s radiocommunications <strong>en</strong> particulier. Dans le chapitre 2,nous avons prés<strong>en</strong>té les concepts <strong>de</strong> base, les propriétés et les avantages <strong>de</strong> <strong>la</strong> technologieasynchrone ainsi que sa bonne adéquation <strong>en</strong>tre les propriétés <strong>de</strong> cette technologie et lesbesoins <strong>en</strong> radiocommunication.Dans ce chapitre 3, nous avons détaillé l’architecture <strong>de</strong>s oscil<strong>la</strong>teurs <strong>en</strong> anneauasynchrones et leurs principes <strong>de</strong> fonctionnem<strong>en</strong>t. Nous avons prés<strong>en</strong>té un modèle quiOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


140 Conclusionintègre les effets <strong>de</strong> Charlie et <strong>de</strong> drafting qui sont <strong>de</strong>s effets analogiques qui caractéris<strong>en</strong>tles portes <strong>de</strong> Muller. Une métho<strong>de</strong> analytique pour calculer <strong>la</strong> fréqu<strong>en</strong>ce <strong>de</strong>s oscil<strong>la</strong>teursasynchrones est égalem<strong>en</strong>t proposée. Cette métho<strong>de</strong> s’est avérée très efficace et très précise.Elle nous permet <strong>de</strong> calculer <strong>la</strong> fréqu<strong>en</strong>ce <strong>en</strong> fonction <strong>de</strong>s paramètres temporels <strong>de</strong> <strong>la</strong> porte<strong>de</strong> Muller et du nombre <strong>de</strong> jetons et <strong>de</strong> bulles qui circul<strong>en</strong>t dans l’anneau. L’importancere<strong>la</strong>tive <strong>de</strong>s effets <strong>de</strong> Charlie et <strong>de</strong> drafting est discutée. L’inclusion <strong>de</strong> ces <strong>de</strong>ux paramètrespermet d'avoir <strong>de</strong>s simu<strong>la</strong>tions numériques précises qui nous font gagner beaucoup <strong>de</strong>temps comparativem<strong>en</strong>t aux simu<strong>la</strong>tions analogiques. En outre, nous avons id<strong>en</strong>tifié lespoints <strong>de</strong> fonctionnem<strong>en</strong>t qui prés<strong>en</strong>t<strong>en</strong>t <strong>de</strong>s caractéristiques limitant les variations <strong>de</strong> <strong>la</strong>pério<strong>de</strong> d'oscil<strong>la</strong>tion et augm<strong>en</strong>tant <strong>la</strong> robustesse <strong>de</strong> l’oscil<strong>la</strong>teur face aux variations <strong>de</strong>sprocédés <strong>de</strong> fabrication. Finalem<strong>en</strong>t, <strong>la</strong> configurabilité <strong>de</strong> ces oscil<strong>la</strong>teurs est démontrée.Avec un même oscil<strong>la</strong>teur, il est possible d’obt<strong>en</strong>ir plusieurs fréqu<strong>en</strong>ces d’oscil<strong>la</strong>tion. Enfin,il est important <strong>de</strong> noter que <strong>la</strong> fréqu<strong>en</strong>ce d’oscil<strong>la</strong>tion ne dép<strong>en</strong>d pas seulem<strong>en</strong>t du nombred’étages <strong>de</strong> l’anneau mais surtout <strong>de</strong> sa configuration jetons/bulles initiale.L’élém<strong>en</strong>t <strong>de</strong> base <strong>de</strong>s oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchrones étant <strong>la</strong> porte <strong>de</strong> Muller,le chapitre 4 s’est donc focalisé sur une étu<strong>de</strong> comparative <strong>de</strong>s différ<strong>en</strong>tes implém<strong>en</strong>tations<strong>de</strong> <strong>la</strong> porte <strong>de</strong> Muller <strong>en</strong> termes <strong>de</strong> vitesse, <strong>de</strong> consommation, <strong>de</strong> puissance et <strong>de</strong> bruit <strong>de</strong>phase. Nous avons utilisé dans un premier temps <strong>la</strong> métho<strong>de</strong> <strong>de</strong> l’effort logique afin <strong>de</strong>déterminer les règles <strong>de</strong> dim<strong>en</strong>sionnem<strong>en</strong>t <strong>de</strong>s étages. Nous avons <strong>en</strong>suite effectué <strong>de</strong>ssimu<strong>la</strong>tions électriques pour confirmer ces résultats théoriques. Ce<strong>la</strong> nous permet <strong>de</strong>conclure que <strong>la</strong> métho<strong>de</strong> <strong>de</strong> l’effort logique est un bon point <strong>de</strong> départ pour comm<strong>en</strong>cer <strong>la</strong>conception, mais qu’elle n’est pas suffisante dans le cas <strong>de</strong>s oscil<strong>la</strong>teurs <strong>en</strong> anneauasynchrones. Les règles <strong>de</strong> dim<strong>en</strong>sionnem<strong>en</strong>t dép<strong>en</strong>dant aussi <strong>de</strong> <strong>la</strong> configuration initiale<strong>de</strong>s oscil<strong>la</strong>teurs (<strong>en</strong> termes <strong>de</strong> jetons et <strong>de</strong> bulles), <strong>de</strong>s simu<strong>la</strong>tions électriques sontnécessaires pour optimiser les performances.Les caractéristiques <strong>de</strong> programmation <strong>de</strong> <strong>la</strong> fréqu<strong>en</strong>ce, <strong>de</strong> robustesse, <strong>de</strong> stabilité <strong>en</strong>fréqu<strong>en</strong>ce <strong>de</strong> ces oscil<strong>la</strong>teurs sembl<strong>en</strong>t très appropriées pour limiter le bruit <strong>de</strong> phase et doncpour constituer <strong>de</strong>s circuits générateur d’horloges haute fréqu<strong>en</strong>ce. Sa configurabiliténaturelle <strong>en</strong> fera aussi un bon candidat pour les applications <strong>de</strong> type DFVS.Dans le chapitre 5, nous avons prés<strong>en</strong>té une nouvelle topologie d’oscil<strong>la</strong>teurs baséesur <strong>de</strong>s anneaux asynchrones pour <strong>la</strong> génération <strong>de</strong> signaux polyphasés utilisables dans d<strong>en</strong>ombreuses applications. La résolution temporelle <strong>de</strong>s oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchronesn’est pas limitée au dé<strong>la</strong>i <strong>de</strong> l’étage. Nous avons montré comm<strong>en</strong>t ces oscil<strong>la</strong>teurs peuv<strong>en</strong>tproduire <strong>de</strong>s oscil<strong>la</strong>tions à haute fréqu<strong>en</strong>ce avec un nombre important <strong>de</strong> sortiespolyphasées. Une comparaison avec un oscil<strong>la</strong>teur <strong>en</strong> anneau à base d’inverseurs ainsiOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Conclusion 141qu’avec <strong>de</strong>s topologies permettant d’améliorer <strong>la</strong> fréqu<strong>en</strong>ce maximale d’oscil<strong>la</strong>tion montred’une manière très c<strong>la</strong>ire l’avantage <strong>de</strong>s oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchrones quand unnombre important <strong>de</strong> phases est nécessaire. Nous avons vu que les oscil<strong>la</strong>teurs <strong>en</strong> anneauasynchrones peuv<strong>en</strong>t être aussi utilisés pour générer <strong>de</strong>s signaux <strong>en</strong> quadrature <strong>de</strong> phase quisont systématiquem<strong>en</strong>t utilisé dans les émetteurs et les récepteurs radiofréqu<strong>en</strong>ces. Unecomparaison avec d’autres travaux montre l’efficacité <strong>de</strong> notre métho<strong>de</strong>. Nous avonsmontré aussi dans ce chapitre comm<strong>en</strong>t on peut réduire le bruit <strong>de</strong> phase dans lesoscil<strong>la</strong>teurs <strong>en</strong> anneau asynchrones avec une technique très simple utilisant <strong>la</strong> duplication dunombre <strong>de</strong>s étages. Cette caractéristique offre à ce type d’oscil<strong>la</strong>teur un <strong>de</strong>gré <strong>de</strong> libertésupplém<strong>en</strong>taire lors <strong>de</strong> <strong>la</strong> conception d’oscil<strong>la</strong>teurs à faible bruit <strong>de</strong> phase. De plus, cesoscil<strong>la</strong>teurs sont configurables ce qui peut être utilisé dans un but d’ext<strong>en</strong>sion du domaine<strong>de</strong> fréqu<strong>en</strong>ce exploitable, caractéristique très recherché pour <strong>la</strong> conception d’oscil<strong>la</strong>teurscontrôlés <strong>en</strong> t<strong>en</strong>sion. Enfin, nous avons conclu ce chapitre par une proposition <strong>de</strong> flot <strong>de</strong>conception pour les oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchrones.Dans le chapitre 6, <strong>la</strong> configurabilité naturelle <strong>de</strong>s oscil<strong>la</strong>teurs <strong>en</strong> anneauasynchrones a permis <strong>de</strong> concevoir un oscil<strong>la</strong>teur programmable et arrêtable cib<strong>la</strong>nt <strong>de</strong>sapplications qui ont besoin <strong>de</strong> fréqu<strong>en</strong>ces compris <strong>en</strong>tre 3 GHz à 400 MHz. L’oscil<strong>la</strong>teurasynchrone est choisi comme noyau <strong>de</strong> cet oscil<strong>la</strong>teur <strong>en</strong> raison <strong>de</strong> ses nombreux avantagesdont <strong>la</strong> configurabilité, <strong>la</strong> précision, et <strong>la</strong> robustesse aux variabilités <strong>de</strong>s procédés <strong>de</strong>fabrication. Trois stratégies différ<strong>en</strong>tes <strong>de</strong> programmation <strong>de</strong> l’oscil<strong>la</strong>teur asynchrone,reposant sur <strong>de</strong>s solutions architecturales simples,sont prés<strong>en</strong>tées. Ces stratégiesdémontr<strong>en</strong>t une agilité et efficacité lors du changem<strong>en</strong>t <strong>de</strong> fréqu<strong>en</strong>ce <strong>de</strong> l’oscil<strong>la</strong>teur <strong>en</strong>anneau asynchrone. Cet oscil<strong>la</strong>teur programmable et arrêtable a été conçu et implém<strong>en</strong>té.Un protocole <strong>de</strong> communication efficace <strong>en</strong>tre processeur et oscil<strong>la</strong>teur est utilisé pourassurer une commutation fonctionnellem<strong>en</strong>t correcte <strong>en</strong>tre <strong>de</strong>ux fréqu<strong>en</strong>ces. Lesarchitectures proposées ont été implém<strong>en</strong>tées <strong>en</strong> utilisant <strong>la</strong> technologie CMOS 45nm <strong>de</strong>STMicroelectronics. Pour chaque stratégie, nous avons évalué <strong>la</strong> vitesse, <strong>la</strong> consommationet <strong>la</strong> s<strong>en</strong>sibilité aux variations <strong>de</strong>s procédés <strong>de</strong> fabrication. L’implém<strong>en</strong>tation prés<strong>en</strong>te une<strong>la</strong>rge p<strong>la</strong>ge <strong>de</strong> fréqu<strong>en</strong>ces avec <strong>de</strong>s pas <strong>de</strong> fréqu<strong>en</strong>ce réguliers. Ce travail montre comm<strong>en</strong>tles oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchrones peuv<strong>en</strong>t être efficacem<strong>en</strong>t utilisés pourl’implém<strong>en</strong>tation d’oscil<strong>la</strong>teurs programmables et arrêtables. Le circuit implém<strong>en</strong>té donne<strong>de</strong>s résultats très satisfaisants <strong>en</strong> termes <strong>de</strong> vitesse, <strong>de</strong> puissance consommée, <strong>de</strong> variabilité,<strong>de</strong> régu<strong>la</strong>rité du pas <strong>de</strong> fréqu<strong>en</strong>ce et <strong>de</strong> <strong>la</strong> <strong>la</strong>rgeur <strong>de</strong> <strong>la</strong> ban<strong>de</strong> <strong>de</strong> fréqu<strong>en</strong>ce. A notreconnaissance, ce circuit est <strong>la</strong> première implém<strong>en</strong>tation réalisée d'un oscil<strong>la</strong>teurprogrammable basé sur un anneau asynchrone.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


142 ConclusionLe chapitre 7 conclut le manuscrit et prés<strong>en</strong>te les résultats <strong>de</strong>s mesures réalisées surun circuit <strong>de</strong> test qui embarque plusieurs types d’anneaux asynchrones <strong>en</strong> technologieCMOS065 <strong>de</strong> STMicroelectronics. Ces validations expérim<strong>en</strong>tales confirm<strong>en</strong>t les avantages<strong>de</strong>s oscil<strong>la</strong>teurs <strong>en</strong> anneau asynchrones. Nous avons vu qu’il est possible <strong>de</strong> générerdiffér<strong>en</strong>tes fréqu<strong>en</strong>ces d’oscil<strong>la</strong>tion par configuration du nombre <strong>de</strong> jetons et <strong>de</strong> bullesinjectés dans l’anneau p<strong>en</strong>dant <strong>la</strong> phase d’initialisation, par <strong>de</strong>ux métho<strong>de</strong>s <strong>de</strong>programmation : <strong>en</strong> utilisant <strong>de</strong>s portes <strong>de</strong> Muller avec <strong>de</strong>s signaux <strong>de</strong> SET/RESET ou parinjection <strong>de</strong> jetons <strong>en</strong> série. Nous avons pu vérifier que les performances <strong>en</strong> bruit <strong>de</strong> phases’amélior<strong>en</strong>t <strong>de</strong> 3dB <strong>en</strong> doub<strong>la</strong>nt le nombre d’étages tout <strong>en</strong> conservant <strong>la</strong> même fréqu<strong>en</strong>ced’oscil<strong>la</strong>tion, ce qui est conforme à <strong>la</strong> théorie. Enfin, nous avons montré qu’il est possible<strong>de</strong> concevoir <strong>de</strong>s oscil<strong>la</strong>teurs avec <strong>de</strong>s sorties polyphasées équidistantes indép<strong>en</strong>dantes <strong>de</strong>sdé<strong>la</strong>is <strong>de</strong> propagation dans les portes.Au final, les résultats <strong>de</strong> cette thèse montr<strong>en</strong>t que les oscil<strong>la</strong>teurs <strong>en</strong> anneauasynchrones, très peu connus dans le mon<strong>de</strong> <strong>de</strong> l’industrie, sont une alternative très sérieuseaux oscil<strong>la</strong>teurs <strong>en</strong> anneau c<strong>la</strong>ssiques à base d’inverseurs. Cette thèse a été réalisée dans lecadre d’une conv<strong>en</strong>tion CIFRE, <strong>en</strong>cadrée par <strong>la</strong> société ST-ERICSSON à Gr<strong>en</strong>oble et le<strong>la</strong>boratoire <strong>de</strong> recherche <strong>TIMA</strong> <strong>de</strong> Gr<strong>en</strong>oble. Cette col<strong>la</strong>boration a permis le transfert <strong>de</strong>connaissances sur <strong>la</strong> conception asynchrone qui est l’un <strong>de</strong>s domaines d’expertise du groupeCIS du <strong>la</strong>boratoire <strong>TIMA</strong> vers l’industrie, et notamm<strong>en</strong>t à <strong>la</strong> RF BU <strong>de</strong> ST-ERICSSON.Cette thèse a donc permis l’introduction d’un nouveau type d’oscil<strong>la</strong>teur dans l’industrie !Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Référ<strong>en</strong>ces 143Référ<strong>en</strong>ces[BER 94] K. v. Berkel, R. Burgess, J. Kessels, A. Peeters, M. Ronck<strong>en</strong>, and F.Schalij, “Afully-asynchronous low-power error corrector for the DCC p<strong>la</strong>yer,” IEEE J.Solid-State Circuits, vol. 29, pp. 1429–1439, Dec. 1994.[BOY 06][BUI 06][BUN 01][CHA 95][COR 04][EKE 04][EBE 98][ELI 09][ELI 10a]F.R. Boyer, H.G. Epassa and Y. Savaria, “Embed<strong>de</strong>d power-aware cycle bycycle variable speed processor”, Computers and Digital Techniques, IEEProceedings -3 July 2006, Vol. 153, Issue 4, pp. 283 – 290.H. T. Bui, Y. Savaria, “High speed differ<strong>en</strong>tial pulse-width control loop basedon frequ<strong>en</strong>cy-to-voltage converters”, Proceedings of the 16th ACM GreatLakes symposium on VLSI, May 2006, pp. 53-56.R. L. Bunch, « A Fully Monolithic 2.5GHz LC Voltage Controlled Oscil<strong>la</strong>torin 0.35mm CMOS Technology », Master of Sci<strong>en</strong>ce in Electrical Engineering,Virginia Polytechnic Institute and State University, pp 1-7 & 53-72, April2001.A. P. Chandrakasan and R. W. Bro<strong>de</strong>rs<strong>en</strong>. “Minimizing power consumption indigital CMOS circuits”, IEEE, April 1995, Vol. 83, pp. 498 - 523.D. Cor<strong>de</strong>au ; « Etu<strong>de</strong> comportem<strong>en</strong>tale et conceptions d’oscil<strong>la</strong>teurs intégréspolyphases accordables <strong>en</strong> fréqu<strong>en</strong>ce <strong>en</strong> technologie Si et SiGe pour lesradiocommunications », Thèse <strong>de</strong> doctorat, Université <strong>de</strong> Poitiers, 2004Y. a. Ek<strong>en</strong> and J. P. Uyemura, “A 5.9-GHz voltage-controlled ring oscil<strong>la</strong>tor in0.18-µm CMOS,” IEEE J. Solid-States Circuits, vol. 39, no. 1, pp. 230–233,Jan. 2004.Eberg<strong>en</strong> J., Fairbanks S., and Suther<strong>la</strong>nd I.: ‘Predicting performance ofmicropipelines using Charlie diagrams’, in Proc. International Symposium onAdvanced Research in Asynchronous Circuits and Systems, ASYNC98, pp.238–246, 1998.O. Elissati, E. Yahya, L. Fesquet, S. Rieubon, “Oscil<strong>la</strong>tion Period and PowerConsumption in Configurable Self-Timed Ring Oscil<strong>la</strong>tors” IEEE NEWCAS-TIASA’2009, June 28th to July 1st, 2009, Toulouse, France.O. Elissati, E. Yahya, S. Rieubon, L. Fesquet “Optimizing and ComparingCMOS Implem<strong>en</strong>tations of the C-elem<strong>en</strong>t in 65nm technology: Self-TimedOussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


144 Référ<strong>en</strong>ces[ELI 10b][ELI 10c][FLA 04][MUL 07][COR 04]Ring Case“, PATMOS’2010, September 7-10, 2010, Gr<strong>en</strong>oble, France. pp137-149O. Elissati, E. Yahya, S. Rieubon, L. Fesquet “High-Speed High-ResolutionLow-Phase Noise Oscil<strong>la</strong>tor using Self-Timed Rings ”, IEEE/IFIP VLSI-SOC’2010, September 27-29, 2010, Madrid, Spain.O. Elissati, E. Yahya, S. Rieubon, L. Fesquet, ‘’A novel High-Speed MultiphaseOscil<strong>la</strong>tor Using Self-Timed Rings’’ IEEE International Confer<strong>en</strong>ce onMicroelectronics, IEEE ICM’2010, December 19-22, 2010, Cairo, Egypte. [4]K. F<strong>la</strong>utner, D. Flynn, D. Roberts, D. Patel, “An Energy Effici<strong>en</strong>t SoC withDynamic Voltage Scaling”, DATE, IEEE, February 2004, Vol. 3, pp. 324 -327.R. Mullins and S. Moore, “Demystifying Data-Driv<strong>en</strong> and Pausible ClockingSchemes”, ASYNC’07, Berkeley, California, USA, IEEE, March 2007, pp.175–185 .D. Cor<strong>de</strong>au ; « Etu<strong>de</strong> comportem<strong>en</strong>tale et conceptions d’oscil<strong>la</strong>teurs intégréspolyphases accordables <strong>en</strong> fréqu<strong>en</strong>ce <strong>en</strong> technologie Si et SiGe pour lesradiocommunications », Thèse <strong>de</strong> doctorat, Université <strong>de</strong> Poitiers, 2004[CLA 67] W. A. C<strong>la</strong>rk. Macromodu<strong>la</strong>r computer systems. In AFIPS ’67 (Spring) :Proceedings of the April 18-20, 1967, spring joint computer confer<strong>en</strong>ce, pages335–336, New York, NY, USA, 1967. ACM.[DAV 78]A. L. Davis. The architecture and system method of ddm1: A recursivelystructured data driv<strong>en</strong> machine. In ISCA ’78: Proceedings of the 5th annualsymposium on Computer architecture, pages 210–215, New York, NY, USA,1978. ACM.[DUF 08] Roger Duffait, Expéri<strong>en</strong>ces <strong>de</strong> physique CAPES <strong>de</strong> sci<strong>en</strong>ces physiques, 2008Éditeur : Breal, ISBN : 978-2-7495-0838-2, pp 166.[FAI 04][FOU 00][GAG 89][GAS 90][GIL 09]Fairbanks S. and Moore S.: ‘Analog micropipeline rings for high precisiontiming’, ASYNC’04, CRETE, Greece, IEEE, pp. 41–50, 2004.Emeric <strong>de</strong> Foucauld, "Conception et réalisation d’oscil<strong>la</strong>teurs accordables <strong>en</strong>fréqu<strong>en</strong>ce <strong>en</strong> technologie SiGe pour les radio-téléphones", Thèse <strong>de</strong> Doctorat<strong>de</strong> l’Université <strong>de</strong> Limoges, N° ordre : 2-2000.VAN GAGELDONK H., VAN BERKEL K., PEETERS A., BAUMANN D.,GLOOR D., STEGMANN G., « An asynchronous low-power 80C51microcontroller », Proc. of the Int. Symp. on Advanced Research in Async.Circuits and Systems, 1998, IEEE, p. 96-107.J. Gasbarro, and M. Horowitz, “A Single-Chip, Functional Tester for VLSICircuits,” ISSCC 1990 Dig. Tech. Papers, pp. 84-85, Feb. 1990.G. GILL, M. Singh “Bottl<strong>en</strong>eck Analysis and Alleviation in PipelinedSystems: A Fast Hierarchical Approach”. ASYNC 2009, Chapel Hil, 2009, pp.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Référ<strong>en</strong>ces 145[GRO 03][HAJ 98][HAJ 99][HAM 08][HAM 09][HOU 06][HUF 54][IEE 90][JEO 99][LAG 05]M. Grozing, B. Philip, and M. Berroth, “CMOS ring oscil<strong>la</strong>tor with quadratureoutputs and 100 MHz to 3.5 GHz tuning range,” in Proc. 29th Eur. Solid-StateCircuits Conf. (ESSCIRC), Sep. 2003, pp. 697–682.Hajimiri and T. H. Lee, “A g<strong>en</strong>eral theory of phase noise in electricaloscil<strong>la</strong>tors,” IEEE J. Solid-State Circuits, vol. 33, pp. 179–194, Feb.1998.Ali Hajimiri, Sotirios Limotyakis and Thoma H.Lee, “Jitter and Phase Noise inRing Oscil<strong>la</strong>tors” IEEE J. Solid-State Circuits, vol. 34,NO 6, June1999.Hamon J., Fesquet L., Miscopein B. and R<strong>en</strong>audin M.: ‘High-Level Time-Accurate Mo<strong>de</strong>l for the Design of Self-Timed Ring Oscil<strong>la</strong>tors’, ASYNC’08,Newcastle, UK, IEEE, pp. 29 – 38, 2008.J. Hamon ‘<strong>Oscil<strong>la</strong>teurs</strong> et architectures asynchrones pour le traitem<strong>en</strong>t <strong>de</strong>ssignaux radio impulsionnelle UWB’, Thèse <strong>de</strong> doctorat <strong>de</strong> Gr<strong>en</strong>oble-INP,Octobre 2008, ISBN : 978-2-84813-138-2.Marc Hou<strong>de</strong>bine, "Contribution pour l’amélioration <strong>de</strong> <strong>la</strong> robustesse et du bruit<strong>de</strong> phase <strong>de</strong>s synthétiseurs <strong>de</strong> fréqu<strong>en</strong>ces”. Thèse <strong>de</strong> Doctorat <strong>de</strong> l’INPGr<strong>en</strong>oble, 2006D.A. Huffman, , The Synthesis of Sequ<strong>en</strong>tial Switching Circuits J. Journal ofthe Franklin Institute, Vol. 257 J. No. 3 and 4, (March and. April1954) pp. 161-190 and 275-303IEEE Standard for Reduced-Pin and Enhanced-Functionality Test Access Portand Boundary-Scan ArchitectureD.-Y. Jeong, S.-H. Chai, W.-C. Song, and G.-H. Cho, “CMOS curr<strong>en</strong>tcontrolledoscil<strong>la</strong>tors using multiple-feedback loop architectures”, IEEEISSCC 1997, Anag Tech, pp 491-493, Feb. 1997;Thierry LAGUTERE, "Conceptions et modélisations d’oscil<strong>la</strong>teurs et <strong>de</strong> leursboucles à verrouil<strong>la</strong>ge <strong>de</strong> phase associées pour <strong>de</strong>s applications <strong>de</strong>radiocommunications mobiles professionnelles", Université <strong>de</strong> Poitiers, 2005[LEE 98] T. H. Lee ; « The Design of CMOS Radio-Frequ<strong>en</strong>cy Integrated Circuits »,Cambridge University Press, pp 243-256, 1998[LEE 66][LEE 00][LEE 97][MAN 94]D. B. Leeson, “A simple mo<strong>de</strong>l of feedback oscil<strong>la</strong>tor noise spectrum” in Proc.IEEE, vol. 54, Feb. 1966, pp. 329–330.Thomas H. Lee and Ali Hajimiri, "Oscil<strong>la</strong>tor Phase Noise: A Tutorial", IEEEJournal of Solid-State Circuits, vol. 35, No. 3, pp. 326-336, March 2000.S.-J. Lee, B. Kim, and K. Lee, “A novel high-speed ring oscil<strong>la</strong>tor formultiphase clock g<strong>en</strong>eration using negative skewed-<strong>de</strong><strong>la</strong>y scheme,” IEEE J.Solid-State Circuits, pp. 289-292, Feb. 1997.Maneatis, J.G. and Horowitz, M.A., “Precise <strong>de</strong><strong>la</strong>y g<strong>en</strong>eration using coupledoscil<strong>la</strong>tors”, IEEE Journal of Solid-State Circuits, Volume: 28, Issue:12, 1994pp1273-1282.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


146 Référ<strong>en</strong>ces[MAR 86][MAR 89]A. J. Martin, compiling communicating processes into <strong>de</strong><strong>la</strong>y-ins<strong>en</strong>sitive VLSIcircuits. Distributed Computing, 1(4): 226-234, 1986.A. J. Martin, “Formal progress transformations for VLSI circuit synthesis,” inFormal Developm<strong>en</strong>t of Programs and Proofs E. W. Dijkstra, Ed. Reading,MA: Addison-Wesley, 1989, pp. 59–80.[MIR 04] Juli<strong>en</strong> MIRA, “Conception d’oscil<strong>la</strong>teurs contrôlés <strong>en</strong> t<strong>en</strong>sion dans <strong>la</strong> gamme 2GHz - 10 GHz, intégrés sur silicium et analyse <strong>de</strong>s mécanismes à l’origine dubruit <strong>de</strong> phase”, Thèse <strong>de</strong> Doctorat <strong>de</strong> l’Université Bor<strong>de</strong>aux 1, N° d'ordre :2897.[MUL 59][MUL 65]D.E. Muller and W.S. Bartky, "A Theory of Asynchronous Circuits," Proc.Int'l Symp. Theory of Switching, Part 1, Harvard Univ. Press, 1959, pp. 204–243R.E. Muller, "Sequ<strong>en</strong>tial circuits", Chapter 10, Switching theory, Vol 2, N.Y.Wiley, 1965[NIZ 09] Pokharel, R.K.; Nizhnik, O.; Tomar, A.; Linga<strong>la</strong>, S.; Kanaya,H.; Yoshida, K.; “Low Noise Wi<strong>de</strong> Tuning Range Quadrature RingOscil<strong>la</strong>tor for Multi-Standard Transceiver” Microwave Integrated CircuitsConfer<strong>en</strong>ce, 2009. EuMIC 2009. European, 28-29 Sept. 2009 pp. 172 – 175[NGU 10][NOW 02][PIG 98][RAZ 96]M. H. Nguy<strong>en</strong> ; C. K. Pham ‘‘A wi<strong>de</strong> frequ<strong>en</strong>cy range and adjustable dutycycle CMOS ring voltage controlled oscil<strong>la</strong>tor’’ Third InternationalConfer<strong>en</strong>ce on Communications and Electronics (ICCE), 2010,11-13 Aug.2010, pp : 107-109K. Nowka et al., “A 0.9V to 1.95V dynamic voltage-sca<strong>la</strong>ble and frequ<strong>en</strong>cysca<strong>la</strong>ble32b PowerPC processor” ISSCC Dig, Tech. Papers, February 2002,pp. 340 - 341.Piguet, C., Zhand, J.: Electrical Design of Dynamic and Static SpeedIn<strong>de</strong>p<strong>en</strong>d<strong>en</strong>t CMOS Circuits from Signal Transistion Graphs. In: PATMOS1998, pp. 357–366 (1998)B. Razavi, A Study of Phase Noise in CMOS Oscil<strong>la</strong>tors, IEEE Journal ofSolid-State Circuits, Vol. 31, No. 3, March, 1996[RED 95] Siegmund M. Redl, Matthias K. Weber, Malcolm W. Oliphant (March 1995):"An Introduction to GSM", Artech House, ISBN 978-0890067857[REN 00][REN 98]M. R<strong>en</strong>audin. avec <strong>la</strong> participation <strong>de</strong> J.B. Rigaud. Etat <strong>de</strong> l’art sur <strong>la</strong>conception <strong>de</strong>s circuits asynchrones: perspectives pour l’intégration <strong>de</strong>ssystèmes complexes. Technical report, <strong>TIMA</strong> Laboratory, Janvier 2000.M. R<strong>en</strong>audin, P. Vivet, F. Robin, "ASPRO-216 : a standard-cell Q.D.I. 16-bitRISC asynchronous microprocessor", Proc. of the Fourth InternationalSymposium on Advanced Research in Asynchronous Circuits and Systems,1998, IEEE, p. 22-31.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Référ<strong>en</strong>ces 147[REZ 04][SEI 70][SHA 97][SHA 98][STO 03][SUG 97][SUN 99][SUN 01][SUT 89][SUT 99][UEM 04][VIT 88][WIN01]A. Rezzag, ‘‘synthèse logique <strong>de</strong> Circuits <strong>Asynchrones</strong> Micropipeline’’, thèse<strong>de</strong> doctorat, INPG, 2004.C. L. Seitz. Asynchronous machines exhibiting concurr<strong>en</strong>cy. ACM, New York,NY, USA, 1970.M. Shams, J. C. Eberg<strong>en</strong>, and M. I. Elmasry, “Optimizing CMOSimplem<strong>en</strong>tations of C-elem<strong>en</strong>t,” in Proc. Int. Conf. Comput. Design (ICCD),Oct. 1997, pp. 700–705M. Shams, J. C. Eberg<strong>en</strong>, M. I. Elmasry, “Mo<strong>de</strong>ling, Optimization, andComparison of Single-Rail CMOS Implem<strong>en</strong>tations of the C-Elem<strong>en</strong>t”, toappear in IEEE Trans. on VLSI Systems, 1998.M. Stork, “Digital building block for frequ<strong>en</strong>cy synthesizer and fractionalphase locked loops”, SympoTIC, IEEE, October 2003, pp. 126 - 129.Y. Sugimoto and T. U<strong>en</strong>o, “The <strong>de</strong>sign of a 1 V, 1 GHz CMOS VCO circuitwith in-phase and quadrature-phase outputs,” in Proc. Int. Symp. Circuits andSystems, vol. 1, Hong Kong, 1997, pp. 269–272.L. Sun, T. Kwasniewski, and K. Iniewski, “A quadrature output voltagecontrolled ring oscil<strong>la</strong>tor based on three-stage subfeedback loops,” in Proc. Int.Symp. Circuits and Systems, vol. 2, Or<strong>la</strong>ndo, FL, 1999, pp. 176–179.L. Sun, et al., “A 1.25-GHz 0.35-µm Monolithic CMOS PLL Based on aMultiphase Ring Oscil<strong>la</strong>tor,” IEEE J. Solid-State Circuits, pp. 910-916, June,2001.I. E. Suther<strong>la</strong>nd, “Micropipelines,” Commun. ACM, vol. 32, pp.720–738, June1989.I. Suther<strong>la</strong>nd, B. Sproull, and D. Harris, Logical Effort: Designing Fast CMOSCircuits. San Fransisco, CA: Morgan Kaufmann, 1999.J. P. Uemura and Y. A. Ek<strong>en</strong>, “The <strong>de</strong>sign of a 14 GHz I/Q ring oscil<strong>la</strong>tor in0.18 µm CMOS,” in Proc. Int. Symp. Circuits Syst. (ISCAS‘04), May 2004,vol. 4, pp. 133–136.Eric A. Vittoz, Marc G. R. Degrauwe and Serge Bitz, "High-PerformanceCrystal Oscil<strong>la</strong>tor Circuits: Theory and Application", IEEE Journal of Solid-State Circuits, vol. 23, No. 3, pp. 774-783, June 1988.$Winstanley A. and Gre<strong>en</strong>street M.: ‘Temporal properties of self-timed rings’,in Proc. 11th Advanced Research Working Confer<strong>en</strong>ce on Correct HardwareDesign and verification Methods (CHARME), 2001.[WIN 02] Winstanley A., Garivier A., and Gre<strong>en</strong>street M.: ‘An ev<strong>en</strong>t pacingexperim<strong>en</strong>t’, in Proc. International Symposium on Advanced Research inAsynchronous Circuits and Systems, ASYNC 02, pp. 47–56, 2002.[YAH 09] E. Yahya, O. Elissati, H. Zakaria, L. Fesquet, M.R<strong>en</strong>audin,“Programmable/Stoppable Oscil<strong>la</strong>tor Based on Self-Timed Rings”.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


148 Référ<strong>en</strong>ces[ZEB 05]ASYNC '09. 15th IEEE Symposium on, May 17-20, Chapel Hill, USA, pp 3-12.Zebilis V. and Sotiriou C.: ‘Controlling ev<strong>en</strong>t spacing in self-timed rings’,ASYNC’05, New York, USA, IEEE, pp. 109 – 115, 2005.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


Publications <strong>de</strong> l’auteur 149Publications <strong>de</strong> l’auteur• Chapitres <strong>de</strong> Livres.[1] O. Elissati, S. Rieubon, E. Yahya, L. Fesquet “Self-Timed Rings: A PromisingAlternative for G<strong>en</strong>erating Multiphase High- Speed Low-Phase Noise Clocks”,invited book chapter for VLSI-SOC’2010 book of select papers, Springer (To bepublished by the <strong>en</strong>d of 2011).• Confér<strong>en</strong>ces internationales avec actes[6] O. Elissati, S. Rieubon, L. Fesquet, ‘Ring oscil<strong>la</strong>tor: The Asynchronous Alternative’’10th Edition of Faible T<strong>en</strong>sion Faible Consommation, FTFC’2011, May 30-June 1,2011 Marrakech, Morocco.[5] O. Elissati, E. Yahya, S. Rieubon, L. Fesquet, ‘’A novel High-Speed Multi-phaseOscil<strong>la</strong>tor Using Self-Timed Rings’’ IEEE International Confer<strong>en</strong>ce onMicroelectronics, IEEE ICM’2010, December 19-22, 2010, Cairo, Egypte.(Acceptance rate 42%)[4] O. Elissati, E. Yahya, S. Rieubon, L. Fesquet “High-Speed High-Resolution Low-Phase Noise Oscil<strong>la</strong>tor using Self-Timed Rings ”, IEEE/IFIP VLSI-SOC’2010,September 27-29, 2010, Madrid, Spain. (Acceptance rate 29%)[3] O. Elissati, E. Yahya, S. Rieubon, L. Fesquet “Optimizing and Comparing CMOSImplem<strong>en</strong>tations of the C-elem<strong>en</strong>t in 65nm technology: Self-Timed Ring Case“,PATMOS’2010, September 7-10, 2010, Gr<strong>en</strong>oble, France.[2] E. Yahya, O. Elissati, H. Zakaria, L. Fesquet, M. R<strong>en</strong>audin,“Programmable/Stoppable Oscil<strong>la</strong>tor Based on Self-Timed Rings” IEEEASYNC’2009, May 17-20, 2009, UNC Chapel Hill, USA. (Acceptance rate 42%)[1] O. Elissati, E. Yahya, L. Fesquet, S. Rieubon, “Oscil<strong>la</strong>tion Period and PowerConsumption in Configurable Self-Timed Ring Oscil<strong>la</strong>tors” IEEE NEWCAS-TIASA’2009, June 28th to July 1st, 2009, Toulouse, France.Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


150 Publications <strong>de</strong> l’auteur• Confér<strong>en</strong>ces internationales sans actes[1] O. Elissati, S. Rieubon, E. Yahya L. Fesquet, “Self-Timed Rings: A PromisingAlternative for G<strong>en</strong>erating Multiphase High-Speed Low-Phase Noise Clocks”, Ph.Dforum (Poster Session) of IEEE/IFIP VLSI-SOC’2010, September 27-29, 2010,Madrid, Spain. (Invited by the Program Committee)Oussama EL ISSATIUniversité <strong>de</strong> Gr<strong>en</strong>oble


<strong>Oscil<strong>la</strong>teurs</strong> <strong>Asynchrones</strong> <strong>en</strong> <strong>Anneau</strong> : <strong>de</strong> <strong>la</strong> Théorie à <strong>la</strong> PratiqueLes oscil<strong>la</strong>teurs sont <strong>de</strong>s blocs qui figur<strong>en</strong>t dans presque tous les circuits. En effet, ils sontutilisés pour générer les signaux <strong>de</strong> synchronisation (les horloges), les signaux modulés et démodulésou récupérer <strong>de</strong>s signaux noyés dans du bruit (détection synchrone). Les caractéristiques <strong>de</strong> cesoscil<strong>la</strong>teurs dép<strong>en</strong>d<strong>en</strong>t <strong>de</strong> l'application. Dans le cas <strong>de</strong>s boucles à verrouil<strong>la</strong>ge <strong>de</strong> phase (PLL), il existe<strong>de</strong> fortes exig<strong>en</strong>ces <strong>en</strong> matière <strong>de</strong> stabilité et <strong>de</strong> bruit <strong>de</strong> phase. En outre, face aux avancées <strong>de</strong>stechnologies nanométriques, il est égalem<strong>en</strong>t nécessaire <strong>de</strong> pr<strong>en</strong>dre <strong>en</strong> compte les effets liés à <strong>la</strong>variabilité <strong>de</strong>s procédés <strong>de</strong> fabrication. Aujourd'hui, <strong>de</strong> nombreuses étu<strong>de</strong>s sont m<strong>en</strong>ées sur lesoscil<strong>la</strong>teurs asynchrones <strong>en</strong> anneau qui prés<strong>en</strong>t<strong>en</strong>t <strong>de</strong>s caractéristiques bi<strong>en</strong> adaptées à <strong>la</strong> gestion <strong>de</strong> <strong>la</strong>variabilité et qui offr<strong>en</strong>t une structure appropriée pour limiter le bruit <strong>de</strong> phase. A ce titre, les anneauxasynchrones sont considérés comme une solution prometteuse pour générer <strong>de</strong>s horloges.Cette thèse étudie les avantages et les pot<strong>en</strong>tiels offerts par les oscil<strong>la</strong>teurs asynchrones <strong>en</strong>anneau. Deux applications principales ont été id<strong>en</strong>tifiées. D’une part, ces oscil<strong>la</strong>teurs sont une solutionprometteuse pour <strong>la</strong> génération d’horloges polyphasées à haute fréqu<strong>en</strong>ce et à faible bruit <strong>de</strong> phase.D’autre part, ils constitu<strong>en</strong>t une alternative simple, dans une certaine mesure aux oscil<strong>la</strong>teurs plusconv<strong>en</strong>tionnels et aux DLLs, car ils sont programmables <strong>en</strong> fréqu<strong>en</strong>ce numériquem<strong>en</strong>t et sontsusceptibles <strong>de</strong> fournir les fonctionnalités d’arrêt <strong>de</strong> type gated clock <strong>de</strong> façon native. Plusieursoscil<strong>la</strong>teurs ont été conçus, implém<strong>en</strong>tés, fabriqués <strong>en</strong> technologie CMOS 65 nm <strong>de</strong>STMicroelectronics et, finalem<strong>en</strong>t, caractérisés sous pointes. Ces travaux ont notamm<strong>en</strong>t permis <strong>de</strong>démontrer <strong>la</strong> pertin<strong>en</strong>ce <strong>de</strong> ces oscil<strong>la</strong>teurs, qui constitu<strong>en</strong>t une alternative sérieuse aux très c<strong>la</strong>ssiquesoscil<strong>la</strong>teurs <strong>en</strong> anneau à base d’inverseurs.Self-Timed Ring Oscil<strong>la</strong>tors: from theory to practiceOscil<strong>la</strong>tors are ess<strong>en</strong>tial building blocks in many applications. For instance, they are basicblocks in almost all <strong>de</strong>signs: they are part of PLLs, clock recovery systems and frequ<strong>en</strong>cy synthesizers.The <strong>de</strong>sign of a low phase-noise multi-phase clock circuitry is especially crucial wh<strong>en</strong> a <strong>la</strong>rge numberof phases is required. There are pl<strong>en</strong>ty of works covering the <strong>de</strong>sign of multiphase clocks. Highfrequ<strong>en</strong>cy oscil<strong>la</strong>tors can be implem<strong>en</strong>ted using ring structures, re<strong>la</strong>xation circuits or LC circuits. Ringarchitectures can easily provi<strong>de</strong> multiple clocks with a small die size. With the advanced nanometrictechnologies, it is also required to <strong>de</strong>al with the process variability, stability and phase noise. Todaymany studies are ori<strong>en</strong>ted to Self-Timed Ring (STR) oscil<strong>la</strong>tors which pres<strong>en</strong>t well-suitedcharacteristics for managing process variability and offering an appropriate structure to limit the phas<strong>en</strong>oise. Therefore, self-timed rings are consi<strong>de</strong>red as promising solution for g<strong>en</strong>erating clocks.This thesis studies the b<strong>en</strong>efits and pot<strong>en</strong>tial offered by Self-Timed Ring oscil<strong>la</strong>tors. Two mainapplications have be<strong>en</strong> id<strong>en</strong>tified. On the one hand, these oscil<strong>la</strong>tors are a promising solution for theg<strong>en</strong>eration of high-frequ<strong>en</strong>cy multi-phase low phase noise clocks. On the other hand, they are a simplealternative to some ext<strong>en</strong>t to the conv<strong>en</strong>tional oscil<strong>la</strong>tors and DLLs, because they are digitallyprogrammable. Several oscil<strong>la</strong>tors have be<strong>en</strong> <strong>de</strong>signed, implem<strong>en</strong>ted, manufactured in 65 nm CMOStechnology from STMicroelectronics, and characterized. This work has <strong>de</strong>monstrated the relevance ofthese oscil<strong>la</strong>tors, which are a serious alternative to the conv<strong>en</strong>tional ring oscil<strong>la</strong>tors based on inverters.Thèse préparée au <strong>la</strong>boratoire <strong>TIMA</strong> (Techniques <strong>de</strong> l’Informatique et <strong>de</strong> <strong>la</strong> Microélectroniquepour l’Architecture <strong>de</strong>s ordinateurs)ISBN : 978-2-84813-175-7

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!