12.07.2015 Views

INSTITUT POLYTECHNIQUE DE GRENOBLE ... - Laboratoire TIMA

INSTITUT POLYTECHNIQUE DE GRENOBLE ... - Laboratoire TIMA

INSTITUT POLYTECHNIQUE DE GRENOBLE ... - Laboratoire TIMA

SHOW MORE
SHOW LESS
  • No tags were found...

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

<strong>INSTITUT</strong> <strong>POLYTECHNIQUE</strong> <strong>DE</strong> <strong>GRENOBLE</strong>N° attribué par la bibliothèque|9|7|8|2|8|4|8|1|3|1|5|0|4|T H E S E E N C O T U T E L L E I N T E R N A T I O N A L Epour obtenir le grade deDOCTEUR <strong>DE</strong> L’Institut polytechnique de Grenobleetde l’Université du 7 Novembre à CarthageSpécialité : microélectroniquepréparée au laboratoire <strong>TIMA</strong> dans le cadre de l’Ecole DoctoraleElectronique, Electrotechnique, Automatique et Traitement de Signal (EEATS)et au laboratoire EµE dans le cadre de l'Ecole Doctorale de Physiqueprésentée et soutenue publiquement parHela BOUSSETTAle 20 Février 2010Modélisation multi-physiques et simulation globale de systèmes autonomes sur puce__________________________sous la direction du Pr. Skandar BASROUR et du Pr. Rached TOURKI______________JURYPr Souhil MEGHERBIPr Pascal NOUETPr Mohamed MASMOUDIPr Skandar BASROURPr Rached TOURKIPr Ramzi BOURGUIGADr Adel SOUDANI, Président, Rapporteur, Rapporteur, Directeur, Codirecteur, Examinateur, Invité


A mama Selma qui nous a quittés sans dire au revoir....


RemerciementsJe ne pourrais pas remercier toutes les personnes qui m’ont, d’une manière ou d’une autre,épaulée pendant le déroulement de ma thèse. Bien entendu, la première personne qui s’impose àmon esprit, est mon encadreur Monsieur le professeur Skandar BASROUR sans qui ce travail dethèse n’aurait pas pu se faire. Je voudrais lui exprimer ma plus sincère gratitude pour sa confiance,sa disponibilité, ses conseils précieux, son recul scientifique et ses encouragements constants.Je remercie également mes co-encadreurs Monsieur le professeur Rached TOURKI et monsieurle docteur Adel SOUDANI pour leur soutien et l’intérêt qu’ils m’ont manifesté et qui m’a été d’ungrand profit.Mes plus sincères remerciements vont à Messieurs les professeurs Mohamed MASMOUDI et PascalNOUET qui m’ont fait l’honneur d’être les rapporteurs de cette thèse, à Monsieur le professeurRamzi BOURGUIGA qui a accepté de participer à mon jury de soutenance ainsi qu’à Monsieur leprofesseur Souhil MEGHERBI qui a accepté de le présider.Je tiens également à remercier Madame Dominique BORRIONE, directrice du laboratoire <strong>TIMA</strong>et monsieur Rached TOURKI, directeur du laboratoire EµE de m’avoir accueillie dans leurs laboratoiresrespectifs et de m’avoir fourni tous les moyens nécessaires au bon déroulement de monprojet de recherche.Je remercie aussi l’Agence Universitaire de la Francophonie (AUF) et la région Rhône-Alpes(projet MIRA) pour avoir principalement financé cette thèse.Je voudrais remercier Marcin pour l’ensemble des réflexions et discussions qui ont contribué àl’ensemble de ce projet.Je tiens particulièrement à remercier Dali, Yasser, Marcin, Karine, Mathieu, Jeanne, Max, Louis,Hamid et tous les autres membres des équipes MNS et RMS pour leurs qualités humaines qui ontfait que j’ai toujours considéré <strong>TIMA</strong> comme un second chez-moi.Un grand merci à tous les autres membres de <strong>TIMA</strong> avec qui j’ai pu interagir dans l’enseignement,dans la recherche ou tout simplement sur le plan humain : je pense à Gilles, Anne-Laure, Emmanuel,Amine, Atef... Un grand merci à notre mexicain favori Alexandre CHAGOYA, responsable des outilsCAO au CIME Nanotech pour son éternelle gentillesse, sa disponibilité, ses conseils et son supportindispensable. Enfin, je remercie toutes les personnes qui me sont chères et qui tiennent une grandeplace dans mon cœur, en commençant par ma famille : mes parents d’abord qui ont toujours étéprésents : mon père qui m’a transmis son goût pour la science, ma mère pour tous ses sacrificespour nous depuis toujours, mes sœurs Faten et Yosra et tout le reste de la famille. Je voudrais aussiremercier Caroline, Thomas, Nicole et Michel pour m’avoir si chaleureusement hébergée pendantmes nombreuses escapades à Paris, mes amis, ceux qui m’entourent et qui ont une place importantedans ma vie : Zied, Skandar (Tatous), Salma, Kais, Fahima, Basma, Hichem, Ines, Karim et tousles autres auxquels je pense et qui, je l’espère, ne m’en voudront pas trop de ne pas les citer. Enfin,i


mes remerciements les plus intenses reviennent tout naturellement à ma Guite pour sa présence àmes côtés et son soutien permanent pendant les moments difficiles.ii


Table des matières1 Introduction générale et contexte 1Introduction générale et contexte 12 Etat de l’art 52.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72.2 Les réseaux de capteurs sans fils . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72.2.1 Définition et évolution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72.2.2 Exemples d’applications des réseaux de capteurs sans fils . . . . . . . . . . . . 82.2.3 Types d’applications des réseaux de capteurs sans fils . . . . . . . . . . . . . . 92.2.4 Caractéristiques communes aux réseaux de capteurs sans fils . . . . . . . . . . 102.2.5 Architecture générale d’un nœud de réseau de capteurs sans fils . . . . . . . . 112.2.6 Choix de l’architecture du nœud . . . . . . . . . . . . . . . . . . . . . . . . . 122.3 Modélisation des systèmes mixtes et multi-domaines . . . . . . . . . . . . . . . . . . 132.3.1 Définition d’un modèle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132.3.2 Rôle d’un modèle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142.3.3 Le concept de réutilisation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152.3.4 Définition d’un système mixte . . . . . . . . . . . . . . . . . . . . . . . . . . . 152.3.5 Les flots de conception dans les domaines numérique et analogique . . . . . . 162.3.6 La simulation des systèmes analogiques . . . . . . . . . . . . . . . . . . . . . 172.4 Langages et environnements de simulations multi-physiques à signaux mixtes . . . . 182.4.1 Environnement MATLAB/Simulink . . . . . . . . . . . . . . . . . . . . . . . 182.4.2 Les langages de modélisations de systèmes multi-physique à signaux mixtes . 212.5 VHDL-AMS, un langage de modélisation multi-domaines . . . . . . . . . . . . . . . . 242.5.1 Représentation des grandeurs analogiques . . . . . . . . . . . . . . . . . . . . 252.5.2 Prise en charge par le simulateur . . . . . . . . . . . . . . . . . . . . . . . . . 262.5.3 Problème de discontinuité . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 272.5.4 Prise en compte des équations aux différentielles partielles . . . . . . . . . . . 272.5.5 VHDL-AMS et la méthode de modélisation descendante . . . . . . . . . . . . 282.5.6 Synthèse . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 282.5.7 Comparaison entre VHDL-AMS et Simulink . . . . . . . . . . . . . . . . . . 292.5.8 Outils utilisés . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 302.6 Guide de modélisation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 312.7 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 323 Systèmes de récupération d’énergie 333.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34iii


TABLE <strong>DE</strong>S MATIÈRES3.2 Les méthodes de récupération d’énergie . . . . . . . . . . . . . . . . . . . . . . . . . 343.2.1 Synthèse des sources d’énergie . . . . . . . . . . . . . . . . . . . . . . . . . . . 343.2.2 Energie solaire . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 363.2.3 Variation de la température . . . . . . . . . . . . . . . . . . . . . . . . . . . . 363.2.4 Vibrations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 373.2.5 Comparaison entre les trois types de microgénérateurs résonants . . . . . . . 423.3 Modélisation des microgénérateurs piézoélectriques . . . . . . . . . . . . . . . . . . . 443.3.1 Modèle unidimensionnel d’un résonateur . . . . . . . . . . . . . . . . . . . . . 443.3.2 Modèle structurel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 483.3.3 Modèle à trois dimensions du microgénérateur . . . . . . . . . . . . . . . . . . 533.4 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 694 Systèmes de stockage d’énergie 714.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 734.2 Les différentes méthodes de stockage d’énergie . . . . . . . . . . . . . . . . . . . . . . 734.3 Caractéristiques d’une batterie . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 754.3.1 Principe de fonctionnement . . . . . . . . . . . . . . . . . . . . . . . . . . . . 764.3.2 Effets non linéaires au sein d’une batterie . . . . . . . . . . . . . . . . . . . . 784.4 Choix des technologies utilisées . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 794.4.1 Les batteries nickel métal hydrure NiCd et NiMH . . . . . . . . . . . . . . . . 804.4.2 Les batteries Li-Ion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 814.4.3 Comparaison entre les différentes technologies de batteries . . . . . . . . . . . 824.5 Les différents modèles de batteries . . . . . . . . . . . . . . . . . . . . . . . . . . . . 824.5.1 Les modèles électrochimiques . . . . . . . . . . . . . . . . . . . . . . . . . . . 834.5.2 Les modèles analytiques ou empiriques . . . . . . . . . . . . . . . . . . . . . . 844.5.3 Les modèles stochastiques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 854.5.4 Les modèles cinétiques (KiBaM) . . . . . . . . . . . . . . . . . . . . . . . . . 864.5.5 Les modèles mixtes stochastiques analytiques (KiBaM modifié) . . . . . . . . 884.5.6 Les modèles électriques équivalents . . . . . . . . . . . . . . . . . . . . . . . . 894.6 Modèle électrique évolué de la batterie . . . . . . . . . . . . . . . . . . . . . . . . . . 934.7 Implémentation VHDL-AMS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 954.8 Les différents blocs constituant la batterie . . . . . . . . . . . . . . . . . . . . . . . . 984.8.1 Tension à circuit ouvert de la batterie . . . . . . . . . . . . . . . . . . . . . . 984.8.2 Chute de tension instantanée au sein la batterie suite à une impulsion, modéliséepar une résistance en série . . . . . . . . . . . . . . . . . . . . . . . . . . 994.8.3 Capacité de la batterie et influence de la température . . . . . . . . . . . . . 1004.8.4 Temps de réponse court et long de la batterie . . . . . . . . . . . . . . . . . . 1024.9 Résultats de simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1034.9.1 Charge et décharge à courant constant . . . . . . . . . . . . . . . . . . . . . . 1034.9.2 Charge et décharge avec train d’impulsions . . . . . . . . . . . . . . . . . . . 1034.9.3 Etude de l’influence de la température . . . . . . . . . . . . . . . . . . . . . . 1044.10 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1055 Systèmes de gestion d’énergie 1115.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1125.2 Les convertisseurs AC-DC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1125.2.1 Pont de Graëtz et microgénérateur piézoélectrique . . . . . . . . . . . . . . . 1135.2.2 Modèle d’une diode en VHDL-AMS . . . . . . . . . . . . . . . . . . . . . . . 115iv


TABLE <strong>DE</strong>S MATIÈRES5.2.3 Validation du modèle VHDL-AMS du pont de Graëtz . . . . . . . . . . . . . 1185.2.4 Pont de Graëtz avec des diodes à faible tension de seuil . . . . . . . . . . . . 1205.3 Les convertisseurs DC-DC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1235.3.1 Les régulateurs linéaires de tension . . . . . . . . . . . . . . . . . . . . . . . . 1245.3.2 Convertisseurs continu-continu à découpage (convertisseurs à stockage inductif)1255.3.3 Choix du rapport cyclique . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1315.3.4 Pompes de charge (convertisseurs à stockage capacitif) . . . . . . . . . . . . . 1325.4 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1396 Modèle global de la chaine récupération/stockage d’énergie du nœud de réseaude capteur sans fil 1416.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1426.2 Choix entre les simulations VHDL-AMS et la co-simulation avec SPICE . . . . . . . 1426.3 Simulation globale en VHDL-AMS du système de récupération et de stockage d’énergieavec des composants discrets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1436.4 Co-simulations VHDL-AMS et SPICE du microsystème . . . . . . . . . . . . . . . . 1466.5 Etude énergétique avec et sans système de récupération d’énergie . . . . . . . . . . . 1486.5.1 Algorithme de charge de batteries Li-Ion (courant constant puis tension constante)1486.5.2 Scénarios énergétiques de fonctionnement d’un nœud de WSN . . . . . . . . . 1506.6 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1527 Conclusion générale et travaux futurs 155Conclusion générale et perspectives 155A Constantes utilisées dans l’implémentation VHDL-AMS du microgénérateur piézoélectrique159B Modèle VHDL-AMS analytique de la batterie 161C Comparaison entre les modèles niveau 1 du transistor MOS avec Simulink etcelui avec VHDL-AMS 167D Choix du contrôleur et du microcontrôleur 171D.1 Choix du contrôleur . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 171D.2 Choix du microcontrôleur . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 172E Vecteur de test utilisé dans la modélisation globale 175F Modélisation globale avec Verilog-AMS 179Index 181Bibliographie 187v


TABLE <strong>DE</strong>S MATIÈRESvi


Table des figures2.1 Evolution des WSN autonomes de 1 à 0.025 cm 3 (feuille de route de Fraunhofer IZM)[1] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72.2 Comparaison entre l’évolution de plusieurs domaines de la microélectronique portableet des batteries [2] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82.3 Schéma d’un nœud de réseau de capteurs sans fil . . . . . . . . . . . . . . . . . . . . 122.4 Les différents niveaux d’abstraction dans chacun des flots de conception (a)-numériqueet (b)-analogique [3] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 162.5 Représentation fonctionnelle d’un simulateur électrique standard [4] . . . . . . . . . . 182.6 Modèle du circuit de puissance sous Simulink utilisant l’interface SLPS . . . . . 202.7 Exemple écrit en VHDL-AMS : modèle d’une résistance avec capacité parasite . . . . 263.1 Principe de la génération thermoélectrique . . . . . . . . . . . . . . . . . . . . . . . . 373.2 Principe de la piézoélectricité . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 383.3 Les différents modes 31 et 33 de déformation d’un matériau piézoélectrique . . . . . . 383.4 Axes de référence utilisés pour la modélisation d’un solide piézoélectrique . . . . . . . 393.5 Représentation graphique des performances des différentes sources d’énergie : puissancegénérée en fonction de la taille du dispositif [5] . . . . . . . . . . . . . . . . . 423.6 Modèle unidimensionnel du générateur piézoélectrique . . . . . . . . . . . . . . . . . . 443.7 Résultats de l’analyse fréquentielle du modèle unidimensionnel du microgénérateurpiézoélectrique : puissance de sortie réccupérée sur deux charges résistives différentesen fonction de la fréquence avec une accélération de 1 g . . . . . . . . . . . . . . . . 473.8 Résultats de l’analyse transitoire du modèle unidimensionnel du microgénérateur piézoélectrique: tension de sortie en fonction du temps avec une accélération de 1 gd’amplitude et de 120 Hz de fréquence . . . . . . . . . . . . . . . . . . . . . . . . . 473.9 Modèle faible couplage du transducteur . . . . . . . . . . . . . . . . . . . . . . . . . . 483.10 Circuit électrique équivalent (b) d’une structure piézoélectrique bimorphe (a) . . . . . 493.11 Vecteur de test utilisé pour la validation des modèles du microgénérateur piézoélectriquedans les différents niveaux d’abstraction . . . . . . . . . . . . . . . . . . . . . . 52vii


TABLE <strong>DE</strong>S FIGURESviii3.12 Résultats de l’analyse transitoire du modèle structurel à fort couplage du microgénérateurpiézoélectrique : tension de sortie en fonction du temps sur une résistance de24 kΩ et une accélération de 1 g d’amplitude et de 120 Hz de fréquence . . . . . . . . 533.13 Structure à trois dimensions du microgénérateur piézoélectrique et notations utiliséesdans le modèle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 543.14 Illustration des différentes positions des couches de la poutre selon l’axe z et l’axeauxiliaire a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 583.15 Comparaison entre la puissance de sortie du microgénérateur résultant de la simulationdu modèle VHDL-AMS et celle des calculs faits avec le logiciel MAPLE . . . . 603.16 Evolution de la tension et de la puissance de sortie du microgénérateur avec commeexcitation une accélération d’amplitude 0,4 g et une fréquence de résonance de 720 Hzet une charge résistive de 500 kΩ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 613.17 Impact du matériau piézoélectrique : puissance de sortie des microgénérateurs utilisantles deux types de matériaux (AlN et PZT) en fonction de la fréquence pour uneaccélération de 0,4 g . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 623.18 Maximum de puissance récoltée et fréquence de résonance en fonction de la chargeélectrique . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 633.19 Influence de la hauteur de l’électrode supérieure sur le maximum de puissance généréeet sur la fréquence de résonance pour la structure basée sur l’AlN . . . . . . . . . . . 643.20 Influence de l’amortissement visqueux sur la puissance maximale générée par la structureà base d’AlN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 643.21 Influence de l’amortissement diélectrique sur le maximum de puisssnace généré parla structure à base d’AlN (les amortissements visqueux et structuraux étant fixés à 1%) 653.22 Influence du facteur de qualité mécanique du matériau sur le maximum de puissancegénérée par la structure à base d’AlN . . . . . . . . . . . . . . . . . . . . . . . . . . . 653.23 Image MEB du microgénérateur piézoélectrique à base d’AlN . . . . . . . . . . . . . . 673.24 Tension et puissance de sortie en fonction de l’amplitude de l’accélération en entrée(comparaison entre résultats de simulation et résultats expérimentaux) . . . . . . . . 683.25 Dispersion en fréquence de résonance de la structure basée sur l’AlN, dûe aux fluctuationsdu procédé de fabrication (résultats de l’analyse Monte-Carlo) . . . . . . . . 694.1 Fonctionnement d’une pile à combustible . . . . . . . . . . . . . . . . . . . . . . . . 744.2 Structure interne des supercondensateurs à couche double électrique . . . . . . . . . 744.3 Plan de Ragone : comparaison entre les densités d’énergie et les densités de puissancedes systèmes de stockage d’énergie . . . . . . . . . . . . . . . . . . . . . . . . . . . . 764.4 Schéma de principe de la décharge d’une pile lithium-chlorure de thionyle[6] . . . . . 774.5 Phénomène de récupération de charge par diffusion dans une batterie [7] . . . . . . . 794.6 Comparaison entre les différentes densités d’énergie de batteries selon la technologieutilisée [8] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 814.7 Capacité maximale de la batterie et son cycle de vie en fonction de sa tension pourune batterie Li-Ion [7] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83


TABLE <strong>DE</strong>S FIGURES4.8 Modèle stochastique de batterie, basé sur N états de charges [9] . . . . . . . . . . . . 864.9 Modèle cinétique d’une batterie [10] . . . . . . . . . . . . . . . . . . . . . . . . . . . . 874.10 Les probabilités de transition d’un état de charge à un autre selon le modèle KiBaMmodifié [9] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 884.11 Modèle idéal d’une batterie . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 894.12 Modèle de Thévenin d’une batterie [11] . . . . . . . . . . . . . . . . . . . . . . . . . 904.13 Schéma fonctionnel développé dans [12] pour tous les types de batteries (avec deschangements mineurs pour compléter chaque type) . . . . . . . . . . . . . . . . . . . 924.14 Schéma fonctionnel développé dans [12] pour tous les types de batterie avec inclusionde l’effet thermique . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 934.15 Schéma fonctionnel développé dans [13] pour tous les types de batterie . . . . . . . . 944.16 Réponse transitoire à un courant de décharge impulsionnel [13] . . . . . . . . . . . . 954.17 Résultats de simulations de la charge (a) et de la décharge (b) de la batterie Li-Ionpolymère PL-383562 avec deux profils différents de courant constant : 640 mA (chargeet décharge rapides) et 80 mA (charge et décharge plus lentes) . . . . . . . . . . . . . 1074.18 Résultats de simulations de la décharge de la batterie Li-Ion polymère PL-383562 (b)selon deux profils de décharge : un courant impulsionnel d’amplitude −80 mA (a) etun courant constant de la même valeur . . . . . . . . . . . . . . . . . . . . . . . . . . 1084.19 Résultats de simulations de la charge de la batterie Li-Ion polymère PL-383562 avecdeux profils différents de charge : un courant constant de de 640 mA et un courantimpulsionnel de même amplitude (même largeur que le profil de la figure 4.18-(a) . . 1094.20 Influence de la température sur la tension de la batterie avec un courant de déchargede 400 mA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1095.1 Structure d’un circuit de récupération d’énergie composé d’un microgénérateur piézoélectriqueet d’un pont de Graëtz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1125.2 Allure de la tension aux bornes du microgénérateur . . . . . . . . . . . . . . . . . . 1135.3 Allure du courant dans la résistance de charge . . . . . . . . . . . . . . . . . . . . . 1145.4 Comparaison des résultats de la simulation d’une diode d1n4007 modélisée sous SPICEavec son homologue en VHDL-AMS . . . . . . . . . . . . . . . . . . . . . . . . . . . 1185.5 Validation du modèle VHDL-AMS du pont de Gratëz avec le générateur piézoélectrique: courant dans la capacité de sortie . . . . . . . . . . . . . . . . . . . . . . . . 1195.6 Validation du modèle VHDL-AMS du pont de Gratëz avec le générateur piézoélectrique: courant dans la capacité de sortie . . . . . . . . . . . . . . . . . . . . . . . . 1195.7 Evolution de la tension aux bornes de C rect avec un zoom sur le régime transitoire . . 1205.8 Influence de la variation du processus de fabrication sur la caractéristique d’une DT-MOS avec un PMOS de la technologie HCMOS9GP . . . . . . . . . . . . . . . . . . . 1215.9 Schéma de la diode développée par Y. Ammar dans sa thèse (technologie HCMOS9GP)[14] 1225.10 Validation du modèle de pont de Gratëz avec des diodes à faible tension de seuil :tension aux bornes de C rect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123ix


TABLE <strong>DE</strong>S FIGURESx5.11 Deux approches de conversion continu-continu : (a) à temps continu avec élémentdissipatif (Vin > Vout) et (b) à temps discret avec un élément de stockage d’énergietampon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1245.12 Représentation d’un régulateur linéaire de tension . . . . . . . . . . . . . . . . . . . 1255.13 Fonctionnement d’un convertisseur DC-DC à découpage [15] . . . . . . . . . . . . . . 1255.14 Principe de fonctionnement d’un dévolteur [16] . . . . . . . . . . . . . . . . . . . . . 1265.15 Modèle équivalent du transistor MOS niveau 1 . . . . . . . . . . . . . . . . . . . . . 1285.16 Résultats de simulations du convertisseur DC-DC :(a) tension de grille, (b) tensionde drain du transistor et (c) courant dans l’inductance en fonction du temps . . . . 1305.17 Résultats de simulations du convertisseur DC-DC et un zoom temporel : courant dansla résistance de charge en fonction du temps . . . . . . . . . . . . . . . . . . . . . . 1315.18 Représentation d’un doubleur de tension . . . . . . . . . . . . . . . . . . . . . . . . . 1335.19 Représentation d’un multiplieur de tension de Villard . . . . . . . . . . . . . . . . . 1345.20 Représentation d’un multiplieur de tension de Dickson . . . . . . . . . . . . . . . . . 1355.21 Circuit équivalent d’une pompe de charge et valeurs des différents composants [17] . . 1365.22 Résultats de simulations du modèle idéal de la pompe de charge à 2 étages : (a) évolutionde la tension de sortie sur une capacité de 4µF sous une excitation sinosoïdaled’amplitude 2.2V et de fréquence 100Hz et (b) zoom temporel sur (a) . . . . . . . . 1385.23 Comparaison entre la pompe de charge de Dickson et celle de Villard (7 étages) avecdifférentes tensions d’entrée (a) 1V , 2V , 5V et (b) 100 mV : tension de sortie surune capacité de 1nF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1395.24 Comparaison entre la pompe de charge de Dickson et celle de Villard (6 étages) avecune tension d’entrée 100 mV et des capacités de 40 pF : tension de sortie sur unecapacité de 1nF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1406.1 Structure du circuit de récupération d’énergie avec un modèle faible couplage du générateur,un convertisseur AC-DC, un convertisseur DC-DC abaisseur et une résistancede charge de 100 Ω . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1436.2 Résultats de simulations globales de toute la chaine de récupération et de stockaged’énergie : puissance récupérée en fonction du temps . . . . . . . . . . . . . . . . . . 1456.3 Résultats de simulations temporelles pour le circuit de récupération d’énergie avec unmicrogénérateur de fréquence de résonance 1380 Hz et à deux accélérations différentes1476.4 Principe de charge d’une batterie Li-Ion selon un modèle CC−CV (a) schéma structurelet (b) Caractéristiques de la tension (V) et du courant (I) durant l’applicationd’un profil de charge de type CC −CV à une batterie Li-Ion . . . . . . . . . . . . . 1496.5 Consommation énergétique d’un nœud de WSN sur un cycle de fonctionnement . . . 1526.6 Consommation énergétique d’un nœud de WSN sans circuit de récupération d’énergieavec en haut le profil de courant de décharge (a1 et un zoom a2 sur 10ks) et enbas la tension aux bornes de la batterie (b1 et un zoom b2) avec une période defonctionnement de 7000s soit ≈ 2heures . . . . . . . . . . . . . . . . . . . . . . . . . 153


TABLE <strong>DE</strong>S FIGURES6.7 Consommation énergétique d’un nœud de WSN avec et sans circuit de récupérationd’énergie selon les trois scénarios étudiés . . . . . . . . . . . . . . . . . . . . . . . . 154B.1 Courbes d’extraction des paramètres de la batterie Li-ion polymère PL-383562 [13] . 162B.2 Déclaration de l’entité VHDL-AMS du sous bloc décrivant la durée de vie de la batterie163B.3 Architecture VHDL-AMS du sous bloc décrivant les la durée de vie de la batterie . . . 163B.4 Déclaration de l’entité VHDL-AMS du sous bloc décrivant les caractéristiques courantstensions de la batterie . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 164B.5 Architecture VHDL-AMS du sous bloc décrivant les caractéristiques Courants/Tensionsde la batterie . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 165B.6 Vecteur de test du modèle VHDL- AMS de la batterie avec un courant constant de80mA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 166C.1 Modèle du transistor MOS niveau 1 avec Simulink développé par [18] . . . . . . . . 168D.1 Comparaison de la consommation en puissance en mode inactif de quelques microcontrôleursexistants sur le marché . . . . . . . . . . . . . . . . . . . . . . . . . . . . 173D.2 Comparaison de la consommation en puissance en mode actif de quelques microcontrôleursexistants sur le marché . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 173F.1 Résultats de simulations de la tension délivrée par le microgénérateur avec deux accélérationsdifférentes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 179F.2 Tension de sortie sur une capacité de 400 pF avec une accélération de 1 g et defréquence 1500Hz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 180xi


TABLE <strong>DE</strong>S FIGURESxii


Liste des tableaux2.1 Consommation des différents composants utilisés dans le nœud selon l’application . 132.2 Comparaison entre les deux langages VHDL-AMS et Verilog-AMS . . . . . . . . . . 232.3 Flux et efforts relatifs aux différents domaines [19] . . . . . . . . . . . . . . . . . . . 252.4 Etude comparative entre quelques outils supportant le langage VHDL-AMS . . . . . 313.1 Comparaison de quelques sources d’énergie . . . . . . . . . . . . . . . . . . . . . . . . 353.2 Règles de changement des indices entre la notation tensorielle et la notation simplifiée(Voigt) [20] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 393.3 Équations constitutives de la piézoélectricité avec la définition des coefficients piézoélectriqueset leurs unités [20] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 403.4 Comparaison entre les trois principales méthodes de conversion de vibrations mécaniquesen énergie électrique . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 433.5 Paramètres du microgénérateur utilisés pour la comparaison entre les résultats issusdes mesures expérimentales et les résultats de simulation . . . . . . . . . . . . . . . . 684.1 Comparaison entre les différentes technologies de batteries [8] . . . . . . . . . . . . . 1065.1 Paramètres SPICE de la diode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 116xiii


LISTE <strong>DE</strong>S TABLEAUXxiv


GLOSSAIRERCSF Réseaux de Capteurs Sans Fil, 7RF Radio Fréquence, 8RISC Reduced Instruction Set Computer, 174ROM Reduced Orders Models, 27RTW Real-Time-Workshop, 19SOC State Of Charge, 83SOI Silicon On Insulator, 66SPICE Simulation Program with Integrated Circuit Emphasis, 19VCVS Voltage Controlled Voltage Source ou Source de tension contrôlée par une tension, 91VHDL-AMS VHSIC Hardware Description Langage Analog and Mixed Signals, 21VHSIC Very High Speed Integrated Circuit, xvVLSI Very Large Scale Integration, 7WSN Wireless Sensor Networks, 7ZC Zero Crossing : les instants où se produisent des singularités dans la simulation, 19xvi


Chapitre 1Introduction générale et contexteCadre et motivations de la thèseCes travaux de thèse ont été effectués dans le cadre d’une co-tutelle entre l’université 7 Novembreà Carthage en Tunisie et l’Université Joseph Fourier à Grenoble. Elle a été principalement financéepar l’Agence Universitaire de la Francophonie (AUF) et le programme de Mobilité InternationaleRhône-Alpes (MIRA).Nous étudions dans le cadre de cette thèse un capteur autonome en énergie appartenant à ungrand réseau de très nombreux capteurs sans fils (en anglais a Node for a Wireless Sensor Network(WSN)). Les potentialités de ce type de composants pour des applications environnementales(contrôle de bâtiment, pollution dans les villes...) sont évidentes. A travers le monde, diverses équipesde recherche (BWRC à Berkeley, MIT, Université de Coventry, Université de Southampton...) etd’industriels (Philips, EnOcean, Perpeteum) sont actifs dans ce domaine. Ce type de systèmes seveut autonome grâce à une microbatterie ou une super-capacité qui se recharge à partir de l’énergieenvironnementale (énergie mécanique, contraintes, photovoltaïque, thermoélectricité, etc..). Lestravaux concernant la récupération et la gestion d’énergie ont été initiés au cours d’autres thèsesdans l’équipe MNS ([20] et [14]). Ce travail s’inscrit dans la continuité de ces deux thèses. En effet,il est très complexe d’effectuer une simulation globale d’un système multi-domaines (électrique, mécanique,optique...) et de l’optimiser avec les approches traditionnelles vu la diversité des domaines,le couplage plus au moins fort entre eux, la nature des signaux et des non linéarités de certainscomposants. Il est donc intéressant de fournir des modèles paramétrables plus au moins précis, capablesde prédire les performances des différents blocs et/ou d’effectuer la modélisation globale. Cetype de modèles n’a pas pu être abordé au cours des travaux précédents car les outils utilisés aussibien pour la modélisation du microgénérateur piézoélectrique (ANSYS par l’analyse à élémentsfinis (FEM)) que pour le circuit de gestion d’énergie (CA<strong>DE</strong>NCE) ne le permettent pas.Principales contributions et plan de la thèseNous avons fixé un objectif principal à nos travaux : celui de modéliser et valider par simulationsles parties de récupération et stockage d’énergie d’un nœud (ou node) pour un réseau de capteurssans fil. Le but est de fournir des prototypes virtuels mais fonctionnels de ces différents blocs. Selonle besoin, deux types de modèles seront fournis. Le premier type est un ensemble de modèles1


CHAPITRE 1. INTRODUCTION GÉNÉRALE ET CONTEXTEcomportementaux indépendants de l’implémentation physique que nous privilégierons dans les simulationsglobales. Le second type est un ensemble de modèles plus précis qui implémentent desdétails physiques sur la géométrie et les matériaux utilisés dans les différents composants. Ce typede modèles sera privilégié pour l’optimisation des performances des différents blocs et l’identificationdes paramètres influant sur cette performance (par exemple pour l’optimisation de la structure dumicrogénérateur). Le défi de ce deuxième type de modèles est de garder un compromis vitesse/précisionacceptable tout en fournissant les informations nécessaires à ce type d’étude. Il doit aussi êtreréutilisable pour d’autres structures et matériaux. Le deuxième objectif consiste à développer uneapproche de modélisation multi-niveaux. Cette approche devrait permettre de valider ces modèlesau sein de la simulation globale : le but de ce genre de simulations n’est pas de valideren même temps tous les modèles les plus raffinés, mais de se concentrer sur un seulmodèle raffiné à la fois en l’associant avec d’autres modèles plus abstraits au sein dela même simulation.Plan de la thèseLe premier chapitre présente un état de l’art ayant un double objectif : fixer aussi bien l’applicationque l’environnement de modélisation et de simulation. Pour ceci, nous allons dans un premiertemps définir les réseaux de capteurs puis dégager leurs caractéristiques les plus importantes à partird’exemples d’applications. Puis, une architecture générale d’un nœud de réseau de capteurs sansfil sera fixée. Par ailleurs et en raison de la pluridisciplinarité de ce travail, un petit état de l’artsur chaque partie du nœud de réseaux de capteurs sera fait au début de chaque chapitre. Nousavons fait le choix de différer ces parties de l’état de l’art général pour des raisons de clarté. Eneffet, les chapitres 2, 3 et 4 peuvent faire l’objet de trois sujets d’étude différents. C’est pourquoinous avons voulu qu’ils soient les plus autonomes possibles sans toutefois perdre le filconducteur qui est l’application d’une approche de modélisation générique et paramétrablefavorisant l’approche multi-abstractions. Dans un troisième temps, nous allons passeren revue les principales méthodes de modélisation des systèmes multi-physiques à signaux mixtesainsi que leurs environnements de simulation. Pour ceci, nous commencerons par définir la notion demodélisation en général en insistant sur le concept de la réutilisation et l’importance du prototypagevirtuel (IP pour Intellectuel Property). Ensuite, nous convergerons vers la modélisation et la simulationdes systèmes mixtes en mettant l’accent sur les flots de conception des systèmes analogiqueset les considérations à prendre en compte pendant la simulation. Enfin, la dernière partie de cepremier chapitre sera dédiée aux principaux langages et environnement de modélisation mixte. Lebut de cette partie est de synthétiser les avantages et les inconvénients aussi bien des langages demodélisations que des outils dédiés afin de justifier le choix qu’on a adopté par la suite. En fin dece chapitre, un guide de modélisation tirant profit de cet inventaire sera fourni, lequel fera office defeuille de route pour la modélisation des différents sous-systèmes considérés par la suite.Le deuxième chapitre est consacré à la modélisation du système de récupération d’énergie particulièrementimportant pour le fonctionnement du nœud, vu qu’il conditionne sa durée de vie. Le butessentiel visé par ce chapitre est de démontrer la faisabilité de l’approche de modélisation annoncéeau chapitre précédent à travers ce premier sous système. Afin d’atteindre ce but, nous avons articuléce chapitre autour de deux parties essentielles. La première partie a été dédiée à la justification de laméthode de transduction retenue pour la récupération d’énergie parmi les autres choix étudiés dansla littérature. La piézoélectricité étant retenue parmi d’autres méthodes, La seconde partie seraconsacré à l’implémentation d’une bibliothèque de modèles de générateurs piézoélectriques génériqueset paramétrables, respectant le guide de modélisation figé à la fin du chapitre précédent, sera2


CHAPITRE 1. INTRODUCTION GÉNÉRALE ET CONTEXTEfourni. A la fin de ce chapitre, nous aurons fourni des modèles génériques paramétrables présentantune bonne précision pour les modèles les plus raffinés et des temps de simulation très courts pourles plus abstraits. De plus, nous allons démontrer comment un concepteur mécanique peut gagnerun temps précieux en optimisant sa structure. Pour ceci, une analyse statistique sera effectuée pourprédire l’impact des fluctuations du procédé de fabrication sur les fréquences de résonance des structuresconsidérées et des tensions générées. Enfin, une dernière validation du modèle le plus précissera fournie grâce à une comparaison avec les résultats expérimentaux.L’objectif du troisième chapitre est la modélisation d’un système de stockage d’énergie. Pourceci, nous commencerons par faire un bilan des différentes méthodes existantes pour enfin figer notrechoix sur les accumulateurs électrochimiques. Ensuite, nous justifierons le choix d’une batterie Li-Ionparmi d’autres technologies. Enfin, nous fournirons un modèle électrique équivalent écrit en VHDL-AMS de la dite batterie. Le choix de ce modèle sera justifié parmi les autres modèles existant dansla littérature. Ensuite, des détails techniques sur la méthode de l’implémentation en VHDL-AMSdes différents blocs seront fournis. En effet, nous aurons insisté dans le chapitre précédentsur l’approche de modélisation multi-niveaux et ce en fournissant une bibliothèque demodèles à différents niveaux d’abstraction de générateurs piézoélectriques. Par contre,dans ce chapitre, l’idée essentielle est de donner au lecteur, qui serait convaincu parcette méthodologie, les clefs techniques pour implémenter en VHDL-AMS un modèlenon linéaire quelque soit le domaine de la physique abordé. C’est pour cette raison quenous ne fournirons qu’un seul modèle. En fin de chapitre, différents tests mettront à l’épreuve cemodèle comme l’étude de la charge et la décharge de la batterie aussi bien sous un profil de courantconstant que sous un train d’impulsions et l’influence de la température sur la durée de vie decelle-ci.Le quatrième chapitre sera quant à lui dédié à la modélisation du circuit de gestion de l’énergie.Ainsi, après avoir étudié un système électromécanique au second chapitre et un système électrochimiqueau troisième, nous nous appliquerons au quatrième chapitre à fournir une bibliothèque demodèles purement électriques. Contrairement aux chapitres précédents, nous n’utiliserons pas seulementdes modèles VHDL-AMS mais aussi des modèles SPICE. Le choix d’un langage par rapport àl’autre sera justifié et démontré par des bibliothèques de modèles de convertisseurs alternatif-continuet continu-continu pour des modèles discrets ou adaptés à des microsystèmes.Enfin, le dernier chapitre aura pour vocation de donner un aperçu des possibilités offertes auconcepteur système de fournir rapidement un vecteur de test global qui instancie les modèles génériqueset paramétrables disponibles dans sa bibliothèque. Nous allons aussi fournir un scénariode fonctionnement d’un nœud de réseau de capteurs sans fil extensible à d’autres WSNs. Nousconclurons ce manuscrit par la synthèse des différents résultats obtenus et des perspectives pouvantguider des travaux de recherches ultérieurs.Par ailleurs, nous nous excusons pour l’utilisation des mots ou acronymes en anglais ou extraits del’anglais plutôt que de la langue française (WSN, paquetage, instancier, déboguer...). Cet anglicismeest un jargon du métier très utilisé dans la littérature. Notons toutefois qu’un glossaire a été ajoutéafin de faciliter la lecture. Les acronymes seront donc explicités seulement lors de leur premièreapparition dans le texte.3


CHAPITRE 1. INTRODUCTION GÉNÉRALE ET CONTEXTE4


Chapitre 2Etat de l’artSommaire2.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72.2 Les réseaux de capteurs sans fils . . . . . . . . . . . . . . . . . . . . . . . 72.2.1 Définition et évolution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72.2.2 Exemples d’applications des réseaux de capteurs sans fils . . . . . . . . . . 82.2.3 Types d’applications des réseaux de capteurs sans fils . . . . . . . . . . . . 92.2.4 Caractéristiques communes aux réseaux de capteurs sans fils . . . . . . . . 102.2.5 Architecture générale d’un nœud de réseau de capteurs sans fils . . . . . . . 112.2.6 Choix de l’architecture du nœud . . . . . . . . . . . . . . . . . . . . . . . . 122.3 Modélisation des systèmes mixtes et multi-domaines . . . . . . . . . . . 132.3.1 Définition d’un modèle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132.3.2 Rôle d’un modèle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142.3.3 Le concept de réutilisation . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152.3.4 Définition d’un système mixte . . . . . . . . . . . . . . . . . . . . . . . . . . 152.3.5 Les flots de conception dans les domaines numérique et analogique . . . . . 162.3.6 La simulation des systèmes analogiques . . . . . . . . . . . . . . . . . . . . 172.4 Langages et environnements de simulations multi-physiques à signauxmixtes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 182.4.1 Environnement MATLAB/Simulink . . . . . . . . . . . . . . . . . . . . . 182.4.2 Les langages de modélisations de systèmes multi-physique à signaux mixtes 212.4.2.1 VHDL-AMS et Verilog-AMS . . . . . . . . . . . . . . . . . . . . . 222.4.2.2 SYSTEMC-AMS . . . . . . . . . . . . . . . . . . . . . . . . . . . . 232.4.2.3 Le langage MAST et l’outil Saber . . . . . . . . . . . . . . . . . 242.5 VHDL-AMS, un langage de modélisation multi-domaines . . . . . . . . 242.5.1 Représentation des grandeurs analogiques . . . . . . . . . . . . . . . . . . . 252.5.2 Prise en charge par le simulateur . . . . . . . . . . . . . . . . . . . . . . . . 262.5.3 Problème de discontinuité . . . . . . . . . . . . . . . . . . . . . . . . . . . . 272.5.4 Prise en compte des équations aux différentielles partielles . . . . . . . . . . 272.5.5 VHDL-AMS et la méthode de modélisation descendante . . . . . . . . . . . 282.5.6 Synthèse . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 282.5.6.1 Les apports de VHDL-AMS . . . . . . . . . . . . . . . . . . . . . . 282.5.6.2 Les limites de VHDL-AMS . . . . . . . . . . . . . . . . . . . . . . 292.5.7 Comparaison entre VHDL-AMS et Simulink . . . . . . . . . . . . . . . . . 292.5.8 Outils utilisés . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 305


CHAPITRE 2. ETAT <strong>DE</strong> L’ART2.6 Guide de modélisation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 312.7 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 326


CHAPITRE 2. ETAT <strong>DE</strong> L’ART2.1 IntroductionDans ce chapitre, nous allons nous intéresser dans un premier temps aux réseaux de capteurssans fil et à leurs applications. Dans un second temps, nous ferons un inventaire non exhaustif desdifférentes méthodes de modélisation des microsystèmes à signaux mixtes ainsi que de leurs environnementsde simulation. Nous nous intéresserons plus particulièrement à l’environnement MAT-LAB/Simulink. Cette approche, adoptée par notre groupe de recherches dans le cadre d’une autrethèse [18], présente des avantages et des limites que nous essayerons de dégager. Dans un troisièmetemps, nous dresserons un inventaire non exhaustif des possibilités et des inconvénients d’autresenvironnements à partir des travaux de la littérature pour enfin faire une première proposition destratégie tirant profit de l’ensemble de ces approches.2.2 Les réseaux de capteurs sans fils2.2.1 Définition et évolutionDurant ces dernières années, une nouvelle classe de réseaux a fait son apparition : les réseauxde capteurs sans fil communéments appelés WSN (Wireless Sensor Networks) ou plus rarementRCSF par la communauté francophone. Ces réseaux se composent de capteurs individuels, appelésnœuds du réseau 1 , capables d’interagir avec leur environnement en captant ou en contrôlant desparamètres physiques. Ces nœuds collaborent ensemble pour accomplir leurs tâches à travers unecommunication sans fil.La flexibilité des réseaux de capteurs a fait d’eux, ces dernières années, un domaine de rechercheet de développement très étendu. En effet, il n’existe pas une seule série de critères qui permet declairement classifier les WSN, ni une seule solution technique pour leur implémentation physique.Les technologies devant suivre le coût des exigences de déploiement, elles migrent vers des solutionsde plus en plus intégrées (voir la figure 2.1).Figure 2.1 – Evolution des WSN autonomes de 1 à 0.025 cm 3 (feuille de route de Fraunhofer IZM)[1]Cette évolution est une conséquence directe de trois facteurs [1] :1. les termes node et mote sont utilisés dans la littérature anglophone7


CHAPITRE 2. ETAT <strong>DE</strong> L’ART– Les progrès récents en intégration à très grande échelle (VLSI : Very Large Scale Integration),ont permis de développer des microcontrôleurs miniaturisés, à moindre coût et surtout à trèsfaible consommation,– les progrès dans le domaine de la RF (Radio Fréquence) en parallèle avec les technologiesCMOS (Complementary Metal Oxide Semi-conductor).– les technologies MEMS (MicroElectroMechanical Systems) permettent le développement decapteurs miniaturisés, à faible coût et basse puissance, pouvant être intégrés sur silicium.Cependant, le progrès dans les technologies des batteries ne suit pas le progrès dans ces différentsdomaines. En effet, l’accroissement des performances des capacités des batteries reste modeste encomparaison avec celles des composants électroniques comme on peut le constater sur la figure2.2. Cette figure représente l’évolution des performances des composants d’un ordinateur portable.Nous voyons que la densité de la mémoire a augmenté plus de 1200 fois depuis 1990 alors que celled’une batterie n’a augmenté que de 3 fois. En d’autres termes, pour améliorer l’autonomie dunœud, il est nécessaire d’augmenter la taille des batteries, ce qui est contradictoire avecl’intégration voulue pour un microsystème autonome. Cette limitation n’a fait qu’augmenterl’intérêt de plus en plus croissant aux WSN autonomes en énergie.Figure 2.2 – Comparaison entre l’évolution de plusieurs domaines de la microélectronique portableet des batteries [2]En vue d’une meilleure compréhension de leurs applications potentielles, nous dédions la sectionsuivante à un classement non exhaustif des différentes applications des WSN.2.2.2 Exemples d’applications des réseaux de capteurs sans filsPour plusieurs applications physiques, des technologies de capteurs appropriées pouvant êtreintégrées dans un WSN, existent déjà. Les plus populaires sont les mesures de la température, del’humidité, de la lumière visible et infrarouge, de l’acoustique, des vibrations (pour la détection desséïsmes), la pression, les capteurs chimiques, le stress mécanique et les capteurs magnétiques...8Nous détaillerons dans ce qui suit quelques unes de ces applications.


CHAPITRE 2. ETAT <strong>DE</strong> L’ART– La détection d’incendies : les nœuds sont équipés de thermomètres et peuvent déterminerleurs propres positions (absolue ou relative par rapport aux autres nœuds). Ces capteurs sontdéployés sur une forêt par exemple à partir d’un avion. Ils produisent collectivement "unecarte de température" de toute la surface couverte ou du périmètre des surfaces à hautetempérature. Des scénarios similaires sont utilisables pour le contrôle d’accidents dans lesindustries chimiques. Ces applications ont des points communs avec des applications militairesoù les capteurs doivent détecter par exemple les troupes ennemies. Pour ce genre d’application,le coût des capteurs doit être suffisamment faible pour pouvoir déployer un grand nombre denœuds.– Les analyses biomédicales et la surveillance médicale : dans ce volet, nous pouvonsciter la détection de cancer, la rétine artificielle [21], les taux de glucose, etc...– Le bâtiment : le secteur du bâtiment souffre d’une vaste perte d’énergie suite à une mauvaiseventilation, un mauvais usage d’air conditionné... C’est pourquoi, un meilleur contrôle dela température, de l’humidité, de la pression de l’air et d’autres paramètres physiques peutconsidérablement augmenter le niveau de confort des habitants. Les nœuds des WSN serontdonc utilisés pour contrôler tous ces paramètres. Ils peuvent de plus être déployés pour contrôlerles contraintes mécaniques dans les zones à haute activité sismique. En France, nous pouvonsciter le projet HOMES (Habitat et Bâtiment Optimisé, Maîtrise de l’Energie et Sécurité) pilotépar la société Schneider Electric.– Surveillance des machines industrielles et maintenance préventive : l’idée est defixer des capteurs sur des endroits difficiles d’accès. Le but est de détecter des vibrations quiindiquent le besoin de maintenance. L’avantage majeur des WSN se manifeste par l’absencede câblage nécessitant lui même une maintenance.Dans la plupart des applications citées ci-dessus, nous distinguons les nœuds sources de données(ceux qui captent les données) et les nœuds puits ou stations de base (ceux auxquels ces donnéessont transmises). Une classification possible des WSN se base sur la manière avec laquelle ces deuxtypes de nœuds interagissent. Cette classification fera l’objet de la section suivante.2.2.3 Types d’applications des réseaux de capteurs sans filsNous pouvons distinguer :– La détection d’évènements : les nœuds du réseau doivent reporter à la station de base à chaquefois qu’ils détectent un nouveau événement. Cet événement peut être simple, auquel cas il estdétecté localement par un seul nœud (par exemple la détection d’une température). D’autresévénements composites peuvent aussi être détectés (par exemple un gradient de températurequi devient très élevé). Dans ce dernier cas, la collaboration de plusieurs nœuds peut êtrerequise.– Les mesures périodiques : ces mesures sont effectuées à intervalles réguliers en fonction del’application.– Fonction d’approximation et de détection de contours : des phénomènes physiques tels que leschangements de température d’un endroit à un autre, sont considérés comme une fonction delocalisation. Les WSN peuvent alors être déployés pour extraire les caractéristiques spatialesd’un nombre limité d’échantillons prélevés à chaque nœud. Grâce à ces données récoltées,9


CHAPITRE 2. ETAT <strong>DE</strong> L’ARTune approximation de cette fonction inconnue est déterminée. Cette cartographie doit êtremise à la disposition de la station de base. Comment et quand effectuer la mise à jour decette cartographie dépend des besoins de l’application, ainsi que du compromis désiré entrela précision et la consommation d’énergie. De même, ce type de WSN peut être utilisé pourtrouver des domaines ou des points de la même valeur : par exemple les points isothermes d’unincendie de forêt dans le but de détecter les frontières de cet incendie. Cela peut être généraliséà la recherche de "bords" de ces fonctions ou à l’envoi de messages sur les limites des modèlesdans l’espace et/ou le temps [22].La source d’un événement peut être mobile (par exemple, un intrus dans des scénarios de surveillance).Le WSN peut être utilisé pour rendre compte des mises à jour des événements de lasource à la station de base, avec potentiellement des estimations sur la vitesse et la direction. Pource faire, le plus souvent les nœuds de capteurs coopèrent avant de reporter les mises à jour aunœud-puits.Nous pouvons d’ores et déjà noter que les besoins énergétiques des WSN sont étroitementliés à l’application. En effet, dans certaines applications, une alimentation câblé est possible, etles contraintes énergétiques sont d’importance moindre. D’un autre côté, l’approvisionnement enénergie pour les capteurs abandonnés est fonction du temps nécessaire pour la mission (quelquesjours d’utilisation seulement ou au contraire des années sans possibilité d’entretien).2.2.4 Caractéristiques communes aux réseaux de capteurs sans filsTenant compte de l’existence des différents types d’applications énumérés dans la section précédente,il n’est pas possible de réaliser un seul type de WSN. Toutefois, nous pouvons dégagerquelques caractéristiques communes :10– Qualité de service : communément notée QOS (Quality Of Service), cette propriété estintimement liée au type du service du réseau. Dans certains cas, la délivrance occasionnelled’un paquet peut être suffisante. Dans d’autres cas, des exigences fortes de fiabilité existent.Dans ce dernier cas, le pourcentage des paquets reçus n’est plus un critère suffisant : desconditions sur la quantité et la qualité de l’information reçue dans un temps donné doiventêtre remplies.– La tolérance aux fautes : la défaillance d’un nœud ne doit pas entraîner la défaillance duréseau.– La durée de vie : l’énergie est le critère le plus critique dans les réseaux de capteurssans fils. Ce point sera repris plus en détails tout au long de ce manuscrit.– La flexibilité : les nœuds doivent être capables non seulement de traiter les informations, maisaussi de changer les tâches durant l’opération en fonction de l’importance et de l’urgence destâches suivantes. Il doivent aussi être capables de changer leurs paramètres opérationnels etde choisir entre plusieurs compromis par exemple diminuer le QOS quand l’énergie disponibledevient critique. Afin de satisfaire toutes ces exigences, de nouveaux mécanismes doivent êtremis en place : les protocoles de routages.– Les protocoles de routages : les réseaux de capteurs sans fil doivent être capables des’organiser sans infrastructure définie préalablement et de construire des routes entre elles :c’est le rôle du protocole de routage. Cependant, plusieurs différences avec les MANET (Mobile


CHAPITRE 2. ETAT <strong>DE</strong> L’ARTAd-hoc NETworks) peuvent être détectées. Les MANET étant généralement utilisées pourdes applications conventionnelles (voix, web...), les contraintes en termes d’interaction avecl’environnement sont plus fortes. Un réseau de capteurs peut, quant à lui, alterner entre untrafic très lent (voire une inactivité pendant des mois) et une activité très élevée pendantquelques minutes. D’autre part, les contraintes en énergie étant plus fortes pour les WSN, lechoix du protocole de communications est très important dans les réseaux de capteurs sansfils. Etant un domaine à part, ce volet ne sera pas traité dans ce manuscrit.2.2.5 Architecture générale d’un nœud de réseau de capteurs sans filsUn noeud étant la brique constitutive d’un WSN, l’optimisation au niveau du réseau passeimpérativement par la gestion d’énergie entre les différents éléments le constituant dans un premiertemps puis par la manière dont il communique avec ses voisins dans un second temps.Comme nous l’avons déjà souligné, le nœud est soumis à un cahier des charges qui dépend de lanature de l’application visée. Généralement, il doit être petit (voire très petit), avec un coûtfaible (cette contrainte est plus au moins forte selon les applications) et doit surtoutêtre efficace en terme d’énergie.Pour pouvoir identifier les points clés dans la gestion d’énergie et développer par la suite desmodèles performants des différents blocs, nous devons comprendre le fonctionnement du nœud.Nous pouvons distinguer six parties :– Une source d’énergie : le problème de l’utilisation des batteries comme source d’énergie estque celles-ci limitent la vie du nœud. Une solution alternative capable d’assurer une véritablelongévité au nœud est la récupération de l’énergie environnementale; un secteur de recherchesen plein essor.– Un module de communication RF pour émettre et recevoir les informations à travers un canalsans fil,– Des capteurs : Ce sont des composants qui peuvent capter des paramètres physiques (température,accélération ...) et forment ainsi une interface physique par rapport à l’environnement.– l’interface de connexion analogique/numérique,– le module de contrôle d’énergie qui comprend un contrôleur pour le traitement des données,– et enfin une micro-batterie ou une supercapacité pour le stockage d’énergie.Une représentation structurelle d’un nœud de réseaux de capteurs est illustrée sur la figure 2.3.Le schéma peut être divisé en deux parties :– une partie responsable de la génération d’énergie : le microgénérateur permet la conversionde l’énergie environnementale en énergie électrique. Les convertisseurs de puissance (AC-DCconvertisseur de courant Alternatif/Continu et DC-DC (convertisseur de courant Continu/-Continu)) a pour rôle de gérer et de transférer cette énergie au réservoir d’énergie (une supercapacitéou une batterie). Un contrôleur de charge de très basse consommation surveille lefonctionnement de ces blocs, pour optimiser le transfert de l’énergie entre le générateur et leréservoir.11


CHAPITRE 2. ETAT <strong>DE</strong> L’ARTFigure 2.3 – Schéma d’un nœud de réseau de capteurs sans fil– une partie responsable des mesures, traitement et communication des données : c’est la partieprincipale qui réalise les tâches attribuées au nœud. Ces tâches consistent normalement àfaire des mesures avec les différents capteurs, traiter (filtrer, compresser etc...) des donnéesrésultantes avec un microcontrôleur et les transmettre en se servant de l’émetteur-récepteur.Si l’énergie disponible dans l’environnement n’est pas suffisante pour que le nœud entier puissefonctionner en continu, la partie mesures et communications peut être activée uniquementpendant une très courte période de temps pour minimiser la consommation en énergie.C’est sur la base de cette architecture que seront développés les prototypes virtuelsprésentés dans ce mémoire.2.2.6 Choix de l’architecture du nœudDans ce paragraphe, nous nous proposons de fixer l’architecture de chacun des sous-systèmes dunœud. Comme nous l’avons évoqué précédemment, l’architecture du nœud est étroitement liée àl’application. La totalité de l’énergie consommée est conditionnée par la quantité d’énergie délivréepar le générateur.Pour mieux illustrer ce concept, nous avons choisi deux exemples d’architectures de nœuds dédiéspour des applications différentes. Le premier est un démonstrateur réalisé dans le cadre duprojet européen VIBES (VIBration Energy Scavenging) [23]. Le microgénérateur utilisé pour letest délivre 1,6 µW sur une capacité de 470 µF (800 nA à 3 V en réponse à une accélérationde 1.25 g). Cette très faible puissance conditionne le choix du microcontrôleur, du capteur et dela partie RF. L’application visée par ce démonstrateur était la surveillance d’une machine industrielle(fraiseuse mécanique). Cet exemple sera repris plus en détails ultérieurement. D’ailleurs, cemicrogénérateur ne peut pas être utilisé pour alimenter un nœud gourmand en énergie comme uncapteur d’images. Notons aussi que le microcontrôleur utilisé (PIC16F676) n’est pas optimisé pourles applications à ultra faible puissance vu qu’il consomme jusqu’à 900 µW à 4 MHz alors qu’unmicrocontrôleur simple comme EM6607 de EM Microelectronics [24] (RISC de 4 bits opérant à12


CHAPITRE 2. ETAT <strong>DE</strong> L’ART32 kHz) ne consomme que 1,8 µA à 1,2 V en mode actif et 100 nA en mode veille. Sa version avecun convertisseur analogique-numérique consomme 9 µA à 2.6 V pendant une conversion.Le deuxième cas est un nœud capteur d’images étudié par [25], pour lequel les exigences énergétiquessont différentes. En effet, l’acquisition et la compression d’images sont très gourmandes enénergie et souvent l’acquisition d’images est plus gourmande que le calcul (ce qui est loin d’être lecas pour les capteurs de température par exemple).Se basant sur ces deux exemples, le tableau récapitulatif (2.1) est dressé pour démontrer la fortecorrélation entre l’application et le choix architectural du nœud, d’où l’importance de fixerl’application très tôt dans le flot de conception.ApplicationsCapteurCPUEmetteur/RécepteurNoeud d’un réseau de capteursd’images [25]Imageur CMOSLM9628120mWLe processeur S3C44BOXqq mWCC100072µw en mode veille34,65mW à 0dBm en modeTxNoeud d’un réseau de capteursde température [23]Capteur de températureMAX660717.6 µWLe microcontrôleurPIC16F6761,37 µW en mode veille900 µW à 4 MHzAM-RT4typiquement 8 mW en modeTx (transmission)Table 2.1 – Consommation des différents composants utilisés dans le nœud selon l’applicationMaintenant que le cadre applicatif est fixé et que les enjeux énergétiques sont mis en évidencedans le cadre des WSN, nous dédions la section suivante à un état de l’art le plus complet possible surles environnements utilisés aussi bien par les chercheurs que par les industriels dans la modélisationdes systèmes mixtes et multi-domaines. A la fin de la section, nous fixerons l’environnement retenupour notre application.2.3 Modélisation des systèmes mixtes et multi-domainesDans cette section, nous introduisons la notion de la modélisation en général puis dans le casparticulier des systèmes mixtes et multi-domaines.2.3.1 Définition d’un modèleEtymologiquement, le mot modèle tient ses racines du latin "modullus", diminutif de "modus"(mesure). Initialement, ce terme était utilisé dans le domaine de l’architecture pour désigner unemesure arbitraire afin d’établir les ratios entre les différentes parties d’un bâtiment en construction.Ensuite, il a été repris pour désigner toute activité de modélisation : un modèle peut être définicomme étant une description abstraite d’une réalité physique, cette description devant être utilisabledans le processus de conception.13


CHAPITRE 2. ETAT <strong>DE</strong> L’ARTLe caractère très généraliste de cette définition nous donne un avant goût sur "le monde de lamodélisation". En effet, chaque observateur du système, de part sa culture et de l’utilisation qu’ilveut faire du système, peut concevoir le modèle de ce dernier d’une manière différente des autresobservateurs et/ou concepteurs. Ainsi, le modèle sera une "image" (et non une copie) de l’originalqui répond à certaines questions préalablement définies par l’observateur.Pour illustrer cette idée, prenons un exemple très simple : celui du globe comme modèle trèsutilisé de la terre. Ce modèle, bien que fort utile pour un géographe ou pour un voyageur, estcomplètement inexploitable par un géologue qui cherche une réponse à la question : quelle est latempérature au fond si je creuse un trou de 100km de profondeur à la surface de la terre? De lamême manière, un modèle abstrait reprenant la fonctionnalité basique d’un composant physique esttrès utile pour comprendre le fonctionnement de celui-ci mais elle est insuffisante pour l’optimiser.Tout l’art de la modélisation est donc de poser les bonnes questions à priori afin d’avoir un premiermodèle exécutable et qu’on peut raffiner tout au long du processus de conception sans changer levecteur de test.2.3.2 Rôle d’un modèleLa principale vocation d’un modèle est généralement la validation des caractéristiques d’une certainepartie du système ou de tout l’ensemble, au niveau des fonctionnalités ou des performances[26]. C’est la définition de ces caractéristiques et fonctionnalités qui fixe le niveau de détail à implémenter.Ce niveau de détail est communément appelé par les concepteurs niveau d’abstraction.Une fois le niveau d’abstraction fixé par le concepteur et validé par l’ensemble des collaborateursdu projet, conformément au cahier des charges, la modélisation peut commencer. Ce modèle ne serapas valide en dehors du champ d’application préalablement fixé.En suivant une méthode de modélisation descendante (du niveau le plus abstrait au niveauphysique), les détails omis peuvent être implémentés afin de valider d’autres caractéristiques dusystème et/ou de l’optimiser.Un processus de modélisation vise à l’obtention d’un modèle final répondant aux besoins desimulation et de vérification. Le développement d’un système résulte donc de la mise en œuvre denombreuses étapes de modélisation inter-connectées. Ces étapes, fondées sur les exigences du cahierdes charges, convergent vers le prototypage virtuel puis réel du système lui-même.Il est donc clair que le choix d’un modèle n’est pas sans difficulté, puisque doivent être définisla précision, le domaine de validité et le point de vue selon lequel on souhaite approcher lesystème.L’application directe des lois de la physique est le plus souvent inopérante. La raison est quela mise en œuvre des lois physiques dans un environnement réel est très délicate et conduit à dessystèmes d’équations très vite inextricables. Cependant, ces équations fournissent des éléments deprincipe qui s’avèrent souvent des guides utiles. La simplification des équations fournies par ceslois de la physique donne lieu à des modèles dits comportementaux, simples mais plus au moinslimités (selon le niveau de détail implémenté) en performances notamment prédictives.L’approche par l’expérimentation s’avère dans certains cas plus immédiatement efficace car ellepeut apporter, dans un domaine d’utilisation restreint, des modèles directement utilisables. Nouspouvons citer à titre d’exemple l’approche tabulé ou LUT en anglais (Look Up Table) généralementprévilégiée dans la modélisation des batteries. Il faut toutefois rester très attentif au degré deconfiance et au domaine de validité de ce type de modèles.14


CHAPITRE 2. ETAT <strong>DE</strong> L’ARTSouvent, le compromis type est d’atteindre un maximum de fiabilité dans le processus de conception,avec un minimum de coût et de temps de conception. On doit s’assurer que les exigences sontclairement spécifiées et comprises de tous. Une des plus grandes causes d’un coût excessif est l’obligationde révision d’un système après sa fabrication pour en corriger les erreurs. En évitant cesextrêmes et en utilisant des outils améliorés pour le processus de conception, il est évident que lescoûts et les retards peuvent être maîtrisés [27].Cependant, la disponibilité des modèles représentatifs n’est pas la seule difficulté : en pratique,la simulation est assurée par un algorithme informatique spécifique lié au choix du langage danslequel il a été écrit et à l’outil utilisé.Ainsi la connaissance de la physique des mécanismes, est donc une condition nécessaire maisnon suffisante pour produire de bons modèles. Il faut aussi maîtriser les méthodes et les outils del’identification comportementale et avoir une connaissance informatique la plus approfondie possibledes langages et des formalismes de description.2.3.3 Le concept de réutilisationAvec des délais de conception de plus en plus courts et l’augmentation constante de la complexitédes systèmes à modéliser, il est essentiel d’optimiser au maximum les méthodes de travail.Il est notamment nécessaire d’intégrer dans le flot de conception le concept de réutilisation ouprototypage virtuel plus connu sous l’acronyme anglais IP (Intellectuel Property). Pour ceci, lesmodèles créés doivent être génériques et correctement documentés pour pouvoir être réutilisés dansun autre projet.La plupart des outils proposent un certain nombre de modèles pouvant être réutilisés en tant quebrique de base. Certains langages permettent l’automatisation de ce concept comme la possibilitéde définir des paquetages (packages) en VHDL. De plus, les modèles créés par l’utilisateur lui mêmedans le cadre d’un projet peuvent être réutilisés dans un autre projet.2.3.4 Définition d’un système mixteLa principale différence entre les systèmes numériques et analogiques est la représentation quiest faite des valeurs et du comportement par rapport au temps [3]. Ainsi, un système est numériques’il manipule et enregistre les informations quantifiées dans le temps en des valeurs discrètes.Celles-ci sont généralement exprimées par des équations booléennes logiques ou par des processuscommunicants et déclenchés par des évènements.Un système est analogique, si les informations manipulées ont leurs valeurs qui varient de façoncontinue dans le temps. Les DAE (Differential Algebraic Equation) sont souvent utilisées pourdécrire l’évolution des variables dans un tel système.Un système mixte est la combinaison de systèmes analogiques et numériques. Dans un tel système,les parties analogiques et numériques interagissent via des convertisseurs numérique-analogique etanalogique-numérique. Citons un système composé d’un capteur de température (grandeur analogique)dont la sortie va être échantillonnée (par un convertisseur analogique/numérique), puis traitéepar un ordinateur (traitement numérique), qui a son tour va commander un élément chauffant(grandeur analogique). Entre les deux étages du système sont intégrés des convertisseurs permettantl’interaction [28].15


CHAPITRE 2. ETAT <strong>DE</strong> L’ART2.3.5 Les flots de conception dans les domaines numérique et analogiqueLa méthode descendante (Top-down) part d’un modèle général et simple (contenant un minimumde détails sur l’implémentation physique) pour finir par spécifier les moindres détails du systèmeétudié. L’avantage principal de cette méthode est qu’elle nous permet de détecter les erreurs tôtdans le flot de conception et de retarder le choix des solutions techniques (choix de la technologieet de l’architecture). Ainsi, la modification de certains choix ne modifie pas les premières étapesde conception. De plus, cette méthode nous garantie la réutilisabilité de nos modèles et donc lamigration vers des technologies plus récentes contrairement aux modèles conçus selon la méthodeascendante (Bottom-up) qui sont difficilement réutilisables. Cependant pour la conception des blocsanalogiques et numériques de base (amplificateurs, portes logiques...), cette méthode est difficilementapplicable.De plus, les niveaux d’abstraction en analogique ne sont pas aussi bien définis qu’en numérique.En effet, le concepteur analogique, dans sa démarche de raffinement d’un niveau à un autre, doittenir compte aussi bien des contraintes de vitesse et de précision que des données dont il dispose.Cependant, par analogie avec le flot de conception digital, trois critères de classification sontsouvent considérés : la structure, la géométrie et la fonction. Les modèles fonctionnels (ou comportementaux)décrivent le fonctionnement du système sans se soucier ni de la façon avec laquellecelle-ci est physiquement implémentée (modèle physique ou géométrique), ni des composants dusystème (modèle structurel).La figure 2.4-(a) représente ces domaines par trois axes indépendants et les niveaux d’abstractionpar des cercles concentriques qui croisent les trois axes (avec les niveaux les plus abstraits àl’extérieur et les plus détaillés vers le centre). La figure 2.4-(b), quant à elle, montre un schéma en"Y" inspiré du flot numérique. Ce flot, initialement proposé par Gajski [29] et abondamment reprisdans la littérature, a été adapté au flot de conception analogique [3].Ces flots de conception en "Y", permettent aux concepteurs de modéliser le système de manièreindépendante de son architecture matérielle. Cette méthode, permet, par l’intermédiaire de transformations,de générer des simulations à des niveaux de précision (ou abstraction) de plus en plusimportants.(a)(b)Figure 2.4 – Les différents niveaux d’abstraction dans chacun des flots de conception (a)-numériqueet (b)-analogique [3]Avant d’étudier les langages de modélisation et les environnements de simulation, nous expliqueronsbrièvement dans la section suivante le principe de la simulation analogique. Ce principe sera16


CHAPITRE 2. ETAT <strong>DE</strong> L’ARTdécrit par une représentation fonctionnelle d’un simulateur électrique standard. Nous considéronsque la compréhension de cet algorithme de fonctionnement est précieuse au sein de toute activité demodélisation analogique (au sens multi-physique et non seulement électrique). En effet, elle permetde comprendre les causes de la non-convergence de la simulation et dans certains cas de l’éviter.2.3.6 La simulation des systèmes analogiquesUn circuit est formé de composants inter-connectés par des nœuds. La résolution des lois deKirchhoff en tension et en courant (et par extension en effort et en flux) ainsi que les modèlesde ces composants mènent à un système d’équations linéaires ou non linéaires, en statique ou endynamique selon le type de composant et le stimulus appliqué.La figure 2.5 représente un diagramme de la simulation électrique [4]. Un simulateur électriquestandard de deuxième génération est toujours construit à partir d’au moins quatre méthodes numériques: une méthode de formulation des équations, une méthode de résolution d’un systèmed’équations linéaires, une méthode d’intégration numérique et enfin une méthode d’analyse nonlinéaire.Plusieurs cas se présentent :– Si le système est linéaire, il est résolu par une méthode classique de résolution d’équationslinéaires (analyse nodale modifiée).– Dans le cas où les équations ne sont pas linéaires, elles sont linéarisées autour d’un pointde fonctionnement. Les différentes méthodes de linéarisation nécessitent plusieurs itérationsjusqu’à convergence des résultats. Pour chacune de ces itérations, le système, devenu linéaire,est alors résolu comme expliqué précédemment.– Dans le cas d’un circuit dynamique, une analyse transitoire peut être réalisée. Dans ce cas, unoutil d’intégration numérique permet de transformer les équations différentielles en une suiterécurrente donnant les valeurs à un instant t en fonction de la valeur du signal à l’instantt − 1. Dans ce cas, pour chaque pas de simulation, une résolution des systèmes d’équationsest réalisée prenant comme conditions initiales les résultats de la résolution numérique au pasprécédent. Cette résolution peut nécessiter plusieurs itérations si le système n’est pas linéairecomme expliqué précédemment (d’où l’existence de deux boucles dans le schéma).Les conditions initiales pour le premier pas de simulation sont déterminées grâce à une analysestatique (détermination du point de fonctionnement).– Dans le cas d’un circuit linéaire, une transformation des équations dans le domaine fréquentielpeut être réalisée : le simulateur exécute alors une analyse AC en résolvant un systèmed’équations linéaires avec comme inconnue la fréquence.Dans tous les cas, le principe de calcul se base sur des méthodes itératives pour résoudre unesuite récurrente. On définit alors l’erreur de troncature locale (LTE) comme l’erreur commise sur lasolution calculée y k+1 , assumant que toutes les précédentes solutions y k ,y k−1 ,y k−2 ,... sont exactes.La LTE est proportionnelle au pas de calcul.Après avoir étudié et fixé les étapes de la modélisation d’un système mixte et multidomaines, ila fallu sélectionner le langage et l’environnement de modélisation les mieux adaptés à nos besoinsparmi un large choix présent sur le marché. Cette sélection fera l’objet de la section suivante.17


CHAPITRE 2. ETAT <strong>DE</strong> L’ARTFigure 2.5 – Représentation fonctionnelle d’un simulateur électrique standard [4]2.4 Langages et environnements de simulations multi-physiques àsignaux mixtesLoin d’être exhaustive, cette section a pour vocation de recenser les principaux langages et environementsde simulations que nous avons préselectionnés comme candidats potentiels à la modélisationmultiphysiques de notre application.2.4.1 Environnement MATLAB/SimulinkL’environnement MATLAB/Simulink est connu par les automaticiens pour sa puissance de calculmatriciel et la flexibilité de modélisation qu’il offre grâce aux différentes boites d’outils intégrés(Simpower pour le domaine de l’électronique de puissance, Stixbox pour le domaine des statistiques...).Cette puissance de calcul permet de résoudre des problèmes numériques dans des tempsréduits.Une bibliothèque de modèles comportementaux a été développée dans le cadre d’une autre thèsedans notre équipe de recherche [18] pour certains composants. Ensuite, l’ensemble du système sur18


CHAPITRE 2. ETAT <strong>DE</strong> L’ARTpuce a été simulé avec MATLAB/Simulink et co-simulé avec SMASH de la société DolphinIntegration pour la partie contrôle. Cette co-simulation a été faite à travers des S-fonctions 2 (préférableà l’interaction par une interface maître/esclave comme c’est le cas avec l’outil Modelsimde Mentor-Graphics).Il est aussi à noter que les seuls composants électriques proposés par Simulink sont composantsde puissance de la Toolbox Simpower qui ne contient pas de modèles SPICE (Simulation Programwith Integrated Circuit Emphasis) des diodes et des transistors MOS. Afin de palier cette limite, unebibliothèque de composants utilisable directement par Simulink a dû être développée afin d’égalerles performances des modèles SPICE niveau 1 (Level 1). Ce travail a été réalisé avec des modèlesdiscrets (pas faibles de discrétisations de l’ordre de 10 −5 s).Par ailleurs, plusieurs problèmes de convergence ont été rencontrés au cours de cette thèse àdifférentes étapes du projet. C’est pour cette raison que nous avons jugé utile au début de mes travauxde thèse d’examiner les méthodes de résolution numérique utilisées par les solveurs Simulink.Cette étude entre autres, nous a permis de mieux examiner la candidature de MATLAB/Simulinkcomme environnement de simulation.– Solveurs MATLAB/SimulinkMATLAB est un langage interprété et donc peut être considérablement accéléré en utilisantdu code compilé. Cela est possible grâce à la boite à outils RTW (Real-Time-Workshop) mais restetoutefois restreint aux solveurs à pas fixe.Mathworks fournit un ensemble de solveurs à pas variable et paramétrable dont le but est derésoudre les problèmes modélisables sous forme de systèmes d’équations différentielles. Ces solveursdiffèrent entre MATLAB et Simulink. Une des causes de la non convergence des solveurs MAT-LAB est liée à la "raideur" (stiffness) de certains problèmes : un problème est considéré commeraide par Simulink si des variations rapides existent dans le système alors que la solution finalevarie plus lentement (par rapport à l’intervalle de simulation). Dans ce cas, les solveurs O<strong>DE</strong>45(utilisé par défaut par Simulink) ne convergent pas. O<strong>DE</strong>15s et O<strong>DE</strong>23s (qui utilise la formule deRosenbrock modifiée à l’ordre 2) sont alors recommandés.Ces solveurs à pas variables permettent d’optimiser le pas selon la vitesse des changements d’étatdu modèle. Le solveur ne marque une étape (passe au pas suivant) que si le modèle génère une sortie.La gestion des événements est synonyme de la gestion des ZC (Zero crossing) : les instants où seproduisent des singularités dans la simulation. Sous MATLAB, il faut écrire ses propres routinespour traiter la singularité. Par contre, sous Simulink, il suffit de sélectionner une option avec lapossibilité d’écrire des procédures de traitement des ZC sous forme de S-fonctions. En effet, le blocZC est intégré dans l’algorithme du solveur et particulièrement dans la gestion du pas qui en estmodifié. Avec RTW par contre, les résolutions se font par des solveurs à pas fixe uniquement.Une étude faite par des ingénieurs de PSA et d’Adersa [30] a permis de constater les différencesentre les solveurs MATLAB et Simulink. Pour pallier à ce problème, les auteurs ont proposé unoutil qui permet d’intervenir au niveau du code du solveur (code écrit en langage C) pour résoudreles problèmes de convergence. Pour ceci, ils ont développé un outil intégré à RTW qu’ils ont nomméVSS, générant du code C en proposant les mêmes fonctionnalités que Simulink. Le but est d’avoirun code source C des solveurs à pas variable pour intervenir dessus lorsqu’une résolution ne peut pasconverger. Cette approche permet d’avoir une simulation plus proche du comportement physique2. Ce sont des fonctions pouvant être incluses au sein de /Simulink. Elles sont écrites en Matlab ou dans unautre langage tels que le langage C, C++ ou Fortran.19


CHAPITRE 2. ETAT <strong>DE</strong> L’ARTmodélisé avec la gestion des événements et d’exécuter des simulations très lourdes à l’extérieur deSimulink afin de diminuer le temps de simulation.Une autre possibilité est offerte par les outils du commerce pour les co-simulations SPICE/ Simulink[31] dont le plus performant semble être SLPS de Cadence. Dans la section suivante, nousprésentons les apports d’un tel environnement de simulation en soulignant les avantages des deuxenvironnements et la façon avec laquelle ils se complètent pour pallier leurs problèmes spécifiques.– Co-simulation SPICE/SimulinkComme nous l’avons déjà souligné, Simulink est habituellement utilisé pour résoudre les problèmesdynamiques de l’automatique de contrôle et du traitement de signal. Par ailleurs, des tentativesont été faites pour étendre son utilisation à l’électronique de puissance et plus particulièrementaux convertisseurs DC-DC [32]. Simulink permet par ailleurs l’accès aux routines sophistiquéesfournies par les bibliothèques MATLAB. Cependant, l’un des problèmes souvent rencontrés dansla modélisation électrique par Simulink est celui des boucles algébriques. En effet, MATLAB, faitappel à des algorithmes spécifiques pour résoudre la boucle, aux prix parfois d’un ralentissementsignificatif de la simulation. Si toutefois il échoue, il faut introduire dans la boucle un élément quirompra "le cercle vicieux" [33]. Cette opération qui utilise un bloc mémoire (memory de la bibliothèquecontinuous), réclame une bonne connaissance du fonctionnement de Simulink. En effet,dans certains cas, l’ajout de ce bloc mémoire introduit une dynamique qui affecte les résultats [34].Une solution plus flexible est proposée par Cadence : l’outil SLPS [35] qui offre la possibilitéde faire des co-simulations SPICE/Simulink. Cet outil assure une communication dynamique entreSimulink et SPICE à travers les S-fonctions et n’est donc pas pénalisant en termes de performancede calcul. Son utilisation est intéressante dans la mesure où elle permet :– l’utilisation directe des modèles performants SPICE au lieu d’essayer de les égaler par leurshomologues en Simulink avec risque de perte en précision et limites structurelles dans le casdes circuits contenant un très grand nombre de transistors– l’accès complètement transparent au simulateur SPICE– de garder le même environnement MATLAB/Simulink avec la possibilité d’utiliser les fonctionsMATLAB pour accélérer la simulation.Dans la figure 2.6 est illustré un exemple d’utilisation de l’interface SLPS en électronique depuissance. Les circuits de puissance sont à la fois composés de circuits électriques, qui requièrentFigure 2.6 – Modèle du circuit de puissance sous Simulink utilisant l’interface SLPSune forte tension dans un temps court utilisant un dispositif de puissance, et de circuits de contrôle.Pour modéliser un circuit entier de ce type utilisant un simulateur électrique comme SPICE, nous20


CHAPITRE 2. ETAT <strong>DE</strong> L’ARTdevons exprimer toute la partie contrôle au niveau électrique. Le circuit devient par conséquentcompliqué; sachant que le temps de simulation et la probabilité pour avoir des erreurs de convergenceaugmentent avec le nombre de composants utilisés. D’un autre côté, si nous privilégions l’utilisationde MATLAB/Simulink, c’est plus facile d’écrire l’algorithme de contrôle sous forme numérique. Ilest toutefois difficile d’exprimer d’une manière précise les caractéristiques de montée et de descentedu composant de commutation ainsi que les caractéristiques électriques des inductances et destransformateurs. L’outil SLPS semble donc être un bon candidat pour les simulations mixtes etmulti-domaines en combinant les avantages offerts par SPICE et Simulink.– Limitations de l’approche MATLAB/SimulinkOutre les problèmes de convergence rencontrées au cours des travaux de [18], la méthode reposantsur cet environnement présente d’autres inconvénients.En effet, MATLAB/Simulink n’est pas adapté aux systèmes conservatifs car il n’est paspossible d’y définir des grandeurs physiques bidirectionnelles. Ce point sera détaillé au paragraphe2.5.7 où nous nous baserons sur un exemple pour comparer les deux méthodes ; conservative avecVHDL-AMS (Very High Speed Integrated Circuit Hardware Description Langage Analog and MixedSignals) et non conservative avec MATLAB/Simulink. Pour des raisons de clarté, nous avons choiside différer cette comparaison après la partie sur la présentation du langage VHDL-AMS (faite dansle paragraphe 2.5).De plus, l’utilisation d’un environnement d’outils dédiés tel que Simulink induit plusieurs inconvénients.D’abord, nos modèles seront par définition non portables et ne pourront donc pas êtreutilisables en dehors de cet environnement. De plus, l’acquisition de ces outils est assez onéreusemême avec des licences académiques. C’est pourquoi, nous avons décidé de restreindre notre champd’investigation sur les langages de modélisation. En effet, ces derniers présentent l’avantage de nouspermettre de fournir des modèles sous forme de code source (texte ou compilé) qui seront parconséquent réutilisables avec d’autres outils.2.4.2 Les langages de modélisations de systèmes multi-physique à signaux mixtesPendant longtemps, la CAO (Conception Assistée par Ordinateur) pour les microsystèmes aété associée à la modélisation par élements finis ou FEM (Finite Element Modelling). En dépitdes grands progrès notés dans ce domaine, le concepteur utilisant cette méthode de modélisationdoit séparer la simulation de la partie mécanique de celle de la partie électrique. De plus, une telleprocédure de modélisation doit souvent être reprise dès le début pratiquement pour chaque nouveauprojet.Pour pallier ces problèmes, des langages de modélisations pour systèmes multi-physique et signauxmixtes ont vu le jour. Un des besoins principaux auxquels ces langages tentent de répondre estde fournir des modèles "simulables" de systèmes complexes comprenant toutes les parties quelquesoit le domaine de la physique étudié et quelque soit la nature de signaux (discrète ou continue) [36].En fait, la flexibilité offerte par ces langages permet la création de modèles réutilisables à différentsniveaux d’abstraction avec un minimum d’effort de modélisation (et donc un moindre coût) : d’uncôté, elle permet aux utilisateurs non spécialistes de maintenir une bibliothèque de modèles abstraits.D’un autre côté, elle offre la possibilité aux concepteurs de se concentrer sur les composantscritiques en utilisant un modèle global dans lequel seuls les composants critiques seront représentésau niveau physique (le reste du circuit sera maintenu à un niveau d’abstraction supérieur).21


CHAPITRE 2. ETAT <strong>DE</strong> L’ART2.4.2.1 VHDL-AMS et Verilog-AMSLes deux principaux langages de modélisation mixte multi-domaines sont aujourd’hui Verilog-AMS et VHDL-AMS. Ce sont des extensions analogiques et mixtes (AMS- Analog and Mixed Signal)issus respectivement de Verilog (1998) et de VHDL (1999). Comme leurs noms l’indiquent, ces deuxlangages permettent de traiter indifféremment des modélisations logiques, analogiques ou mixtes ausein d’un même système.Verilog-AMS a été créé sous la tutelle d’Accellera (Organisation de standards EDA) afin demettre en place les extensions analogiques et mixtes de Verilog (IEEE-1364). Tout comme VHDL-AMS, il est utilisable aussi bien pour des systèmes électriques que non électriques. Les deux langagespermettent aussi de faire des descriptions de systèmes, en utilisant des concepts comme des nœuds,des branches, et des ports. Les signaux de type analogique et numérique peuvent être présents dansle même module. En revanche, Verilog-AMS n’est pas un standard IEEE contrairement à VHDL-AMS. La richesse de ces deux langages et les méthodes d’instanciation de composants en font desoutils permettant d’aborder la modélisation sous plusieurs angles et d’atteindre plusieurs niveauxd’abstraction, en fonction des besoins du concepteur [37].Pour une comparaison méticuleuse entre les deux langages, le lecteur est invité à se reporterà [26]. Nous nous restreindrons à évoquer les principales raisons qui ont orienté notre choix versl’utilisation du langage standard VHDL-AMS. Le tableau 2.2 résume les caractéristiques des deuxlangages, que nous avons jugées comme étant les plus significatives.Deux raisons ont toutefois particulièrement influencé notre choix :22– Le séquençage des équations est une tâche transparente en VHDL-AMS grâce aux équationssimultanées fournies par le langage. En revanche, Veriolg-AMS contraint le concepteur à utiliserdes équations séquentielles dans les blocs analogiques.– VHDL-AMS permet l’utilisation des variables intermédiaires nommées "free quantities" (encontraste avec les quantités "branch" qui sont reliées aux nœuds). Cette dernière caractéristiqueest d’une grande utilité lors de la modélisation des circuits complexes avec des descriptionsmathématiques compliquées. Inversement, les inconnues en Verilog-AMS doivent être toutesassociées à des nœuds. Par conséquent, le concepteur en Verilog-AMS se voit contraint d’ajouterun nœud fictif pour chaque variable intermédiaire ce qui augmente considérablement lacomplexité du circuit. Cette dernière caractéristique renforce l’approche circuit dulangage mais induit une perte en termes de degré de liberté comparé à la modélisationVHDL-AMS avec des DAE.


CHAPITRE 2. ETAT <strong>DE</strong> L’ARTFonctionnalité VHDL-AMS Verilog-AMSHéritage Origine ADA Sémantique proche du langageCModularité Entité active, architecture paquetage, configurationsimple ou multipleGénéricité Oui OuiGestion des bibliothèques Théoriquement oui (unité de Nonconception pré-compilée)InstructionsConcurrentes, séquentielles etcontinuesConcurrentes, séquentielles etcontinues (avec la restrictionque les instructions continuesdoivent être comprises dansun bloc analogique : une parmodule).Expression des DAE Support des formes impliciteset explicites, support de la dérivéeet de l’intégrationSupport explicite des équationset limité pour les formesimplicites et support de la dérivationddt (un seul ordre).Gestion de la discontinuité Annoncées explicitement Annoncées explicitement.Formulation de la sémantiquepartie conservativeSous forme d’équations simultanéesOrienté circuit avec source etsonde de mesure.Formulation de la sémantiquepartie flot de signalQuantité libre unidirectionnelledans le port mapPort d’un module associé à ladiscipline signal-flow.ToléranceAnnotation avec chaîne decaractère non formellementliée au simulateur ("tolerancegroup"Tolérance de type SPICE définiedans les natures.Table 2.2 – Comparaison entre les deux langages VHDL-AMS et Verilog-AMS2.4.2.2 SYSTEMC-AMSSystemC est un ensemble de bibliothèques "open source" de classes écrites en C++ qui permettentde modéliser au niveau système un système numérique sur puce et de vérifier que tousles composants de natures différentes communiquent correctement entre eux [38]. Ce langage estlargement utilisé pour la modélisation des composants numériques et logiciels.SystemC-AMS est une extension de SystemC [39]. Elle permet en plus de modéliser des composantsanalogiques [40]. La version courante de SystemC-AMS est optimisée pour les applicationsdominées par le traitement de signal. En théorie, ce langage permet la modélisation des systèmesconservatifs et non conservatifs (orientés flot de signal) avec des équations dynamiques et statiquesnon linéaires. En pratique, seules quelques expériences pour étendre son utilisation à des systèmesconservatifs avec des DAE non linéaires ont été proposées à ce jour [40].23


CHAPITRE 2. ETAT <strong>DE</strong> L’ART2.4.2.3 Le langage MAST et l’outil SaberSaber [41] est un outil développé par la société Synopsys et orienté vers la conception système.Il offre la possibilité de faire des simulations de signaux et technologies mixtes, grâce à l’existencede passerelles avec d’autres outils.Les algorithmes de simulation de Saber, fournissent une capacité de convergence qui permet àl’utilisateur d’arrêter et de relancer la simulation pour regarder les résultats intermédiaires et/ouchanger certains paramètres des composants sans quitter l’environnement de simulation. Tous lesmodèles (numériques, analogiques et mixtes) de la bibliothèque Saber standard sont codés enlangage MAST. L’inconvénient majeur de cet outil, par rapport à notre vision de plate-forme coopérative,est son langage propriétaire qui ralentit sa diffusion [27].2.5 VHDL-AMS, un langage de modélisation multi-domainesDans cette section, nous exposons une première étude des potentialités du langage VHDL-AMSpour la simulation des systèmes mixtes par rapport à d’autres méthodes mises en œuvre dansl’équipe MNS (comportementales ou fonctionnelles développées sous MATLAB/Simulink). Dansun premier temps, nous présenterons le langage d’une façon très sommaire en fonction de nosbesoins. Ensuite, nous mettrons en avant ses apports et ses limites avec les solutions proposées dansla littérature pour pallier ces problèmes.VHDL-AMS est une norme (IEEE 1076.1999). La grande force de ce langage est de permettre lasimulation mixte en autorisant aussi bien les modélisations à temps continu (domaine des systèmesanalogiques) qu’à évènements discrets (circuits logiques) ou encore en mélangeant les deux. A cetteflexibilité d’emploi s’ajoute la possibilité pour les concepteurs d’aborder leurs modèlesà différents niveaux d’abstraction. En effet, VHDL-AMS propose des mécanismes permettantde gérer aussi bien les abstractions comportementales que structurelles.Au niveau comportemental, c’est la fonction réalisée par le système qui est analytiquementmodélisée et non sa physique. En revanche, au niveau structurel, le système est divisé en sousensemblesqui peuvent eux-mêmes être modélisés au moyen de différentes abstractions (concepthérité de la modélisation numérique en VHDL comme expliqué dans 2.3.5). Les abstractions detype "work-flow" permettent quant à elles d’enchaîner des blocs fonctionnels dont les entrées n’ontpas d’influence sur les sorties des blocs précédents.VHDL-AMS offre des apports significatifs à la modélisation comportementale. En effet, un systèmecontinu peut être décrit à l’aide d’équations algébriques et différentielles et d’instructionssimultanées. Ces instructions simultanées expriment formellement les DAE qui déterminent les valeursdes quantités du modèle. En plus de la quantité numérique (signal), déjà disponible sous VHDLet utilisée pour décrire un système discret, des quantités implicites représentant respectivement ladérivée et l’intégrale (“Q ′ dot” et “Q ′ integer”) expriment le comportement dynamique des quantitésanalogiques explicites associées.En terme de transmission de données, VHDL-AMS supporte aussi bien les systèmesconservatifs que les systèmes non conservatifs pour représenter le flot de données d’unsystème par les signaux.24


CHAPITRE 2. ETAT <strong>DE</strong> L’ART2.5.1 Représentation des grandeurs analogiquesVHDL-AMS permet de représenter les grandeurs analogiques grâce aux nouveaux concepts introduitscomme les bornes (“terminal”) pour représenter les nœuds analogiques pour lesquels leslois de conservation de l’énergie doivent s’appliquer. Ces bornes sont relatives au domaine dela physique étudié et sont définies dans des paquetages spécifiques : par exemple le paquetage"electrical_systems" définit la nature électrique.Le langage permet de représenter les grandeurs analogiques inconnues des DAE sous forme designaux analogiques appelés "quantity" qui peuvent être soit de type standard soit un sous-typed’une nature précédemment définie ("voltage" ou "current" de la nature "electrical" par exemple).Ces quantités peuvent être soit libres (intermédiaires de calcul), soit liées à des bornes. On définitaussi "through" pour les flux (ou les quantités intensives) et "across" pour l’effort (ou les quantitésextensives) selon le tableau 2.3.Domaines Effort (across) Flux (through)Electrique Tension CourantThermique Température PuissanceMécanique (linéaire) Position ForceMécanique (rotation) Vitesse angulaire CoupleMagnétique Force magnétique Flux magnétiqueHydraulique Pression Flux (débit)Radiatif Dose PhotocourantCalorimètrie Tri-stimuli Réfléctance, transmittanceTable 2.3 – Flux et efforts relatifs aux différents domaines [19]Les grandeurs analogiques "quantity", contrairement aux signaux en VHDL sont définies entemps continu et non en discret.Pour résoudre les équations différentielles, le simulateur VHDL-AMS tente, d’un point de vueutilisateur, simplement d’égaliser les deux parties d’une équation. Une condition nécessaire de solvabilitédans VHDL-AMS est d’avoir autant d’inconnues (quantités flux de données ("through"),libres et d’interface de sortie) que d’équations. Le langage définit une équation implicite pour chaquequantité.Compter des équations et des inconnues dans une représentation hiérarchique et multi-abstractionspeut vite s’avérer difficile et source d’erreurs. La norme nous propose un modèle de déterminationlocale des bonnes conditions de solvabilité. Chaque entité pourra être vérifiée par le concepteur etpar le simulateur indépendamment du système dans lequel elle sera utilisée. En cas de non-respect dece critère, le compilateur (détermination statique) ou le simulateur (détermination dynamique) refuserontde travailler et de tenter de donner un résultat. Il suffit de déterminer, d’une part, le nombred’équations simultanées valides en un ASP (Analog Signal Point), et d’autre part, le nombre cumulédes quantités de ports en mode "out", de quantités libres et de quantités de flux ("through")diminué du nombre de quantités en mode "out" des instanciations locales. La simple égalité de cesdeux valeurs permettra d’assurer la solvabilité du modèle.Cette règle de solvabilité à première vue simple, est en réalité sévère. En effet, il n’est pas toujoursévident de trouver les inconnues (quantités) manquants quand le modèle ne satisfait pas la conditionde solvabilité surtout pour des modèles à 100 quantités ou plus.25


CHAPITRE 2. ETAT <strong>DE</strong> L’ARTCe langage nous permet aussi de dériver par rapport au temps (l’opérateur de dérivation “’dot”étant cascadable). La modélisation des délais de propagation ou de conservation est aussi possiblegrâce à l’opérateur "delayed". Les fonctions de transfert sont définies aussi bien en continu(transformé de Laplace "’ltf ") qu’en discret ("’ztf" pour les systèmes échantillonnés). La quantité"S’ramp(tr,tf)" par exemple permet de transcrire de façon réaliste les signaux numériques dans lemonde analogique.Pour mieux comprendre ces éléments de base de la modélisation analogique que nous reprendronsplus tard, prenons un exemple très simple : un modèle d’une résistance électrique avec une capacitéparasite écrit en VHDL-AMS et illustré sur la figure 2.7.Quantités fluxInstructions simultanéesFigure 2.7 – Exemple écrit en VHDL-AMS : modèle d’une résistance avec capacité parasiteDans cet exemple, le calcul de la tension aux bornes de la résistance se fait grâce à deux instructionssimultanées qui correspondent aux deux quantités flux utilisées. La condition de solvabilitéest donc remplie. L’attribut "’dot" a été utilisé pour calculer la dérivée de la tension aux bornes dela capacité parasite. 32.5.2 Prise en charge par le simulateurPour VHDL-AMS, deux simulateurs cohabitent, un analogique (solveur) et l’autre numérique. Lesimulateur analogique, après résolution des équations différentielles (à travers des calculs matricielsd’ordre élevé régis par les instructions concurrentes) produit des ASP synonymes de convergence.Le simulateur numérique produit des événements à partir des équations logiques régies par desinstructions concurrentes : c’est à dire des instructions qui permettent de modéliser le comportementd’un composant sous la forme d’évènements qui peuvent s’exécuter de manière asynchrone.Les noyaux de simulation ne créent pas forcément les résultats au même instant. Le langagepropose un mécanisme de forçage de calcul de point analogique pour l’apparition d’un événement(et la production d’un événement par comparaison d’une quantité avec un seuil [42]). Ce point seratraité plus en détails dans le paragraphe 2.5.3.Pour l’action du noyau numérique sur le solveur analogique, un événement sur un signal doitpouvoir provoquer une évaluation analogique. Comme le pas de simulation analogique est variable,on n’a aucune garantie que lors de l’événement numérique il y ait un événement analogique. Il faut3. Notons que l’attribut "’dot" pouvant être cascadé théoriquement, n’est pas accepté par les outils que nous avonstesté, il faut donc passer par les variables intermédiaires pour définir une accélération par exemple.26


CHAPITRE 2. ETAT <strong>DE</strong> L’ARTdonc forcer le calcul d’un ASP au moment d’un événement. L’instruction BREAK est la solutionproposée par VHDL-AMS. L’instruction force le calcul d’un ASP à chaque signal S "break on signal".L’action du noyau analogique sur le noyau numérique est réalisée en fabriquant un événementà partir d’une quantité. L’attribut "quantity"’above(seuil) fabrique un signal booléen qui changed’état lorsque quantity croise "seuil". Quand quantity est supérieure à cette valeur, le signal résultantest vrai [43].Les domaines de simulation peuvent aussi être précisés (analyse fréquentielle, recherche du pointde fonctionnement ou analyse temporelle) au moment de la simulation.Les algorithmes numériques utilisés par les solveurs analogiques peuvent seulement trouver uneapproximation de la solution exacte. Les tolérances sont utilisées dans VHDL-AMS pour déterminerle "niveau" d’exactitude d’une solution. Chaque quantité et chaque instruction concurrenteappartient à un groupe de tolérances indiqué dans une structure de données. Cependant le langagene définit pas comment les outils classent les groupes de tolérance. D’ailleurs, ce dernier point estl’un des critères que nous avons considéré dans le choix de l’outil que nous utiliserons pour nossimulations (voir la section 2.5.8).2.5.3 Problème de discontinuitéLors de la modélisation des systèmes mixtes, nous avons besoin de représenter parfois des discontinuités.Cela se produit plus particulièrement lorsqu’un processus (process) représentant la partiedigitale, change les conditions de fonctionnement de la partie analogique. En cas de discontinuitédes quantités ou de leurs dérivées, l’algorithme de résolution peut diverger ou du moins la convergenceest plus tardive. Par conséquent, des résultats incorrects risquent de se produire juste après ladiscontinuité : c’est souvent le cas quand la quantité est discrétisée dans un processus. La solutionconsiste alors à réduire le pas de simulation autour de la discontinuité avec l’instruction "break"suivie de la quantité subissant une discontinuité.2.5.4 Prise en compte des équations aux différentielles partiellesLa norme VHDL-AMS offre la possibilité de résoudre les DAE mais pas les P<strong>DE</strong> (Partial DifferencialEquations) vu que l’opération de dérivation n’est permise que par rapport à la variabletemporelle. Cela limite la précision de la modélisation des blocs incluant des effets physiques àconstantes réparties.Pour étendre l’utilisation de VHDL-AMS à la résolution des P<strong>DE</strong>, une solution générique estproposée dans la littérature [44] et [45] : l’auteur présente une méthode sous forme de tutorial pourcontourner cette limitation du langage. L’idée est de transformer les P<strong>DE</strong> en un ensemble de DAE enutilisant la technique de réduction d’ordre des modèles plus connue sous l’acronyme ROM (ReducedOrders Models). Ceci peut être réalisé par la discrétisation spatiale des P<strong>DE</strong>. Ainsi les équationsrésultantes peuvent être traitées par n’importe quel simulateur VHDL-AMS (ils supportent tous ladérivation temporelle).Le langage offre aussi la possibilité de transformer une série de P<strong>DE</strong> en un très grand nombre deDAE par la primitive "generate" à condition que celle-ci soit implémenté dans l’outil de simulation.Notons que selon cette méthode, les dérivées de courant et de tension doivent être calculées endehors de la boucle "generate" car les dérivées ne sont pas permises dans celle-ci. Cette restrictioncomplique la modélisation. En effet, cette approche a été vérifiée dans un cas relativement simple27


CHAPITRE 2. ETAT <strong>DE</strong> L’ARTà une dimension et son application à des cas plus complexes (2D ou 3D) impliquerait une écritured’un code peu compacte.Dans le même contexte, des travaux ont été publiés par [46] où il est proposé de remédier à ceproblème par la réduction paramétrique des modèles à éléments finis. Cette méthode, a permis demettre en équations un système comportant des non linéarités puis d’exporter les ROM résultantsau simulateur VHDL-AMS. Le système considéré est composé d’un capteur comprenant huit circuitsrésonnants (masse/ressort), d’un microcontrôleur, d’un FPGA et d’un processeur de signalanalogique. L’export des ROM en VHDL-AMS est obtenu en deux étapes. La première consiste àgénérer un modèle d’initialisation contenant toutes les informations nécessaires du modèle (coefficientspolynomiaux et ordres) grâce à un simulateur FEM. La dernière étape repose sur la générationautomatique du code source VHDL-AMS. Le modèle a été simulé et comparé au modèle abstrait.Grâce à cette approche, il a été montré que l’erreur de simulation a été réduite de 10% à 1% ([47]).En conclusion cette méthode alternative à l’utilisation des équations aux dérivées partielles bienque précise reste peu compacte et parfois lourde en simulation.2.5.5 VHDL-AMS et la méthode de modélisation descendanteVHDL-AMS présente l’avantage de pouvoir être utilisé sur une bonne partie du flot, et nonpas sur tout le flot, car le domaine de la synthèse analogique, contrairement à celui de la synthèsenumérique n’est pas encore assez mature. Cependant, des paramètres physiques reliés à la technologiepeuvent être précisés dans la partie générique de l’entité VHDL et utilisés dans la résolution deséquations différentielles dans le corps du modèle. Cette flexibilité offerte par le langage permetd’écrire aussi bien des modèles fonctionnels que des modèles proches de la réalitéphysique et contenant des informations sur les dimensions et les matériaux utilisés.Ces modèles prédictifs permettront aussi bien de prévoir le fonctionnement du systèmeque d’optimiser ses performances.2.5.6 SynthèseDans ce paragraphe, nous essayons de synthétiser à partir de l’étude précédente les principauxapports et limitations du langage VHDL-AMS.2.5.6.1 Les apports de VHDL-AMS28VHDL-AMS présente les avantages suivants :– C’est une norme IEEE 1076.1-1999.– Les modèles sont réutilisables (Modularité, bibliothèques (unités pré-compilées)),– Les modèles sont multidisciplinaires (paquetages, ports conservatifs et non conservatifs, continuset non continus).– Il offre des instructions simultanées et concurrentes.– Il permet d’écrire des équations implicites et explicites supportées,– Il offre des formulations procédurales et simultanées,


CHAPITRE 2. ETAT <strong>DE</strong> L’ART– La possibilité de décrire des comportements continus par morceaux est offerte. (PWL pourPieceWise Linear),– L’initialisation par l’utilisateur en cas de discontinuité est possible.– Le langage définit une Sémantique de conservation d’énergie (dans la déclarationdes quantités, la direction du flot est définie).– Il offre des transformations de Fourier, de Laplace (’LTF) et transformé en Z (’ZTF).– L’interaction entre les interfaces analogiques et numériques est permise aussi bien que celleentre signaux discrets et signaux continus.2.5.6.2 Les limites de VHDL-AMSEn contre partie, le langage a les limites suivantes :– La portabilité des modèles VHDL-AMS d’un environement à un autre. Par exemple, la normene définit pas comment les tolérances doivent être définies. Par conséquent, les simulateursutilisent alors leurs propres tolérances configurées dans l’environnement de l’outil. Cette configurationn’étant pas normalisée pour tous les simulateurs, elle peut causer des différencessensibles en termes de performances temporelles.– Les outils ne supportent pas complètement la norme. Par exemple, les quantités complexes nesont pas supportées par l’outil AdvanceMS. Cette primitive est en revanche supportée parl’outil SMASH grâce à la définition du type enregistrement (record en anglais). Ce point serarepris dans le paragraphe 3.3.3. Néamoins, ce dernier outil ne supporte pas d’autres primitivesdéfinies par la norme comme l’instruction simultannée "procedural" [48]. Vu l’importancepratique de ce point, nous avons resérvé le paragraphe 2.5.8 pour recenser les avantages et lesinconvénients que nous avons jugés importants, des outils les plus utilisés dans le commerceparmi ceux qui supportent VHDL-AMS.– La modélisation géométrique est compliquée. En effet, la dérivation est seulement permise parrapport au temps. A priori résoudre les P<strong>DE</strong> n’est pas supporté par la norme mais des solutionsalternatives existent (voir la section 2.5.4),– La condition de solvabilité est sévère : à chaque pas de temps, il faut s’assurer que le nombred’équations est égal au nombre d’inconnus. Sur le plan pratique, cette condition se traduit parle fait que le nombre d’équations simultanées doit être égal au nombre de quantités throughaugmenté du nombre de quantités "free" et du nombre de quantités d’interface en mode out.Ce critère est d’autant plus difficile à respecter que le nombre des quantités est grand.2.5.7 Comparaison entre VHDL-AMS et SimulinkUne comparaison intéressante a été faite par [49]. L’auteur décrit deux approches modulairesmathématiquement équivalentes pour étudier un actionneur piézoélectrique. Selon la première approche,dite de couplage, les équations résultent d’une écriture directe des équations de conservationde l’énergie et de continuité entre la partie métallique et la partie piézoélectrique (pour l’interface).Ces équations sont simplement écrites au sein du code VHDL-AMS.29


CHAPITRE 2. ETAT <strong>DE</strong> L’ARTLa deuxième approche repose sur l’utilisation de MATLAB/Simulink. Ce dernier, ne pouvantpas représenter les quantités unidirectionnelles, chaque quantité est considérée comme une superpositionde deux quantités unidirectionnelles se propageant dans des directions contraires. Pour lesconditions limites, il a fallu découpler les équations physiques formellement introduites dans l’approchecouplée. En définitif, cette approche favorise l’utilisation de VHDL-AMS où l’écriture ducode est intuitive par rapport au modèle structurel compliqué de Simulink. Au niveau de la simulation,la forme d’onde générée par Simulink diverge. Pour des pas d’échantillonnage plus petits,la convergence a été améliorée mais le temps de simulation a par conséquent augmenté.Cependant, il est à noter que lors de cette étude la modélisation Simulink a été exclusivementstructurelle. En effet, l’approche faisant appel à des "Embedded MATLAB Functions" 4 . n’a pasété utilisée. Pour toutes les raisons évoquées ci-dessus, nous avons sélectionné le langage VHDL-AMS comme le meilleur langage disponible qui répond aux exigences de notre application. L’étapesuivante a été de fixer un environement unique de simulation. C’est l’objet de la section 2.5.8.2.5.8 Outils utilisésIl existe dans le commerce plusieurs outils qui supportent ce langage. Cependant, les fabricantsd’outils logiciels ne réalisent pas des implémentations totalement conformes à la norme : les instructionsne correspondent pas au 100% de ce qui était prévu par le standard. De plus, certainesinstructions ne sont pas opérationnelles dans les simulateurs disponibles sur le marché. Parmi ceuxci,nous citons :– SMASH développé par Dolphin intégration [50],– AdvanceMS développé par Mentor Graphics [51],– SystemVision développé par Mentor Graphics [52],– SIMPLORER développé par ANSOFT [53],– Saber de chez Synopsys [41].Pour une étude comparative entre certains de ces outils, le lecteur est prié de se référer à [27].Cette étude comparative, bien que datant de 2005, reste une référence pour les caractéristiques desdifférents outils.Par ailleurs, trois outils ont été testés au début de cette thèse : deux d’entre eux (AdvanceMSde Mentor Graphics et SMASH de Dolphin Integration), disponible au CIME-NANOTECH 5 enversion complète. Le troisième (Simplorer de Ansoft) a été testé uniquement en version gratuiteen raison de sa licence onéreuse. Une étude comparative des trois outils est résumée dans le tableau2.4.4. Blocs ayant pour rôle d’intégrer une fonction MATLAB au sein de Simulink5. CENTRE Interuniversitaire de MicroElectronique et NANOTECHnologies30


CHAPITRE 2. ETAT <strong>DE</strong> L’ARTSimplorer_7.0 AdvanceMS_2005.3 SMASH_6.2– Supporte les instructions"procedural" et "break"dans une forme évoluée,– Ne supporte pas ARRAY(quantités vectorielles).– Ne supporte pas "procedural","quantity" dans lesports, le "break" évolué.– Message d’erreurs difficilesà déboguer liés à la compilationen C++ (mais gérablesavec l’expérience),– Ne supporte pas la philosophiede bibliothèques définiedans la norme.– Problèmes graphiques.Table 2.4 – Etude comparative entre quelques outils supportant le langage VHDL-AMSNous avons privilégié l’utilisation de SMASH de la société Dolphin Integration pour deuxprincipales raisons :D’abord, l’outil propose une connexion directe avec les netlists SPICE. Cette connexion se faitavec le même noyau de simulation évitant ainsi des co-simulations souvent très longues. Notonstoutefois que d’autres outils comme ADVANCE-MS offrent cette possibilité.Ensuite, l’avantage principal de cet outil est le fait qu’il permet l’utilisation de la plupart descaractéristiques offertes par le langage spécialement le support des "tolérances d’erreurs" [50]. Ainsi,un groupe de tolérance est utilisé par le simulateur pour déterminer la précision avec laquelle lesquantités analogiques doivent être calculées [3]. L’importance de cette notion a souvent été soulignéepar les concepteurs lors de la modélisation des MEMS [54]. L’utilisateur ou le concepteur, outre lapossibilité d’utiliser directement les groupes de tolérances fournis par l’outil, peut définir ses propresgroupes de tolérance en fonction de ses besoins en termes de précision et de temps de calcul. Cettecaractéristique est par définition dépendante de l’outil. C’est pourquoi, une implémentation aussicomplète que possible de ce formalisme proposé par le langage est essentielle dans les simulationsmulti-domaines, afin d’éviter les problèmes de convergence (comme expliqué dans la section 2.5.2).L’utilisation des tolérances dites "globales" comme celles utilisées dans le langage SPICE (relativeset absolues) pour toutes les quantités peut causer la divergence des algorithmes de simulation.L’utilisation judicieuse des groupes de tolérance permet de mieux contrôler la simulation. En effet,il ne s’agit pas d’utiliser une tolérance de l’ordre des dizaines de nanos pour un faible courant del’ordre des micros. En fait, il est généralement conseillé d’utiliser des valeurs de tolérances d’environ8 ordres de grandeur plus faible par rapport à la valeur maximale de la quantité utilisée. Enfin,l’analyse statistique Monte-Carlo des variations des paramètres offerte par cet outil est importantedans le processus d’optimisation du système étudié. Suite à cet état de l’art sur la modélisationet la simulation des systèmes multi-domaines à signaux mixtes, nous avons développé un guide demodélisation lié aux exigences de notre application concernant les WSN. Ce guide est détaillé dansla section suivante.2.6 Guide de modélisationNous pouvons dégager à partir de l’état de l’art les conclusions suivantes qui nous guideront toutau long du processus de modélisation :31


CHAPITRE 2. ETAT <strong>DE</strong> L’ART– Le langage utilisé sera VHDL-AMS avec des co-simulations SPICE pour les modèlesélectriques décrits dans des niveaux d’abstraction plus bas.– Les modèles comportementaux doivent contenir le maximum d’informations sur la physiquedes composants : propriétés des matériaux et dimensions géométriques des dispositifs.Ces informations devront être génériques afin d’être réutilisables par des non spécialistes. Eneffet, plus le modèle comportemental est proche de la réalité physique, moindre sera le coûtde la fabrication et plus court sera le temps avant de mettre le produit sur le marché (Timeto market).A partir de ces premières constatations un "guide de modélisation" applicable sur d’autresexemples a été établi :– Écrire un modèle prédictif qui décrit la fonction du système avec un compromis entre laprécision et la vitesse des simulations. Ce compromis sera variable d’un composant à un autre.– Pour les composants dont on dispose des résultats expérimentaux, les paramètres utilisés pourla validation des premiers modèles comportementaux seront considérés nominaux. Ensuite,une étude paramétrique pourra être effectuée afin d’optimiser la performance de la structure(par exemple pour le microgénérateur piézoélectrique). Une étude de sensibilité devra dans cecas aussi être réalisée afin d’étudier l’effet des variations liées au processus de fabrication.2.7 ConclusionDans ce chapitre, nous avons cadré notre problématique de modélisation de systèmesmixtes multi-domaines dans le monde très vaste de la modélisation et de la simulation. Nousconsidérons que la position de ce travail se place à la frontière entre la modélisationphysique et la modélisation comportementale indépendante de l’implémentation physique.Notre but est de fournir des prototypes virtuels mais fonctionnels selon la géométrieet les matériaux utilisés dans nos composants en gardant un compromis vitesse/précisionacceptable. Ainsi, le concepteur pourra très tôt optimiser son système tout en gardant des tempsde simulation raisonnables.Cette étude de l’état de l’art nous a amené à aborder tout d’abord la modélisation de manièregénérale puis plus particulièrement dans le cas des systèmes mixtes multi-domaines. Ensuite nousavons souligné les qualités qui sont nécessaires au langage de modélisation pour être le support dela solution physique et nous avons sélectionné VHDL-AMS comme étant un bon candidat à notreétude. Le reste du manuscrit aura donc pour vocation de valider ce choix à travers lesdifférents sous-systèmes modélisés en décrivant les moyens mis en ouvre pour y parvenir.32


Chapitre 3Systèmes de récupération d’énergieSommaire3.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 343.2 Les méthodes de récupération d’énergie . . . . . . . . . . . . . . . . . . . 343.2.1 Synthèse des sources d’énergie . . . . . . . . . . . . . . . . . . . . . . . . . 343.2.2 Energie solaire . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 363.2.3 Variation de la température . . . . . . . . . . . . . . . . . . . . . . . . . . . 363.2.4 Vibrations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 373.2.4.1 Microgénérateurs piézoélectriques . . . . . . . . . . . . . . . . . . 373.2.4.2 Microgénérateurs électromagnétiques . . . . . . . . . . . . . . . . 413.2.4.3 Microgénérateurs électrostatiques . . . . . . . . . . . . . . . . . . 413.2.5 Comparaison entre les trois types de microgénérateurs résonants . . . . . . 423.2.5.1 Méthode de conversion retenue . . . . . . . . . . . . . . . . . . . . 433.3 Modélisation des microgénérateurs piézoélectriques . . . . . . . . . . . . 443.3.1 Modèle unidimensionnel d’un résonateur . . . . . . . . . . . . . . . . . . . . 443.3.1.1 Modèle VHDL-AMS à une dimension . . . . . . . . . . . . . . . . 463.3.1.2 Validation du modèle à une dimension . . . . . . . . . . . . . . . . 463.3.1.3 Limites d’utilisation du modèle à une dimension . . . . . . . . . . 483.3.2 Modèle structurel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 483.3.2.1 Modèle à couplage faible . . . . . . . . . . . . . . . . . . . . . . . 483.3.2.2 Modèle unidimensionnel à couplage fort . . . . . . . . . . . . . . . 493.3.3 Modèle à trois dimensions du microgénérateur . . . . . . . . . . . . . . . . 533.3.3.1 Modèle analytique . . . . . . . . . . . . . . . . . . . . . . . . . . . 543.3.3.2 Résultats de simulation et discussion . . . . . . . . . . . . . . . . 603.3.3.3 Analyse transitoire . . . . . . . . . . . . . . . . . . . . . . . . . . . 613.3.3.4 Impact du choix du matériau . . . . . . . . . . . . . . . . . . . . . 613.3.3.5 Etude du couplage électromécanique . . . . . . . . . . . . . . . . . 623.3.3.6 Influence des électrodes et des pertes . . . . . . . . . . . . . . . . 633.3.3.7 Optimisation de la structure : analyse paramétrique . . . . . . . . 663.3.3.8 Analyse de sensibilité . . . . . . . . . . . . . . . . . . . . . . . . . 683.4 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6933


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIE3.1 IntroductionNous nous focaliserons dans ce chapitre sur la modélisation du bloc de récupération d’énergiequi conditionne la durée de vie de tout le système.Nous commencerons par justifier la méthode de transduction retenue pour la récupération d’énergie.Une fois cette méthode validée, nous fournirons une bibliothèque de modèles génériques etparamétrables. Ces modèles correspondent aux différentes représentations du système à différentsniveaux d’abstraction. Ainsi, la faisabilité de la méthode avancée lors du chapitre précédent seraprouvée à travers ce premier sous système du micro-capteur, nœud du réseau de capteur sans fils.Tout au long de ce chapitre, les dispositifs étudiés seront traités d’un point de vue système mêmesi des détails physiques seront ajoutés aux modèles les plus raffinés. Seuls les détails nécessaires àla compréhension des modèles seront fournis. Pour plus de détails physiques sur les dispositifs (parexemple les procédés de fabrication), le lecteur est invité à se référer à [20].3.2 Les méthodes de récupération d’énergieIl est nécessaire avant de décider de la source d’alimentation de notre micro-capteur, de passeren revue les principales sources d’énergie.3.2.1 Synthèse des sources d’énergieUn récapitulatif est présenté dans le tableau 3.1. Nous nous sommes basés dans cette synthèsesur plusieurs études faites dans [55],[56], [57] et [58].34


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIESource d’énergie Performance Observations Source de l’informationEnergie solaire (à l’extérieur)15 mW/cm 2 sous une - [55]exposition directe au so-leil et 150 µW/cm 2 , unjour de froidEnergie solaire (à l’intérieur)6 µW/cm 3 - Expérience de [55]Vibrations 4 µW/cm 3 (pour une Ces résultats correspondent[55] et [56].excitation correspondantà desà un mouvementhumain (fréquence derésonance de l’ordre desHz)) et 0.8 mW/cm 3microgénérateurs d’unetaille de 1 cm 3 . Ladensité de puissance généréedépend fortementpour des machines de la fréquence et deindustrielles (fréquence l’amplitude d’excitationde résonance de l’ordre comme nous verronsdes kHz)dans la section 3.2.4.Bruit acoustique 3 nW/cm 3 à 75 dB et0.96 nW/cm 3 à 100 dB- Résultat théorique selon[55].Gradient de température15 µW avec un gradient - [57].de température ∆T =10°CFlux d’air ambiant 2 mW/cm 3 Le dispositif est soumis[58]à une pression de8 mbar et un flux de 35litres par minutePile lithuium 45 µW/cm 3 pour une - [55]durée de vie de 1 an ou3.5 µW/cm 3 pour unedurée de vie de 10 ansBatteries lithium rechargeablerée7 µW/cm 3 pour une du-- [55]de vie de 1anPiles à combustibles(méthanol)Isotopes nucléaires (uranium)280 µW/cm 3 pour unedurée de vie de 1 anou28µW/cm 3 pour unedurée de vie de 10 ans6 W/cm 3 pour une duréede vie de 1 an ou0.6W/cm 3 pour une duréede vie de 10 ans- [55]- [55]Table 3.1 – Comparaison de quelques sources d’énergieA la lumière de ce tableau, nous constatons d’ores et déjà que :– Il y a plusieurs alternatives pour la récupération d’énergie ambiante : l’énergie solaire, legradient de température et les systèmes vibratoires. Chacune de ces solutions présente des35


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIEavantages et des inconvénients. Par exemple, l’énergie solaire requiert une source de lumièresuffisante, les gradients de température requièrent une variation de température suffisante. Lessources de vibrations trouvent leur utilité dans les endroits inaccessibles comme les bâtimentsmais à condition que la source soit présente.– Les densités de puissance générées par les sources de vibrations dépendent aussi bien del’amplitude des vibrations que de leur fréquence de résonance. Elles dépendent égalementde la mesure dans laquelle la présence ou l’absence d’un dispositif de récupérationd’énergie affecte la vibration.– Les vibrations et l’énergie solaire semblent être les sources d’énergie les plus intéressantes.Se basant sur ces constatations, nous avons décidé de ne pas utiliser les batteries comme sourced’énergie mais plutôt comme moyen de stockage d’énergie. Ce volet sera traité dans le chapitre 4.De plus, nous avons décidé d’examiner sommairement les potentialités des microgénérateursphotovoltaïques et thermoélectriques et de concentrer notre champ d’investigation sur les vibrationscomme source d’énergie.3.2.2 Energie solaireCe type de microgénérateurs tire profit de l’existence d’une tension générée aux bornes d’unélément photovoltaïque exposé à un flux lumineux. Ainsi, le courant délivré par une cellule solairemonocristalline dépend directement de l’énergie solaire incidente. La tension en circuit ouvert restepratiquement constante. En revanche, plus la surface de la cellule est grande, plus elle est capable defournir une puissance importante. C’est pourquoi, cette solution n’est pas optimale pour les circuitsembarqués.Par ailleurs, l’énergie solaire est abondante à l’extérieur durant la journée. Les technologies sontalors différentes selon l’usage (à l’intérieur ou à l’extérieur).En général, une cellule photovoltaïque fournit une tension stable de 0.6 V (et doit donc êtreutilisée en série) aussi longtemps que le courant ne dépasse pas un seuil critique, qui dépend entreautres, de l’intensité de la lumière [59].3.2.3 Variation de la températureLe thermogénérateur est basé sur l’effet Seebeck : il produit un courant électrique proportionnelau gradient de température entre les jonctions. Une charge électrique est connectée électriquementen série avec le thermogénérateur créant un circuit électrique. La génération de l’électricité se faitgrâce au flux de chaleur (déplacement des porteurs de charge et apparition d’un courant).La figure 3.1 illustre le modèle d’un générateur thermoélectrique. Il consiste en un thermocouple,compris entre deux jonctions P et N connectées électriquement en série et thermiquement en parallèle.L’application grand public la plus connue de ce type de microgénérateurs est probablement lamontre thermique Seiko qui utilise un générateur thermoélectrique pour convertir la chaleur dupoignet en énergie électrique. C’est la première montre à être alimentée par l’énergie générée entrele corps humain et la température environnementale. Le générateur thermoélectrique produisait(cette montre n’est plus commercialisée) 1.5 µW ou plus si la différence de température est de 1°C-3°C. Un circuit de gestion de contrôle connectait le générateur à une batterie rechargeable de 1.5V36


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIEFigure 3.1 – Principe de la génération thermoélectrique[60]. Par ailleurs, d’autres chercheurs ont démontré qu’un système thermoélectrique est capable deconvertir 15 µW à partir d’un gradient de température de 10°C [61].Bien que cette solution soit prometteuse avec l’évolution du domaine de la thermoélectricité,les situations pour lesquelles une différence statique de 10°C sont très rares. Alternativement, unevariation naturelle de la température durant 24 heures peut être utilisée pour générer de l’électricité.En considérant une variation de température de 7°C et en supposant qu’il n’y a pas de pertesde conversions, il peut être démontré selon la référence [55] qu’un volume contenant un gaz idéalpeut générer en moyenne 10 µW/cm 3 . Ces résultats sont prometteurs mais la densité de puissancegénérée reste insuffisante devant d’autres méthodes.3.2.4 VibrationsTrois méthodes sont typiquement utilisées pour convertir le mouvement mécanique en signalélectrique : la méthode inductive (électromagnétique), la méthode capacitive (électrostatique) etenfin la méthode piézoélectrique.Nous proposons dans un premier temps d’évaluer les potentialités de ces trois méthodes dans lecas des dispositifs microscopiques. Cette évaluation sera suivie d’une comparaison qui nous permettrade justifier la nature de la méthode de transduction retenue.3.2.4.1 Microgénérateurs piézoélectriquesL’effet piézoélectrique à été découvert en 1880 par Jacques et Pierre Curie dans certains matériauxcristallins : quand ces matériaux sont soumis à une force mécanique, leurs cristaux deviennentélectriquement polarisés.37


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIEFigure 3.2 – Principe de la piézoélectricitéLes polarités pour les forces de compression et de traction sont opposées et la polarité est proportionnelleà la force appliquée (voir figure 3.2). C’est l’effet piézoélectrique direct. Quand un matériaucristallin est soumis à un champ électrique, il se rétrécit ou s’allonge selon la polarité du champélectrique. C’est l’effet piézoélectrique inverse.Dans la littérature, on distingue les matériaux ferroélectriques des autres matériaux piézoélectriques.Les matériaux avec cristaux ayant un dipôle permanent sont nommés piézoélectriques. Lesmatériaux ferroélectriques sont des matériaux piézoélectriques qui peuvent être polarisés (la directiondu dipôle peut être changée). Les matériaux ferroélectriques polarisés se distinguent par leurcœfficient de couplage fort comparé à leurs homologues non polarisés. Dans le reste de ce travail,on s’intéressera exclusivement à ce type de matériaux.On distingue deux principaux modes de déformation d’un matériau piézoélectrique : le modelongitudinal (mode 33) et le mode transversal (mode 31). Les deux modes dépendent des directionsde la tension et de la force générées qui peuvent être perpendiculaires (mode 31) ou parallèles (mode33) comme illustré sur la figure 3.3.Bien que l’utilisation du mode 33 soit plus avantageuse au niveau de la réponse piézoélectrique,elle est beaucoup plus complexe à mettre en œuvre dans le cas des MEMS à cause de la faibleépaisseur de la couche piézoélectrique. C’est pour cela que l’on utilise plutôt le mode 31.Figure 3.3 – Les différents modes 31 et 33 de déformation d’un matériau piézoélectriquePar ailleurs, les matériaux piézoélectriques sont anisotropes, donc leur comportement est expriméà l’aide de tenseurs. La figure 3.4 montre un trièdre, qui définit six mouvements possibles dans l’espace: trois translations le long des axes 1, 2, 3 et trois rotations autour des ces axes : respectivement4, 5, 6. L’axe 3 est choisi dans la direction de polarisation du matériau piézoélectrique.38


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIEFigure 3.4 – Axes de référence utilisés pour la modélisation d’un solide piézoélectriqueDans un solide non piézoélectrique, les tenseurs de contrainte mécanique T ij et de déformationS ij sont liés par les coefficients de souplesse s ijkl et la rigidité c ijkl . Dans le cas d’un matériaupiézoélectrique, le domaine mécanique est en plus lié avec le domaine électrique par les coefficientspiézoélectriques d ijk (ou dérivés). Une notation contractée (Voigt) est souvent utilisée pour simplifierla notation de tenseurs d’ordre quatre à deux. Les indices tensoriels i et j sont remplacéspar un indice p correspondant aux déplacements dans l’espace défini précédemment. Les règles decorrespondance sont présentées dans le tableau 3.2. Nous adopterons cette convention simplifiéedans les équations constitutives de la piézoélectricité.Table 3.2 – Règles de changement des indices entre la notation tensorielle et la notation simplifiée(Voigt) [20]Le premier modèle isotherme linéaire qui représente la relation entre les contraintes mécaniqueset le champ électrique a été initialement proposé par Woldemar Voigt en 1910. Ces relations constitutives,ainsi que les méthodes d’évaluation des propriétés piézoélectriques des matériaux ont étédécrites dans le « IEEE Standard on Piezoelectricity » [62]. On peut définir quatre types d’équationspiézoélectriques. Ces équations sont détaillés dans la tableau 3.3.39


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIETable 3.3 – Équations constitutives de la piézoélectricité avec la définition des coefficients piézoélectriqueset leurs unités [20]où D et E représentent respectivement le déplacement et le champ électrique, S la déformation, ǫla permittivité électrique, S ij les cœfficients de la matrice de souplesse , d ij et d ji sont les cœfficientspiézoélectriques (respectivement effet direct et effet indirect).Les exposants utilisés décrivent les conditions sous lesquelles les propriétés des matériaux ontété mesurées : Les exposants S, T, E et D indiquent que ces valeurs sont considérées constantesou nulles. L’exposant t indique une transposée de la matrice considérée. Les quatre coefficientspiézoélectriques qui peuvent être définis sont détaillés dans le tableau III.3. Tous les coefficientssont des matrices de dimension 3 par 6.Les relations entre les cœfficients piézoélectriques sont présentées dans les équations 3.1.d = ǫ T g = e s Ee = ǫ S h = d c Ee = β T d = h s Dh = β S e = g c D (3.1)De plus, le lien entre les cœfficients β et ǫ ainsi que les matrices c et s sont présentés dans lesystème d’équations 3.2.β = ǫ −1c = s −1 (3.2)Ce type de microgénérateurs tire profit de cette propriété en couplant un matériau piézoélectriqueà une structure mécanique, qui imposera la déformation de celui-ci. Les structures macroscopiques sebasant sur ce principe présentent de bonnes performances en compacité, en isolation galvanique, enrendement et en fiabilité [63]. En revanche, le domaine de la miniaturisation de ce type de structuresest relativement jeune. Nous étudierons plus en détails ce type de microgénérateurs dans la section3.3.40


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIE3.2.4.2 Microgénérateurs électromagnétiquesLa conversion de puissance électromagnétique résulte du mouvement relatif d’un conducteurélectrique dans un champ magnétique. Généralement, le conducteur est enroulé en une bobinepour créer une inductance. La variation du flux du champ magnétique dans l’inductance provoquel’apparition d’une force électromotrice et d’un courant dans le cas d’un circuit fermé. La valeur dela tension générée est déterminée par la loi de Faraday, selon l’équation 3.3 où e représente la forceélectromotrice, N le nombre de tours de l’inducteur, Φ le flux d’induction magnétique parcourantpar l’inducteur et t le temps.e = −N dΦdtCette méthode inductive de récupération d’énergie ambiante, est largement utilisée dans lesdispositifs macroscopiques ([64],[65],[66]). Cependant, de véritables freins à la miniaturisation dece type de dispositifs subsistent. Le principal d’entre eux est la nécessité d’utiliser des matériauxmagnétiques exotiques et d’obtenir des distances très faibles entre l’aimant et la bobine. De plus,en miniaturisant le dispositif, non seulement le nombre de tours dans l’inducteur diminue, mais sarésistance augmente ce qui agrandit les pertes. Enfin, les éléments réalisés par dépôts épais du cuivrede façon électrochimique en utilisant la technique LIGA (Acronyme allemand pour lythographie(Lytographie), galvanoplastie (Galvanoformung), moulage (Abformung))−UV, pour la fabricationdes conducteurs de la bobine, ne présentent pas encore des performances satisfaisantes [20].(3.3)3.2.4.3 Microgénérateurs électrostatiquesLa méthode capacitive se base sur le fait que l’énergie peut être amplifiée sur une capacité dont lavaleur peut être modifiée mécaniquement. En effet, si on considère d la distance entre les armaturesd’un condensateur, S la surface des armatures en regard et ǫ la permittivité du diélectrique, lavaleur de C est calculée selon l’équation C =ǫ S/d.A charge maintenue constante, la tension peut croître en réduisant la capacité. Cette réductionpeut se faire soit en augmentant la distance entre les armatures d, soit en réduisant leur surface S.Réciproquement, si la tension est maintenue constante, la charge peut être augmentée en réduisant dou en augmentant S. Dans les deux cas, l’énergie stockée dans la capacité augmente, conformémentà l’équation 3.4.E = 1 2 QV = 1 2 C V 2 = Q22CUne conversion de l’énergie mécanique (déplacement des électrodes) en énergie électrique (accroissementde l’énergie électrique accumulée) est donc possible en suivant ce principe. Toutefois, ilconvient de préciser que ce type de convertisseurs n’est pas un générateur au sens propre du termemais plutôt un multiplicateur d’énergie [20]. Il nécessite donc une source de tension initiale pourprécharger la capacité variable.Cette méthode de transduction a fait l’objet de plusieurs travaux de recherche (par exemple [67],[68], [69]). Plusieurs dispositifs ont été fabriqués, surtout à l’échelle macroscopique. Cependant, etbien que la technologie utilisée soit standard (DRIE (Deep Reactive-Ion Etching)), les contraintesde précision sont très difficiles à respecter. De plus, plusieurs limites intrinsèques au principe mêmede transduction expliqué ci-dessus, comme la tension de fonctionnement élevée ainsi que la nécessitéde précharger la capacité variable, limitent l’intérêt de ce type de conversion.(3.4)41


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIE3.2.5 Comparaison entre les trois types de microgénérateurs résonantsDans un premier temps, nous tenterons de mieux situer les sources de vibrations par rapport auxautres sources d’énergie. Ensuite, nous déciderons de la méthode de transduction retenue parmi lestrois.Une étude récente [5] synthétise l’état de l’art sur les sources de vibrations à l’aide d’une représentationgraphique reportée sur la figure 3.5.Figure 3.5 – Représentation graphique des performances des différentes sources d’énergie : puissancegénérée en fonction de la taille du dispositif [5]Les numéros de 1 à 18 représentent les microgénérateurs récupérant diverses sources d’énergieavec les notations pz pour les microgénérateurs piézoélectriques, es pour électrostatique et em pourélectromagnétique. Pour une documentation complète sur les 18 dispositifs de la figure 3.5, le lecteurest prié de se référer à [5].L’auteur a souligné le fait qu’il est très difficile de comparer des puissances de dispositifs soumisà des excitations de fréquences et d’amplitudes différentes, d’où l’intérêt d’une puissance normaliséesuivant la formule P n = 100 P/A 2 f où A représente l’amplitude de l’accélération, f sa fréquenceet P la puissance récupérée.Ce qui ressort très vite de ce graphe, c’est l’importance de la taille du dispositif sur la puissancefournie. Le dispositif le plus petit parmi ceux cités par l’auteur est celui fabriqué dans notre équipede recherches dans le cadre de la thèse de M.Marzencki [20] (2 mm 3 ) et qui, excité par une accélérationsinusoïdale de 9,81 ms −2 d’amplitude et de fréquence 900 Hz, délivre une puissance de0,6 µW. La puissance la plus grande est celle délivrée par un polymère électroactif (non piézoélectrique)inséré sur une chaussure et notée EAP sur le graphe (dispositif 15) : de l’ordre de 0,1 Wpour un volume de 5,0×10 −6 m 3 .Par ailleurs, [70] a comparé les densités théoriques d’énergie pour les trois types de générateurs.Afin d’évaluer la densité d’énergie théorique, l’auteur a considéré deux matériaux : la céramiquePZT-5H pour le cas pratique et un matériau monocristallin, très cher et toujours en phase expéri-42


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIEmentale, le PZN-PT pour le cas théorique. Le tableau 3.4, résume le résultat de cette comparaison.Les constantes utilisées dans le tableau sont comme suit :– K : le cœfficient de couplage électrique,– Y : le module de Young,– σ y : la contrainte mécanique selon l’axe des y,– µ 0 : la perméabilité magnétique du vide,– B : le champ magnétique de 1 T pour la valeur théorique et de 0,1 T pour la valeur pratique.Valeur maximalethéorique par cm −3Piézoélectrique σ y 2 K 2 Y / 2 =377mJType de transductionmatériaux "exotiques"(comme lePZT en couchesminces ...)riaux piézoélectriques,propriétés des couchesminces inférieures auxmatériaux massifsElectrostatique ǫ E 2 /2 = 44 mJ 4 mJ Compatible avecla technologieCMOS et nenécessite pasl’utilisation dematériaux "exotiques"Electromagnétique B 2 / 2 µ 0 = 400 mJ 4 mJ Très difficile,intégration d’unebobine de faiblerésistance nécessaireet enfinnécessite l’utilisationde matériaux"exotiques"Energiemaximalepratiquepar cm −3 Miniaturisation Limites17.7 mJ Utilisation de Intégration des maté-Besoin d’une source initialepour fonctionner,bonnes performancesseulement pour des tensionstrès élevés (40V )etenfin Le débattementdoit être contrôlémécaniquementTrès faible tension desortie et intégration trèsdifficileTable 3.4 – Comparaison entre les trois principales méthodes de conversion de vibrations mécaniquesen énergie électrique3.2.5.1 Méthode de conversion retenueDu fait qu’ils peuvent être fabriqués sans l’utilisation de matériaux exotiques, les dispositifsreposant sur la méthode électrostatique devraient être les plus faciles à intégrer. Dans la pratique,la mise en œuvre de systèmes avec des doigts mobiles souffre des problèmes de tolérance et deprécision. De plus, ces systèmes doivent être pré-chargés avec des tensions élevées.Les dispositifs utilisant la méthode électromagnétique bine qu’offrant une densité d’énergie plusintéressante que leurs homologues reposant sur la méthode électrostatique, souffrent de problèmes de43


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIEminiaturisation (problème de la miniaturisation de la bobine et matériaux magnétiques "exotiques"à utiliser).En revanche, la méthode piézoélectrique offre à la fois des densités d’énergie élevées et despossibilités de miniaturisation grâce à l’intégration des couches minces piézoélectriques dans lesMEMS.En conclusion, il ressort de cette étude que la méthode piézoélectrique présente le meilleur compromisentre densité d’énergie fournie et possibilités de miniaturisation. Nous retenons les microgénérateurspiézoélectriques comme récupérateurs d’énergie pour notre système.3.3 Modélisation des microgénérateurs piézoélectriquesDans cette section nous nous intéressons à la modélisation d’un microgénérateur piézoélectrique.3.3.1 Modèle unidimensionnel d’un résonateurCe modèle a été utilisé pour la modélisation des trois types de générateurs, notamment : électrostatique[67], piézoélectrique [70] et électromagnétique. Il est simplifié à une dimension commeillustré par la figure 3.6.Figure 3.6 – Modèle unidimensionnel du générateur piézoélectriqueL’amélioration apportée par ce modèle, réalisé dans le cadre d’une autre thèse de l’équipe [20],par rapport à ceux existants dans la littérature ([71], [72] et [73]) est l’introduction d’un élémentpiézoélectrique au niveau du modèle simple. Le système est composé de :– Une source de vibrations dont l’amplitude est définie par la coordonnée absolue y.– Une masse sismique M, dont le déplacement est défini par la coordonnée relative w.– Un ressort avec une rigidité K. Ce paramètre est lié selon l’équation 3.5 avec la rigidité c 33du matériau le constituant et les dimensions de l’élément piézoélectrique (A son aire et L salongueur).K = c 33AL(3.5)44


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIE– l’amortissement fluide λ (dont la force résultante est proportionnelle à la vitesse).– l’amortissement structurel γ (dont la force résultante est proportionnelle à la déformation).– l’amortissement piézoélectrique avec une charge résistive Z.L’équation 3.6 décrit le comportement dynamique du système en utilisant le principe d’équilibredes forces.M ẅ + λ ẇ +F p + M ÿ = 0 (3.6)Pour déterminer la valeur de la force F p introduite par l’effet piézoélectrique, les équationsconstitutives de la piézoélectricité dèjà exposés dans le tableau 3.3, sont repris par les équations 3.7et 3.8.T 3 = c 33 S 3 − e 33 E 3 (3.7)D 3 = e 33 S 3 −ǫ c E 3 (3.8)Nous rappelons que la piézoélectricité est un phénomène de couplage entre énergie élastique eténergie électrique (relation entre deux variables : électrique et mécanique) et vice-versa. S et Tsont respectivement la déformation et la contrainte, D et E, respectivement l’induction et le champélectrique.La tension entre les électrodes sur l’élément piézoélectrique est liée avec l’intensité du champélectrique E 3 par la relation U = −E 3 L.Les équations 3.7 et 3.8 peuvent être transformées en 3.9 et 3.10 pour ressortir les expressionsdes grandeurs macroscopiques du modèle (F p et U).F p = K w −α U (3.9)UZ = α ẇ −C ˙U (3.10)F p représente la force macroscopique exercée par l’élément piézoélectrique, C la capacité del’élément piézoélectrique et α un paramètre représentant le couplage piézoélectrique selon l’équation3.11.α = e 33AL(3.11)45


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIE3.3.1.1 Modèle VHDL-AMS à une dimensionConsidérant que le système peut être modélisé comme étant un oscillateur harmonique amorti, lemodèle analytique est déduit de la loi fondamentale de la dynamique conformément à l’équation 3.6et des équations constitutives de la piézoélectricité 3.7 et 3.8. Les équations différentielles déduitessont ensuite incorporées dans une architecture VHDL-AMS.Notons que le but de ce premier modèle est de valider la modélisation de la méthode de transductionet de vérifier qu’on récupère bien une tension sinusoïdale.Par conséquent, nous avons donc modélisé uniquement l’amortissement visqueux λ car il estdirectement pris en compte au niveau de l’équation 3.20. Par contre, nous avons pour l’instant faitabstraction des deux autres types de pertes à savoir :– L’amortissement structural : il peut être pris en compte comme partie imaginaire du cœfficientde rigidité du matériau c 33 selon l’équation 3.12.c c = c 33 (1 +j γ) (3.12)– Les pertes diélectriques dans l’élément piézoélectrique peuvent être introduites au niveau dela capacité piézoélectrique par l’angle des pertes ou tan(δ) conformément à l’équation 3.13.ǫ c = ǫ 33 (1 −j tanδ) (3.13)Notons que nous avons développé un modèle unidimensionnel plus détaillé qui incorpore aussices deux types de pertes. Toutefois, nous avons choisi de développer le niveau le plus simple ici pourmieux se focaliser sur la fonctionnalité de la transduction. La méthode d’implantation des pertesen VHDL-AMS sera quant à elle détaillée dans la section 3.3.3.D’un autre côté, nous avons fait le choix de différer les détails techniques sur la méthode demodélisation VHDL-AMS à la section 3.3.2.2.3.3.1.2 Validation du modèle à une dimensionLe but de ce modèle assez simple est d’implanter en VHDL-AMS le principe de transductiontout en garantissant des simulations rapides.Pour valider ce modèle, nous avons utilisé une accélération de 9.81 m.s −2 afin d’exciter unemasse sismique de 10 g. La fréquence de résonance a été fixée à 100 kHz. Nous avons considéré unamortissement de 1%. La charge utilisée est une résistance de valeur 10 kΩ. Deux analyses utilisantce même vecteur de test ont été effectuées :46– Une analyse fréquentielle : le résultat de la simulation de cette analyse est reporté sur la figure3.7.Sur cette figure, on constate qu’une puissance de 14.24 µW peut être réccupérée sur la chargerésistive de 10 kΩ.Soulignons aussi l’existence d’un couplage électromécanique important. En effet, et commenous pouvons le voir sur la figure 3.7, la fréquence de résonance est influencée par la valeur dela charge résistive (100 kHz pour 10 kΩ et 430 kHz pour 1 MΩ).


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIE100u10uP[W]1u100n10n1n100kf(Hz)1MFigure 3.7 – Résultats de l’analyse fréquentielle du modèle unidimensionnel du microgénérateurpiézoélectrique : puissance de sortie réccupérée sur deux charges résistives différentes en fonction dela fréquence avec une accélération de 1 gFigure 3.8 – Résultats de l’analyse transitoire du modèle unidimensionnel du microgénérateur piézoélectrique: tension de sortie en fonction du temps avec une accélération de 1 g d’amplitude et de120 Hz de fréquence– Une analyse transitoire : dans ces conditions, une tension sinusoïdale de 380 mV d’amplitudeest générée comme le montre la figure 3.8.Notons aussi que cette analyse temporelle témoigne de la possibilité offerte de faire aussi biendes analyses temporelles que fréquentielles avec le même vecteur de test. Cette caractéristique,très importante pour les simulations analogiques et mixtes, est assurée grâce à la primitive"spectrum" de VHDL-AMS. Un exemple d’une grandeur analogique appelée "quantité source"(dans ce cas une force notée f) ou "source quantity", est fourni dans l’extrait de code suivant :1−− spectrum definition2 quantity fac : force spectrum AMPL, phase ;3 begin4 if (DOMAIN == QUIESCENT_DOMAIN OR DOMAIN == TIME_DOMAIN) USE5 f == AMPL ∗ sin (math_2_pi∗ freq ∗now+phase ) ;6 else47


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIE7 f==fac ;8 end use ;Si une analyse temporelle est sollicitée, la force d’excitation sera un signal sinosoïdal d’amplitude"AMPL", de fréquence "freq" et de phase "phase". Dans le cas d’une analyse fréquentielle,la force sera égale à la quantité source "fac"(un spectre d’amplitude "AMPL" et dephase "phase"). L’intervalle du spectre de fréquence doit être spécifié par l’utilisateur avant laphase d’exécution de l’analyse fréquentielle.3.3.1.3 Limites d’utilisation du modèle à une dimensionCe modèle offre la possibilité d’avoir des simulations rapides intéressantes pour les premièresvalidations. Il modélise avec précision un barreau piézoélectrique. La structure considéréeétant une poutre en flexion, un modèle tridimensionnel est indispensable pour prédirele comportement réel d’un tel microgénérateur MEMS et d’optimiser sa géométrie et sacomposition. Ce dernier fera l’objet de la section 3.3.3.3.3.2 Modèle structurel3.3.2.1 Modèle à couplage faibleC’est le modèle le plus abstrait et probablement le plus simple. Il a été utilisé dans [74]. Cesous-système représente la partie électromécanique de notre système hétérogène avec un modèleéquivalent électrique. Comme illustré par la figure 3.9, le microgénérateur est modélisé par unesource de courant et une capacité C p qui représente la capacité piézoélectrique du microgénérateuret une résistance R p qui modélise les pertes du microgénérateur.Figure 3.9 – Modèle faible couplage du transducteurCe modèle est dit à faible couplage car le couplage électromécanique n’est introduit qu’au niveaude l’amplitude de la source de courant. En effet, l’amplitude du courant électrique dépend de celledes vibrations mécaniques mais reste insensible à la charge externe R (contrairement au modèleunidimensionnel de la section précédente). Les valeurs du courant, de la capacité et de la fréquencepeuvent être obtenues à partir des mesures expérimentales. Ce modèle peut être amélioré par l’introductiondes pertes diélectriques représentées par une résistance équivalente R p en parallèle avecla capacité piézoélectrique.Dans [74], l’auteur a montré que son modèle était assez précis même s’il a négligé les pertesstructurelles et visqueuses ainsi que le couplage fort des matériaux utilisés. Cette réflexion serarelativisée dans les paragraphes suivants. Notons aussi que ce modèle a été utilisé dans le cadre de48


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIEla thèse de Y.Ammar [14] dans notre équipe de recherche, pour valider les dispositifs de gestiond’énergie.L’amplitude de la tension de sortie du microgénérateur est calculée analytiquement selon l’équation3.14.R pV 0 = I p √ (3.14)1+(ω Cp R p ) 2Même si cette représentation est structurée en une source de courant en parallèle avec une capacité,ce modèle électrique équivalent peut être considéré comme un modèle fonctionnel conformémentaux définitions fournies au paragraphe 2.4. En effet, la fonction de transduction est modéliséed’une manière simple à travers cette représentation. L’implantation du modèle en VHDL-AMS estintuitive. En plus,les simulations sont très rapides. Par conséquent, il sera privilégié dans lespremières validations des simulations globales même s’il n’est pas prédictif vu ses limitationsen termes de précision.3.3.2.2 Modèle unidimensionnel à couplage fortLe modèle VHDL-AMS structurel à couplage fort du microgénérateur piézoélectrique que nousavons développé se base sur le schéma équivalent de la figure 3.10. Ce schéma a été initialementintroduit dans [70]. La structure, représentée par la figure 3.10-(a) est une structure piézoélectriquebimorphe (deux couches piézoélectriques de part et d’autre d’une cale). Son schéma équivalent estreprésenté par la figure 3.10-(b).HmEncastrementElectrodes en PZTWmcCale(a)(b)Figure 3.10 – Circuit électrique équivalent (b) d’une structure piézoélectrique bimorphe (a)Nous utiliserons les notations suivantes dans le modèle :– σ in représente la contrainte subie par la poutre piézoélectrique suite à la vibration de la masse,– L m modélise l’inertie de la poutre,– n le rapport de transformation,– R b l’amortissement mécanique– et C k l’inverse de la capacité de la couche piézoélectrique notée C b .Cette méthode repose sur l’analogie mécanique/électrique. Le lien entre le modèle mécaniqueet le circuit équivalent se fait grâce à la variable S qui représente la déformation de la poutre (enanglais "strain"). L’équivalent électrique de S est la charge q.L’application des lois de Kirchhoff donne l’ensemble d’équations couplées 3.15 et 3.16.49


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIE1σ in = L m ¨S +Rb Ṡ + S C k+n V (3.15)i = C b ˙V (3.16)La partie mécanique du circuit est traitée comme un système mécanique sans couplage. En revanche,le couplage électromécanique est modélisé par le transformateur qui relie la tension électriqueaux contraintes mécaniques.Les expressions de L m , R b , n, C p et C b dépendent des paramètres géométriques de la poutre.Il a été montré dans [70] que :• L m = k 1 k 2 m avec k 1 = b (2l b + l m − l e )/2I, k 2 = l 2 b (2l b + 3 2 l m)/3b (2l b + l m −l e ), m étantla masse accrochée à l’extrémité de la poutre, l e la longueur de l’électrode et I le momentd’inertie effectif défini par l’équation 3.17.avec⋆ w est la largeur de la poutre,I = w t3 p6+2 w t p b 2 + η s w tc 212(3.17)– b est la distance entre le centre de la poutre et le centre des couches piézoélectriques définiepar b = (t p +t c )/2,⋆ t p , est l’épaisseur de chacune des deux couches piézoélectriques de la structure bimorphe,⋆ η s est le rapport entre le module de Young du céramique piézoélectrique noté Y p et celui dela cale noté Y c .• R b = k 1 k 2 b m , avec b m le cœfficient de frottement visqueux.• C k = 1/C b et• n le cœfficient de couplage électrique noté n = − ad 31C b2t pavec :⋆ a = 1 si les couches piézoélectriques sont connectées en série,⋆ a = 2 si les couches piézoélectriques sont connectées en parallèle et⋆ d 31 le cœfficient piézoélectrique mis en œuvre dans la flexion.• Méthode de modélisationLa première étape de modélisation est un processus d’identification des paramètres clés. Cetteétape est cruciale car elle définit le degré de réutilisabilité du modèle, comme nousl’avons déjà souligné dans la section 2.3.3.L’interface générique de ce modèle est reportée ici :2 library ieee ;3 use ieee . electrical_systems . all ;4 use ieee . fundamental_constants . all ;5 use ieee . math_real . all ;67 ENTITY piezo IS8 GENERIC(9 d31 : real := 3.2 e−010;−− c o e fficient piezoelectrique10 ec : real := 3800.0; −− permitivite electrique50


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIE11 yp : real := 50e9 ;−− module de young du PZT12 ysh : real := 130e9 ;−− module de young de la poutre centrale13 a : real := 2.0; −− les couches piezo sont en serie (2 pour des couches14 −−en p arrallele et 1 pour le s couches en serie )15 lm : real := 0.017;−− longueur de la masse16 hm : real := 0.0077;−− hauteur de la masse17 wm : real := 0.0036;−− largeur de la masse18 le : real := 0.011;−− longueur de l ’ électrode19 lb : real := 0.011;−− longueur de la poutre20 w : real := 0.0032;−− largeur de la poutre21 tp : real := 0.00028;−− epaisseur de la couche piezo22 tc : real := 0.0001;−− epaisseur de la poutre centrale23 bm: real := 0.12 −− c o e fficient d ’ amortissement24 ) ;25 PORT (26 TERMINAL pin , pout : translational ;27 TERMINAL p_elec : e l e c t r i c a l ) ;28 END ENTITY PIEZO ;Nous commençons par faire une référence aux paquetages prédéfinis contenant les définitionsreliées aux systèmes électriques et les constantes physiques utilisées dans le modèle (PHYS_EPS0 :permittivité du vide), et le paquetage mathématique qui contient certaines fonctions (comme sinus,exp ...).Les constantes définis dans l’interface générique correspondent aux paramètres géométriques etaux propriétés du matériau piézoélectrique utilisé.Concernant le choix des ports, deux possibilités se présentent : la première possibilité consisteà recourir à une interface conservative (qui respecte les lois de kirchhoff). La deuxième repose surl’utilisation d’une interface non conservative de type flot de données (entrées/sorties).Comme le bloc est cascadé avec un système électrique (module de gestion d’énergie), la premièrepossibilité a été retenue. Par conséquent, des terminaux associés à la discipline électrique ont étédéfinis (lignes 26 et 27).Le corps de l’architecture est un assemblage structurel des différentes briques de base du modèlemoyennant les mots clés "port map" et "generic map" pour connecter les différents ports des soussystèmes conformément au schéma de la figure 3.10.Afin de mieux illustrer notre méthode, nous reportons le code source du bloc décrivant la masse(donc l’inductance sur le schéma électrique équivalent de Roundy) :1 library ieee ;2 use ieee . electrical_systems . all ;3 use ieee . fundamental_constants . all ;45 entity inductor is6GENERIC (7 d31 : real := 3.2 e−010;8 ec : real := 3800.0;9 yp : real := 50.0 e09 ;10 ysh : real := 130.0 e09 ;11 a : real := 2.0;12 lm : real := 0.017;13 hm : real := 0.0077;14 wm : real := 0.0036;15 le : real := 0.011;16 lb : real := 0.011;51


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIE17 w : real := 0.0032;18 tp : real := 0.00028;19 tc : real := 0.0001;20 bm : real := 0.1221 ) ;2223 port (terminal n1 , n2 : e l e c t r i c a l ) ;24 end entity inductor ;252627 Architecture geom of inductor is28−− calcul des constantes29 CONSTANT ns : real := yp/ysh ;30 CONSTANT n : real :=(−a∗d31) /(2.0∗ tp∗yp) ;31 CONSTANT b : real := ( tc+tp ) /2.0;32 CONSTANT Moment: real := 2.0 ∗ (w∗tp ∗∗3/12.0 + w∗tp∗b∗∗2)+ ns∗w∗tc ∗∗3/12.0;33 CONSTANT b1 : real := (3.0∗b∗(2.0∗ lb+lm−le ) ) / (( lb ∗∗2) ∗(2.0∗ lb+(3.0/2.0)∗lm) ) ;34 CONSTANT b2 : real := (2.0∗moment) /(b∗(2.0∗ lb+lm−le ) ) ;35 CONSTANT m : real :=17.0 e3 ∗(lm∗hm∗wm) ;36 CONSTANT e : real :=ec∗PHYS_EPS0;37 CONSTANT L : real := m/(b1∗b2) ;38−− Branch quantities39 quantity v across i through n1 to n2 ;4041 BEGIN4243 break i => 0 .0;44 v == L∗ i ’ dot ;45END ARCHITECTURE geom ;Les autres blocs ont été développés selon la même méthode : implanter les relations entreles efforts et les flux (courant/tension) en fonction des variables calculées à partirdes constantes déclarées dans le "generic". Ces constantes définissent les caractéristiquesgéométriques (dimensions) et physiques (ceux des matériaux utilisés) du système.Le vecteur de test est illustré par la figure 3.11 où Z est la charge électrique (dans ce chapitre Zest une résistance). Le microgénérateur est excité par une accélération à sa fréquence de résonance.Une tension électrique est récupérée aux bornes d’une charge Z que nous considérons purementrésistive.Figure 3.11 – Vecteur de test utilisé pour la validation des modèles du microgénérateur piézoélectriquedans les différents niveaux d’abstractionPour les résultats de la figure 3.12, l’amplitude de l’accélération est de 1 g, la charge utilisée estpurement résistive et de valeur égale à 24 kΩ. L’ondulation que nous observons au début est dûeau fait que le système et amorti (amortissement visqueux).52


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIEFigure 3.12 – Résultats de l’analyse transitoire du modèle structurel à fort couplage du microgénérateurpiézoélectrique : tension de sortie en fonction du temps sur une résistance de 24 kΩ et uneaccélération de 1 g d’amplitude et de 120 Hz de fréquenceLe modèle VHDL-AMS est simple, ne requière pas beaucoup de temps de développement caril peut être obtenu à partir de "briques" électroniques de base : source de courant, inductance,résistance, capacité et transformateur. De plus, il permet d’inclure des aspects physiques du dispositif(paramètres du matériau et dimensions du dispositif utilisés dans le calcul des paramètres du schémaéquivalent). Toutefois, le moment de rotation de la masse sismique a été négligé. Cette dernière aété assimilée à un point sur l’extrémité de la poutre.Le but du modèle tridimensionnel de la section 3.3.3 est de palier à ces limites et d’étudier l’effetdes amortissements négligés dans le modèle unidimensionnel traité dans le paragraphe 3.3.1.1.3.3.3 Modèle à trois dimensions du microgénérateurLe microgénérateur du type MEMS est composé d’une poutre encastrée-libre. Une couche piézoélectriqueest déposée sur la poutre. Elle subit des compressions et des élongations cycliques ce quigénère des charges électriques. Ces charges sont ensuite accumulées sur les électrodes métalliques.La structure est illustrée sur la figure 3.13.Trois axes géométriques sont considérés : x suivant la longueur, y suivant la largeur et z suivantl’épaisseur de la poutre. L’origine des axes est située à l’encastrement et au niveau de l’axe neutrede la poutre. La couche piézoélectrique est polarisée verticalement selon l’axe (3) du matériaupiézoélectrique correspondant à l’axe z géométrique, l’axe (1) piézoélectrique coincïde avec l’axe xgéométrique et l’axe (2) piézoélectrique est confondu avec l’axe y géométrique.Pour simplifier la dénomination des dimensions de la structure, des indices ont été utilisés ;l’indice P pour les dimensions de la poutre et l’indice M pour les celles de la masse sismique. Ledéplacement vertical du centre de gravité de la masse sismique est décrit par la variable w 2 . Lemoment de rotation de la masse sismique M R est défini par rapport à son point d’attachementsur la poutre et enfin l’angle de rotation de la masse est décrit par la variable θ. Puisque l’inertierotationnelle de la masse sismique va s’opposer à sa rotation imposée par l’accélération, le signede M R est négatif par rapport au moment induit par la force d’inertie. Le point caractéristique decette structure est la grande masse sismique attachée sur une très fine poutre. Un tel assemblage53


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIEZAinFigure 3.13 – Structure à trois dimensions du microgénérateur piézoélectrique et notations utiliséesdans le modèleest nécessaire pour obtenir une structure avec une fréquence de résonance basse, correspondant auspectre des vibrations environnementales. Par suite, les points suivants ont été considérés :• la masse sismique est rigide,• l’accélération d’excitation est appliquée sur l’ensemble de la poutre,• les effets de rotation de la masse sismique sont pris en compte,• la masse de la poutre est négligeable par rapport à la masse sismique,• seul le premier mode de résonance en flexion est pris en compte.• l’influence des électrodes (couches fines) est considérée.Pour toutes les validations restantes dans ce chapitre, nous utilisons le silicium comme matériaustructurel et le PZT−4H ou l’AlN comme matériaux piézoélectriques. Les modèles VHDL-AMSétant génériques, le concepteur a la possibilité de remplacer ces matériaux par ceux correspondantà son dispositif.Notons que les matrices des propriétés mécaniques et piézoélectriques sont utilisées pour prendreen compte l’anisotropie des matériaux. La poutre est élancée ce qui permet d’utiliser les hypothèsesd’Euler-Bernoulli. Dans ce cas, les contraintes en cisaillement sont négligées [20].3.3.3.1 Modèle analytique• Simplifications dues aux conditions aux limitesLes variables mécaniques indépendantes sont les déformations horizontales S 1 et S 2 et les contraintesverticales T 3 . Grâce aux conditions aux limites, les simplifications suivantes peuvent être effectuées :54• La poutre est attachée sur le substrat donc les couches sont libres de se déformer verticalement(selon z). Par conséquent T 3 = 0.


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIE• La poutre est courte et encastrée des deux côtés. Sa largeur reste donc inchangée et on peutconsidérer que les contraintes uniformes selon y sont nulles. Par conséquent la déformationS 2 = 0.• Conséquences de l’encastrement : La tangente de la courbure à la poutre est nulle à l’encastrement,[ ]∂w∂x= 0 ainsi que la déformation de la poutre w(x = 0) = 0.x=0• Le placement des électrodes impose des surfaces équipotentielles sur les deux faces de la couchepiézoélectrique. Les composantes horizontales des vecteurs du champ électrique sont doncnulles E 1 = E 2 = 0.• Les contraintes sont uniformes en y et par conséquent D 2 = 0.• La distribution des charges des électrodes est uniforme selon y. Par conséquent le déplacementélectrique s’écrit D 3 = f(x,t).• Le champ électrique est uniforme selon l’axe x vu que les électrodes sont des surfaces équipotentielles.Les contraintes n’étant pas uniformes en épaisseur, le champ électrique s’écrit alorsE 3 = f(z,t).Dans le cas du silicium la seule matrice à considérer est la matrice d’élasticité mécanique C décritepar 3.18.⎡⎣⎤ ⎡T 1T 2⎦ = ⎣T 3⎤C 11 C 12 C 13C 21 C 22 C 23⎦C 31 C 32 C 33⎡⎣⎤S 1S 2⎦ (3.18)S 3L’équation 3.18 est simplifiée en utilisant les conditions aux limites précédentes. L’équation 3.19reliant les variables indépendantes est donc déduite. Le cheminement pour l’obtention de cetteéquation est détaille dans [20].T 1 = (C 11 − C2 13C 33)S 1= Y s ef S 1 (3.19)Les paramètres géométriques du modèle sont illustrés dans la figure 3.13.Le comportement mécanique du système est décrit par l’équation 3.20. La tension de sortie estdécrite par 3.21.M ẅ 2 +λw˙2 + K 1w 2 −ξ K 1 K 2 U 0 + J 0L p L 2 eq¨θ K 2 = M A in (3.20)U˙β0 L p +′ξ B p Z D U 0 +β ˙θ = 0 (3.21)Gavec M la valeur de la masse sismique, w 2 le déplacement du centre de gravité de la masse, λl’amortissement visqueux et U 0 la différence de potentiel entre les deux électrodes encapsulant lacouche piézoélectrique.On définit :• θ comme l’angle de rotation de la masse sismique relativement à l’axe y (au niveau de lajonction entre la poutre et la masse conformément à la figure 3.13),55


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIE• A in , l’amplitude de l’accélération d’entrée (au long de l’axe z),• L la projection sur l’axe des x de la distance entre l’encastrement et le centre de gravité de lamasse,• J 0 le moment d’inertie de la masse sismique relativement à l’axe des y,• L p et B p , respectivement la longueur et la largeur de la poutre,• Z la charge électrique connectée entre les électrodes,• et enfin les constantes ξ, K 1 , K 2 et L eq définies conformément au système d’équations 3.22 :K 1 = B p D G′L 2 eqK 2 = L− L p2L eq2= L 2 −L p L+ L p 23ǫ ef33ξ =′(3.22)β H p D GGrâce aux conditions aux limites définies dans le paragraphe 3.3.3.1, les équations constitutivesde la piézoélectricité sont modifiées de façon à faire apparaitre la relation entre les variablesindépendantes. On obtient alors le système d’équations 3.23.⎡⎣⎤T 1T 2⎦ =S 3⎡⎢⎣C ef11C ef21C ef31⎤⎡⎥ ⎢⎦S 1 −⎣e ef31e ef32C ef33⎤⎥⎦E 3D 3 = e 31 S 1 −ǫ ef33 E 3 (3.23)En appliquant l’équilibre des moments sur la poutre, nous pouvons déduire l’expression de sacourbure selon l’équation 3.24.κ = ∂2 w∂x 2m= (A in −ẅ2)(L−x)− M R+ζU 0 (3.24)B p D G2 B p D G2Une intégration de l’expression du déplacement conduit à la valeur de l’angle de rotation θ aubout de la poutre. Pour cela, nous tiendrons compte des conditions aux limites citées précédemment(3.3.3.1).56Pour des petits angles de rotation de la masse, l’angle de rotation θ au bout de la poutre peut


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIEêtre approximé par sa tangente (tanθ). L’expression de θ est donnée par l’équation 3.25.θ ≈[tanθ] ∂w=∂x=x=L pL pB p D G”(m(A in − ẅ 2 )(L− L )p)− M R +ζ U 0 L p (3.25)2Après la deuxième intégration, l’expression de la déformation de la poutre en fonction de lacoordonnée x, de l’excitation et de la tension entre les électrodes sont reliés selon l’équation 3.26.L p2w 1 =”2 B p D G( (m(A in − ẅ 2 ) L− L )p L)− 2 pM R +ζ U 03 2(3.26)Vu que la masse est rigide, il a été supposé qu’elle ne se déforme pas. Le déplacement w 2 a parconséquent été défini par l’équation 3.28.W 2 = tanθ(L−L p )+w 1 (3.27)= L 2p L eq”B p D m(A in −ẅ 2 )− M (R L pL− L (p)+ζU”0 L p L− L )pG B p D G 2 2L’expression de position a 1 de l’axe neutre de la poutre (c’est à dire la coordonnée pour laquelleles forces résultantes sont nulles) est définie par l’équation 3.28.Les cœfficients avec l’exposant "ef " représentent les valeurs effectives des constantes respectives.Le calcul de ces constantes est dérivé des conditions aux limites comme proposé dans [75].a 1 = Y (be H be (2 H s +H be )+Y 2 p Hp +2 H p (H s +H be ) )2 (Ys ef H s +Y be H be +Y p H p +Y te H te )+ Y efS H S 2 (+Y te H2te +2 H te (H s +H be +H p ) )2 (Ys ef H s +Y be H be +Y p H p +Y te H te )(3.28)La constante β est définie par l’équation 3.29. H be et H te sont respectivement l’épaisseur del’électrode du dessous et celle du dessus.β = e 31 ef2 ǫ ef H p (H p +2 H s +H be −2 a 1 ) (3.29)33D G ′ , la rigidité équivalente de la poutre, est définie par l’équation 3.30, où z i (de 1 à 5) sont lespositions des différentes couches de la poutre conformément à la figure 3.14.D G = Y efSD G′z 3 2 −z3 1 z 3 4 −z3 3+Y p +3 3Y ef z 3 3 −z3 2be+Y ef z 3 5 −z3 4te3 3( ) 2= D G + e ef (z4 3 −z 3 3 )313 Y ef −Y efSSβ 2Hp(3.30)57


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIEFigure 3.14 – Illustration des différentes positions des couches de la poutre selon l’axe z et l’axeauxiliaire a• Implantation VHDL-AMS des pertesTrois types de pertes sont considérés :• L’amortissement visqueux qui introduit une force proportionnelle à la vitesse de déplacementavec ζ le rapport d’amortissement visqueux. Cet amortissement est déjà pris en compte dansl’équation 3.20) avec λ = ζ 2π f,• L’amortissement structurel qui introduit une force proportionnelle à la déformation. Les pertesmécaniques de chaque matériau sont représentées comme partie imaginaire des rigidités effectivesincluses dans les équations de rigidité équivalente de la poutre D G . Avec cette représentation,l’expression de D G dans l’équation 3.30 devient 3.31. γ s et γ p , sont respectivement lespertes structurelles du silicium et du matériau piézoélectrique utilisé.D G = Y efS (1+jγ s) z 2 3 −z3 13z 4 3 −z 333+Y efbez 3 3 −z 233+Y p (1+jγ p )+Y eftez 5 3 −z 433(3.31)• et les pertes diélectriques concernent seulement la couche piézoélectrique. Elles sont représentéescomme partie imaginaire de la permittivité électrique du matériau conformément àl’équation 3.32.ǫ s 33 = ǫ s 33Re −j ǫ 33 s Retanγ (3.32)Notons que les pertes diélectriques peuvent être modélisées par une résistance en parallèle avecl’élément piézoélectrique car la valeur de la charge optimale est beaucoup plus petite en résonance[20]. Par conséquent, ce type d’amortissement influence le comportement du microgénérateur surtoutà l’antirésonance.En conformité avec les équations 3.31 et 3.32, les cœfficients d’amortissement sont implantés enVHDL-AMS comme des constantes de type complexe. Ces constantes sont utilisées dans les DAE3.20 et 3.21. Par conséquent, les quantités (“quantities”) utilisées dans ces équations doivent aussiêtre de type complexe.En VHDL-AMS, une quantité peut être de type réel ou un composite de réels [3]. Considérantqu’un nombre complexe est défini dans le paquetage "math_complex" comme étant une structure("record") d’éléments de type réel (et donc composite de réels), nous pouvons utiliser des quantités58


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIEde type complexe. Par conséquent, la manière intuitive de modéliser l’amortissement serait d’utiliserexclusivement des quantités de type complexe dans les équations 3.20 et 3.21.D’un autre côté, nous nous sommes fixé dans le guide de modélisation préalablement élaboré(voir section 2.6), que tous les modèles doivent êtres conservatifs et donc "pin-accurate". Ainsi, les"quantités de branche" (“branch quantities”) doivent être déclarées comme réelles.La solution que nous avons proposé repose sur l’utilisation des variables intermédiaires (quantitéslibres ou "free quantities") comme montré dans le code présenté ci-après :1 −− Free Quantities declaration2 −− Intermediate voltage output variable3 QUANTITY vout_help : complex := ( 0.0 ,0.0) ;4 −− Intermediate output displacement variable5 QUANTITY wout_help : complex := ( 0.0 ,0.0) ;6 −− Intermediate moment inertia of the mass variable7 QUANTITY Mr : complex :=(0.0 ,0.0) ;8 −− Intermediate angle of the rotation of the mass variable9 QUANTITY teta : complex := (0.0 ,0.0) ;10 −− Output velocity11 QUANTITY velocity_out : complex :=(0.0 ,0.0) ;12 −−intermediate input and output acceleration variables13 QUANTITY aout : complex :=(0.0 ,0.0) ;14 QUANTITY ain2 through pin2 to anchor ;15 QUANTITY ain : complex :=(0.0 ,0.0) ;Ces variables ont été utilisées dans les équations décrivant la dynamique du système. Ensuite,nous avons assigné à chaque quantité branche la partie réelle de la variable complexe correspondante(tension récupérée et déplacement de la poutre).Cette méthode peut être étendue pour tenir compte des pertes, généralement exprimées commepartie imaginaire de nombres complexes. Cependant, nous soulignons que cette méthode n’est valideque si tous les signaux sont sinusoïdaux.La tension récupérée et le déplacement de la poutre sont nommées respectivement “vout ′′ et“wout ′′ . Le corps de l’architecture VHDL-AMS est le suivant :1BEGIN23 IF (domain = quiescent_domain ) USE4 Mr == ( 0.0 ,0.0) ;5 vout_help == (0.0 ,0.0) ;6 aout == (0.0 ,0.0) ;7 teta == (0.0 ,0.0) ;8 teta_deriv == (0.0 ,0.0) ;9 velocity_out == (0.0 ,0.0) ;10 wout_help == (0.0 ,0.0) ;11 ELSE12 ain_help ==(ain1 , ain2 ) ;13 teta_deriv == teta ’ dot ;14 velocity_out== wout_help ’ dot ;15 aout == velocity_out ’ dot ;16 Mr == j0 ∗teta_deriv ’ dot tolerance "MOMENT" ;17 teta == C1∗(C2∗(m∗( ain−aout )− lambda∗ velocity_out )− Mr) + C3∗ vout_helptolerance"<strong>DE</strong>FAULT_ANGLE" ;18 wout_help == C4∗(C5∗(m∗( ain−aout )− lambda∗ velocity_out )− Mr) + C6∗vout_help + c7∗teta tolerance"DISPLACEMENT" ;19 vout_help == Z∗C8∗(Lp∗vout_help ’ dot + beta∗teta ’ dot ) tolerance"PIEZO_VOLTAGE" ;59


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIE20 wout == wout_help . re ;21 vout == vout_help . re ;2223END USE;L’attribut "’dot" représente la dérivée première. Les lignes (2-10) définissent les conditions initiales.Les équations décrivant le comportement du système sont implantées dans le reste du code(lignes 11-21). Les différentes constantes utilisées dans la partie déclarative de l’architecture sontreportés dans l’annexe A.3.3.3.2 Résultats de simulation et discussion• Précision et temps de simulationDans le but de valider la précision des simulations obtenues avec l’implantation du modèle VHDL-AMS, nous avons comparé les résultats avec ceux obtenus en implantant les équations sous le logicielMAPLE.Pour l’analyse fréquentielle (analyse AC), le stimuli est une accélération représentée par une"quantité source" (source quantity) de magnitude 0,4 g.Le cœfficient d’amortissement visqueux est égal à2%. Le matériau piézoélectrique est lePZT_4H.Les dimensions géométriques et les propriétés des matériaux sont listées dans la partie déclarativedu modèle (la partie "generic") illustré dans l’annexe A.Une puissance maximale de 28 nW à la fréquence de résonance de 720 Hz, est obtenue sur unecharge purement résistive de 500 kΩ.Comme illustré dans la figure 3.15, la comparaison entre les résultats obtenus par les simulationsdes modèles VHDL-AMS et MAPLE (avec le même pas de simulation) donne deux courbesrigoureusement identiques ce qui atteste de la précision de l’implantation du modèle.Cependant, le temps de simulation a été considérablement réduit (10 ms pour les simulationsVHDL-AMS contre 1 s pour celles avec le logiciel MAPLE).Figure 3.15 – Comparaison entre la puissance de sortie du microgénérateur résultant de la simulationdu modèle VHDL-AMS et celle des calculs faits avec le logiciel MAPLE .60


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIESoulignons qu’en plus du temps de simulation qui est réduit tout en gardant la même précision, lemodèle VHDL-AMS peut être utilisé dans des simulations globales en étant cascadé à d’autres blocs(le circuit électronique de gestion d’énergie par exemple) alors que celui écrit en MAPLE nele permet pas.3.3.3.3 Analyse transitoireL’analyse transitoire avec le logiciel MAPLE est généralement gourmande en termes de tempsde simulation. C’est pourquoi, seule une comparaison dans le domaine fréquentiel a été effectuée.Toutefois, l’analyse transitoire est très importante dans la modélisation des signaux mixtes notammentdans le cas des WSN. Les résultats de l’analyse transitoire, obtenus avec le même vecteur detest utilisé pour l’analyse fréquentielle, sont illustrés dans la figure 3.16.Les figures 3.16-(a) et 3.16-(b) représentent l’évolution au cours du temps respectivement de latension et la puissance instantanée récupéré sur une charge résistive de 500 kΩ (avec un zoom surle régime établi).Conformément aux résultats de l’analyse fréquentielle de la figure 3.15, le microgénérateur délivreune puissance maximale de 28 nW, quand il est excité sous sa fréquence de résonance de 720 Hz.(a)(b)Figure 3.16 – Evolution de la tension et de la puissance de sortie du microgénérateur avec commeexcitation une accélération d’amplitude 0,4 g et une fréquence de résonance de 720 Hz et une chargerésistive de 500 kΩ3.3.3.4 Impact du choix du matériauAfin d’étudier l’impact du choix du matériau piézoélectrique sur la performance du système,nous avons étudié deux matériaux piézoélectriques : le PZT-4H et l’AlN .Chacun de ces deux matériaux présente des avantages et des limites : l’AlN a un faible cœfficientde couplage piézoélectrique comparé à celui du PZT. En contre partie, le dépôt de l’ AlN est plussimple. Il est de plus compatible avec les processus de fabrication CMOS et ne requière pas dehautes températures de polarisation ("pooling" en anglais).61


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIEPar ailleurs, la permittivité électrique de l’AlN est beaucoup plus faible (10) que celle du PZT(3600) ce qui favorise la fabrication de dispositifs avec des capacités plus faibles.Comme le microgénérateur est cascadé par un circuit électronique CMOS, cette dernière caractéristiquede l’AlN est un argument important en faveur de l’utilisation de ce matériau malgré sonfaible cœfficient de couplage piézoélectrique.Par conséquent, nous avons effectué une comparaison entre les performances des dispositifs utilisantles deux matériaux piézoélectriques. Pour effectuer cette comparaison, il a suffit de changerles paramètres décrivant les propriétés des matériaux dans l’interface "generic" (voir annexe A).Le vecteur de test demeure le même que celui utilisé dans la section 3.3.3.2. Les résultats de cettecomparaison sont illustrés par la figure 3.17.Figure 3.17 – Impact du matériau piézoélectrique : puissance de sortie des microgénérateurs utilisantles deux types de matériaux (AlN et PZT) en fonction de la fréquence pour une accélération de0,4 gSelon les résultats de simulation de la figure 3.17, la puissance de sortie du microgénérateur àbase d’AlN est plus faible que celle générée par la même structure (avec les mêmes dimensions)mais à base de PZT. Ce résultat est prévisible vu que le cœfficient de couplage de l’AlN est faiblepar rapport à celui du PZT.Notons aussi une fréquence de résonance plus importante dans le cas du microgénérateur à based’AlN. Nous expliquons ce décalage de fréquence par le fait que l’AlN est un matériau plus rigideque le PZT.En dépit de ces premiers résultats, nous avons décidé de continuer nos investigations avec cematériau afin de tirer profit des avantages déjà énumérés dans ce paragraphe. Pour ceci, il a fallucompenser les pertes dues au propriétés intrinsèques du matériau en jouant sur les paramètresgéométriques du dispositif (voir la section 3.3.3.7).3.3.3.5 Etude du couplage électromécaniqueNous avons réalisé une analyse fréquentielle afin d’identifier la résistance optimale qui correspondau maximum de la puissance de sortie générée.Pour cette étude, le matériau utilisé est l’AlN. Les longueurs de la poutre et de la masse sontégales et ont été fixées à 800 µm. La hauteur et largeur de la masse sont égaux et de valeur 400 µm.62


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIELa couche piézoélectrique est d’épaisseur 3 µm. Celle de la couche de support en silicium est de5 µm.Les résultats de l’analyse paramétrique de la résistance sont illustrés par la figure 3.18. Nousavons identifié deux valeurs optimales pour la structure à base d’AlN : 4 MΩ à la résonance et80 MΩ à l’antirésonance.La présence de deux pics de fréquences prouve que le système est fortement couplé :la charge électrique influence le comportement mécanique du système. Une puissancemaximale de 19,4 nW est obtenue pour une faible accélération de 0,2 g.Pour toutes les simulations restantes dans cette section, la charge électrique a été fixée à sa valeuroptimale à la résonance. Nous maintiendrons aussi le même vecteur de test. Sauf mention contraire,le rapport d’amortissement visqueux ζ est fixé à 0.1%.Figure 3.18 – Maximum de puissance récoltée et fréquence de résonance en fonction de la chargeélectriqueDans la partie suivante (section 3.3.3.6), nous discutons l’influence des électrodes et l’effet despertes implantés dans la partie 3.3.3.1.3.3.3.6 Influence des électrodes et des pertes• Influence des électrodesComme montrée dans la figure 3.19, la prise en compte de l’effet de l’électrode supérieure (conformémentà l’équation 3.30) modélise correctement le phénomène suivant : plus l’électrode est épaisse,plus importante est la fréquence de résonance. Il est important de prendre en considération cet effetdans le cas des MEMS, car contrairement aux dispositifs de taille macroscopique, l’épaisseur desélectrodes est comparable à celle du matériau piézoélectrique.Notons que, dans le cas de la structure à base d’AlN, seule une électrode supérieure a été utilisée.En effet, des ouvertures dans la couche de nitrure d’aluminium ont été faites pour assurer les contactsavec la couche en silicium servant comme électrode inférieure. En revanche, pour la structure à basede "PZT-4H", deux électrodes ont été utilisées : l’électrode supérieure (400 nm d’or sur une couched’accrochage en chrome de 20 nm) et une électrode inférieure en platine de 100 nm.• Effet de l’amortissement visqueux63


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIEFigure 3.19 – Influence de la hauteur de l’électrode supérieure sur le maximum de puissance généréeet sur la fréquence de résonance pour la structure basée sur l’AlNComme l’atteste la figure 3.20, l’amortissement visqueux influence largement la performance dusystème. Ce paramètre peut réduire d’une façon importante la puissance générée par le dispositif.100zeta=0zeta=1e-2zeta=1e-110Power output [nW]10.10.01960 970 980 990 1000 1010 1020 1030 1040Frequency [Hz]Figure 3.20 – Influence de l’amortissement visqueux sur la puissance maximale générée par lastructure à base d’AlN• Effet de l’amortissement diélectriqueLes pertes diélectriques peuvent être modélisées comme une résistance connectée en parallèle surla capacité piézoélectrique. La valeur R p de cette résistance dépend de tanδ selon l’équation 3.33.R p =1w C p tanδ(3.33)Ainsi, la résistance équivalente diminue avec l’augmentation de la valeur des pertes diélectriques.En conséquence, la puissance récoltée se voit abaissée (voir la figure 3.21)• Effet de l’amortissement structurelDans cette section, nous soulignons l’importance de la qualité du matériau piézoélectrique sur laquantité d’énergie récoltée.64


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIE3025tgd=0tgd=1e-3tgd=0.5Puissance de sortie [nW]20151050996 998 1000 1002 1004Frequence [Hz]Figure 3.21 – Influence de l’amortissement diélectrique sur le maximum de puisssnace généré parla structure à base d’AlN (les amortissements visqueux et structuraux étant fixés à 1%)Le facteur de qualité mécanique de l’AlN utilisé pour fabriquer les dispositifs est : Q p = 120 [20].Figure 3.22 – Influence du facteur de qualité mécanique du matériau sur le maximum de puissancegénérée par la structure à base d’AlNLa figure 3.22 souligne l’importance de la prise en compte de ce paramètre dans la modélisationdu microgénérateur. En effet, si nous pouvions utiliser un matériau "idéal" (facteur de qualité infini),le maximum de puissance récoltée serait égal à 37 nW, valeur limitée seulement par les autres typesd’amortissement.Dans le cas pratique, le maximum de puissance récoltée correspond à une valeur de 19,4 nW, cequi représente une perte de 47.5% par rapport au matériau dit "idéal".Toutefois, il convient de souligner que la qualité mécanique de toute structure MEMS est généralementplus élevée que le cas des structures macroscopiques grâce à la haute qualité du siliciummonocristallin.65


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIE3.3.3.7 Optimisation de la structure : analyse paramétriqueDans le but d’optimiser la structure à base d’AlN, les contraintes technologiques suivantes ontété considérées :• l’épaisseur de la masse sismique a été fixée à celle du SOI standard soit 500 µm,• la longueur minimale de la poutre a été fixée à 400 µm (lp ≤ 400 µm). Cette valeur correspondà la distance minimale entre les parois, ce qui garantie une bonne uniformité DRIE face arrière,• l’épaisseur de la couche de silicium doit être plus grande que 5 µm (pour des raisons defiabilité),• l’épaisseur de la couche métallique supérieure a été fixée à 400 nm : valeur qui garantit laréussite des étapes de fabrication tout en gardant un bon facteur de qualité mécanique dusystème,• l’épaisseur de la couche piézoélectrique est déterminée par les contraintes du processus dedépôt et doit être comprise entre 1 µm et 4 µm• et enfin, nous adressons des systèmes dont la fréquence de résonance est de 1 kHz.Une analyse paramétrique tenant compte de toutes ces contraintes a été effectuée dans le but dedéterminer les dimensions qui garantissent la meilleure performance de la structure.Deux métriques de performance ont été choisies : la quantité de puissance générée et le facteurde qualité mécanique du système.La première étape est une identification de la paire (H p ,H s ) qui vérifie la fréquence de résonancedésirée. Nous parlons de la paire (H p ,H s ) car il est important d’étudier l’influence des deux paramètresconjointement : deux études séparées peuvent mener à des conclusions biaisées. Ceci est dûà deux raisons :• D’un côté, une augmentation de l’épaisseur de la couche piézoélectrique H p engendre l’accroissementde la puissance délivrée par le microgénérateur. Ce résultat s’explique par le faitque la puissance de sortie est limitée par la capacité piézoélectrique, elle même inversementproportionnelle à l’épaisseur de la couche piézoélectrique H p conformément à l’équation 3.34.C p = L p B p ǫH p(3.34)• D’un autre côté, une augmentation de la couche piézoélectrique H p doit être accompagnéed’une diminution de l’épaisseur de la couche de silicium afin de garantir une fréquence derésonance constante. En même temps, quand la couche de silicium est amincie, la qualitémécanique de tout le système se dégrade (car le silicium monocristallin a un facteur de qualitémécanique plus important que celui de la couche piézoélectrique).Ainsi, nous avons effectué une analyse fréquentielle avec H p variant entre 1 µm et 4 µm et H sentre 5 µm et 10 µm. Nous avons identifié 1 µm et 7,5 µm, respectivement l’épaisseur de la couchepiézoélectrique et celle du silicium, comme étant le meilleur couple de paramètres qui délivre lemaximum de puissance (2 7nW) dans l’intervalle spécifié, à cette fréquence de résonance et avecl’amplitude de l’accélération spécifiée.Toutefois, il convient de rappeler qu’en diminuant l’épaisseur de la couche piézoélectrique, lacapacité totale du système augmente. Et comme le système sera cascadé avec un circuit électrique,66


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIEl’augmentation de la capacité piézoélectrique n’est pas désirée. Par conséquent, le concepteur estinvité à considérer la capacité du circuit électrique dans le choix de ce couple de paramètres. Unetelle optimisation est possible grâce à la nature conservative du modèle.Ce type d’analyse, extensible à n’importe quelle structure MEMS, représente ungain de temps précieux pour le concepteur mécanique.• Comparaison avec les résultats expérimentaux et prédiction des performancesLes microgénérateurs ont été fabriqués et expérimentalement testés dans le cadre de la thèse deM.Marzencki [20]. La précision limitée du procédé de fabrication a introduit une petite déviationdes résultats par rapport à ceux attendus.La figure 3.23 illustre une photographie MEB du composant fabriqué avec un zoom sur la massesismique. Une sous gravure de 70µm peut être observée, ce qui a engendré l’augmentation de lafréquence de résonance.Figure 3.23 – Image MEB du microgénérateur piézoélectrique à base d’AlNPour cette raison, les paramètres nominaux utilisés jusqu’à présent dans le modèle sont maintenantremplacés par les paramètres extraits (listés dans la table 3.5). Nous avons de même considérédans l’implantation VHDL-AMS une capacité parasite de 20 pF introduite par les contacts.La fréquence de résonance mesurée pour une accélération d’amplitude A in = 0,2 g est de1368 Hzce qui est en accord avec celle obtenue par analyse fréquentielle en utilisant les paramètres extraits(1364 Hz).La résistance optimale est obtenue par simulation en suivant la même méthode de la section3.3.3.5. Elle correspond à la valeur optimale mesurée (650 kΩ).La figure 3.24 illustre l’amplitude de la puissance générée sur une charge résistive correspondanteen fonction de l’accélération en entrée.Pour les accélérations de faible amplitude, nous pouvons noter une correspondance quasi-parfaiteentre les résultats expérimentaux et les résultats de simulation. En revanche, une petite différencepour les excitations les plus élevées a été enregistrée.Cet effet peut être expliqué par le comportement non linéaire de la structure, qui n’a pas étéimplanté dans le modèle VHDL-AMS. En effet, la fréquence de résonance augmente car les matériauxdeviennent plus rigides quand on augmente la déformation appliquée. La non-linéarité cause doncune limitation dans la quantité de puissance pour les excitations les plus élevées.67


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIEFigure 3.24 – Tension et puissance de sortie en fonction de l’amplitude de l’accélération en entrée(comparaison entre résultats de simulation et résultats expérimentaux)Paramètre Description valeurL p Longueur de la poutre 400 µmH m Epaisseur de la masse 530 µmH s Epaisseur de la couche en silicium 9,94 µmH p Epaisseur de la couche piézoélectrique 0,91 µmQ p Facteur de qualité de la couche piézoélectrique 120tan(d) Coefficient de pertes diélectriques 10 −3ζ Coefficient d’amortissement visqueux 2,5×10 −3H te Epaisseur de l’électrode supérieure 0,56 µmR Valeur de la charge résistive 650 kΩC Capacité parasite dûe aux contacts 20 pFTable 3.5 – Paramètres du microgénérateur utilisés pour la comparaison entre les résultats issusdes mesures expérimentales et les résultats de simulation3.3.3.8 Analyse de sensibilitéNous avons effectué une analyse de Monte-Carlo dans le but d’évaluer la sensibilité du modèleaux variations du procédé de fabrication.La charge résistive a été fixée à une valeur arbitraire de 5 MΩ, l’accélération à une amplitude de0,2 g. Les valeurs nominales ont été choisies de façon à avoir une fréquence de résonance de 1 kHz.Nous avons identifié les paramètres vulnérables au procédé de fabrication et nous avons supposéque les variations de tous les paramètres suivent un modèle Gaussien.En un premier lieu, nous avons supposé une dispersion de 5% autour des valeurs nominales etnous avons effectué 500 tirages. Conformément aux règles de l’analyse Monte-Carlo, nous avonssupposé qu’il n’existe aucune corrélation entre les paramètres analysés. Le résultat de l’analyseMonte-Carlo est illustré dans la figure 3.25.68


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIEEn un second lieu, nous avons supposé qu’un procédé de fabrication robuste a été utilisé (unevariation de 2% autour des paramètres nominaux), et nous avons constaté que la variation a étéconsidérablement réduite (voir la figure 3.25).Nous avons comparé les fréquences de résonance et les tensions de sortie générées par les structuresmicrofabriquées (voir figure 3.25). Comme prévu, une dispersion importante aussi bien pourles fréquences de résonance que pour les tensions de sortie a été observée.Ce résultat vient confirmer l’hypothèse selon laquelle la fréquence de résonance a augmenté àcause de la sous gravure observée dans la figure 3.23.Lors de la fabrication de composants MEMS, il n’est pas rare qu’on observe des variationsinattendues des dimensions par rapport à celles prévues. Ces variations sont dûes aux limitationsdes procédés de fabrication des MEMS. Elles peuvent concerner aussi bien la largeur de la poutre,l’épaisseur de la couche de silicium que la taille de la masse. L’analyse Monte-Carlo nous montrele haut degré de corrélation entre la performance du système et la robustesse de leurprocédé de fabrication (ce qui est pour l’instant rarement le cas des MEMS).Figure 3.25 – Dispersion en fréquence de résonance de la structure basée sur l’AlN, dûe aux fluctuationsdu procédé de fabrication (résultats de l’analyse Monte-Carlo)3.4 ConclusionDans ce chapitre, nous avons vu comment implanter des modèles multi-domaines enVHDL-AMS. Nous avons aussi prouvé que ce type de modèles, résultant d’un flot de conceptiondescendant, peut être utilisé avec succès comme une passerelle entre la spécification et lecomposant fabriqué. L’efficacité de cette méthode a été démontrée par la modélisation d’unMEMS (microgénérateur piézoélectrique).Ce modèle est réutilisable pour d’autres matériaux piézoélectriques : il suffira de substituerles propriétés du matériau. Il peut aussi être repris pour l’étude de microgénérateurs à dimensionsdifférentes (par substitution des paramètres géométriques).69


CHAPITRE 3. SYSTÈMES <strong>DE</strong> RÉCUPÉRATION D’ÉNERGIENous avons aussi montré la possibilité d’étudier l’effet de différents types de pertes dans un modèleVHDL-AMS. Cette méthode validée pour un microgénérateur piézoélectrique, reste valable pourtout système fortement couplé (magnétique, électrostatique...) où les pertes ont un impact nonnégligeable sur la performance du système.De plus, nous avons démontré comment le concepteur peut gagner un temps précieuxen optimisant sa structure. Cette optimisation peut être réalisée en jouant sur les paramètresgéométriques du modèle VHDL-AMS au lieu d’effectuer de lourdes simulations FEM.Par ailleurs, une analyse statistique a été effectuée pour prédire l’impact des fluctuations duprocédé de fabrication sur les fréquences de résonance des structures et des tensions générées.Finalement, un bon accord entre les résultats de simulation et les résultats expérimentauxa été obtenu ce qui prouve la performance de cette approche de modélisation.70


Chapitre 4Systèmes de stockage d’énergieSommaire4.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 734.2 Les différentes méthodes de stockage d’énergie . . . . . . . . . . . . . . . 734.3 Caractéristiques d’une batterie . . . . . . . . . . . . . . . . . . . . . . . . 754.3.1 Principe de fonctionnement . . . . . . . . . . . . . . . . . . . . . . . . . . . 764.3.1.1 Différentes chutes de potentiels au sein d’une batterie . . . . . . . 774.3.1.2 Compromis densité d’énergie/densité de puissance . . . . . . . . . 774.3.2 Effets non linéaires au sein d’une batterie . . . . . . . . . . . . . . . . . . . 784.4 Choix des technologies utilisées . . . . . . . . . . . . . . . . . . . . . . . . 794.4.1 Les batteries nickel métal hydrure NiCd et NiMH . . . . . . . . . . . . . . . 804.4.2 Les batteries Li-Ion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 814.4.2.1 L’électronique de sécurité associée à une batterie Li-Ion . . . . . . 824.4.3 Comparaison entre les différentes technologies de batteries . . . . . . . . . . 824.5 Les différents modèles de batteries . . . . . . . . . . . . . . . . . . . . . . 824.5.1 Les modèles électrochimiques . . . . . . . . . . . . . . . . . . . . . . . . . . 834.5.2 Les modèles analytiques ou empiriques . . . . . . . . . . . . . . . . . . . . . 844.5.2.1 Loi de Peukert . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 844.5.2.2 Le modèle de diffusion (VR) . . . . . . . . . . . . . . . . . . . . . 854.5.3 Les modèles stochastiques . . . . . . . . . . . . . . . . . . . . . . . . . . . . 854.5.4 Les modèles cinétiques (KiBaM) . . . . . . . . . . . . . . . . . . . . . . . . 864.5.5 Les modèles mixtes stochastiques analytiques (KiBaM modifié) . . . . . . . 884.5.6 Les modèles électriques équivalents . . . . . . . . . . . . . . . . . . . . . . . 894.5.6.1 Modèles électriques basés sur le schéma équivalent de Thévenin . 894.5.6.2 Modèles électriques équivalents basés sur les impédances . . . . . 904.5.6.3 Modèles électriques basés sur le temps de fonctionnement de labatterie . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 904.5.6.4 Modèle discret . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 924.6 Modèle électrique évolué de la batterie . . . . . . . . . . . . . . . . . . . 934.7 Implémentation VHDL-AMS . . . . . . . . . . . . . . . . . . . . . . . . . 954.8 Les différents blocs constituant la batterie . . . . . . . . . . . . . . . . . 984.8.1 Tension à circuit ouvert de la batterie . . . . . . . . . . . . . . . . . . . . . 984.8.2 Chute de tension instantanée au sein la batterie suite à une impulsion,modélisée par une résistance en série . . . . . . . . . . . . . . . . . . . . . . 9971


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIE4.8.3 Capacité de la batterie et influence de la température . . . . . . . . . . . . 1004.8.4 Temps de réponse court et long de la batterie . . . . . . . . . . . . . . . . . 1024.9 Résultats de simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1034.9.1 Charge et décharge à courant constant . . . . . . . . . . . . . . . . . . . . . 1034.9.2 Charge et décharge avec train d’impulsions . . . . . . . . . . . . . . . . . . 1034.9.3 Etude de l’influence de la température . . . . . . . . . . . . . . . . . . . . 1044.10 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10572


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIE4.1 IntroductionSuite à la synthèse faite dans le chapitre précédent (voir la section 3.2), nous avions décidéd’écarter l’éventualité d’utiliser les batteries comme une source d’alimentation du système et de luiprivilégier les microgénérateurs piézoélectriques qui permettent de récupérer de l’énergie mécaniqueprésente dans l’environnement. En revanche, nous les avions pré-sélectionnées comme éléments destockage d’énergie.Tout d’abord, nous présenterons un état de l’art des différentes méthodes de stockage de l’énergie.Nous soulignons la difficulté dans la modélisation des batteries qui réside dans la diversité descompétences requises. En effet, électrochimistes et électroniciens doivent adopter un vocabulairecommun pour aboutir à un modèle suffisamment fiable (c’est à dire qu’il représente l’essentieldes propriétés électrochimiques de la batterie) et suffisamment simple pour être implémenté dansun circuit contrôlé par une logique en temps réel [76]. Ensuite, nous comparerons les différentestechnologies de batteries présentes sur le marché. En fin de ce chapitre, nous fournirons un modèlequi répond au mieux à cette adéquation : un modèle physique prédictif et comparable aux résultatsexpérimentaux.4.2 Les différentes méthodes de stockage d’énergieIl existe plusieurs méthodes de stockage d’énergie. Les plus importantes sont les batteries, lessupercapacités et les piles à combustibles.Bien que les mécanismes de conversion et de stockage d’énergie utilisés par ces trois méthodessont différents, elles partagent plusieurs caractéristiques électrochimiques. En effet, dans les troiscas :• la production d’énergie se fait au niveau de l’interface électrode/électrolyte.• le transport des électrons et des ions sont deux processus distincts (l’électrolyte est un conducteurionique et joue le rôle d’un isolant électronique).L’énergie électrique est générée aussi bien pour les batteries que pour les piles à combustibles paroxydo-réduction. La différence entre les deux est la nature des acteurs participant à cette réaction.En effet, la batterie est un système fermé dans lequel le transfert de charge est assuré par l’anodeet la cathode qui sont les masses réactives de la réaction d’oxydo-réduction.Les piles à combustible sont, comme montré sur la figure 4.1, des systèmes ouverts où l’anode etla cathode représentent seulement le "moyen" de transfert de l’énergie. En revanche, les masses réactivesparticipant à la réaction d’oxydo-réduction proviennent de l’extérieur de la pile (par exemplel’oxygène de l’air, des combustibles comme l’hydrogène ou les hydrocarbures).Dans les condensateurs électrochimiques appelés aussi supercondensateurs, la conversion del’énergie ne se fait pas par oxydo-réduction. Par conséquent, l’utilisation des termes anode etcathode, bien que d’usage, n’est pas appropriée. En effet, le stockage de l’énergie s’effectue pardistribution des ions de l’électrolyte au voisinage de la surface de chaque électrode, sous l’influenceélectrostatique de la tension appliquée. Il se crée ainsi aux interfaces une zone de charge d’espaceappelée double couche électrique, d’épaisseur limitée à quelques nanomètres. Quant à la fonctiondiélectrique, elle est assurée par les molécules du solvant de l’électrolyte. Pour exploiter au mieux73


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIEFigure 4.1 – Fonctionnement d’une pile à combustibleles potentialités de cette couche double électrique en termes d’énergie spécifique et de capacité volumique,il convient d’accroître la surface de contact entre électrode et électrolyte sans augmenteroutre mesure le volume total de l’ensemble (figure 4.2).Pour ce faire, des matériaux poreux de très grande surface spécifique, comme le charbon actif(1000 m 2 .g −1 ) sont utilisés [77].Figure 4.2 – Structure interne des supercondensateurs à couche double électriqueEn comparaison avec les supercondensateurs et les piles à combustibles, les batteries ont envahi,et de loin, la plupart des applications du marché. Les supercondensateurs sont communément utiliséspour la protection des mémoires et plus rarement comme remplacement direct de la batterie électrochimique.En attendant donc une rupture technologique des piles à combustibles à la fois fiable,pratique et de coût raisonnable, nous considérons que le choix se restreint entre supercondensateurset batteries.74Les supercapacités présentent les avantages suivants :• Une durée de vie pratiquement illimitée : ne sont pas sujettes au vieillissement comme lesbatteries électrochimiques ≈ 10 6 cycles contre ≈ 10 3 cycles pour les batteries,


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIE• une basse impédance : nous pouvons profiter de cette propriété en mettant une supercapacitéen parallèle avec une batterie électrochimique chargée par un courant pulsé afin de tirer profitde cette synergie qui existe entre les deux technologies et améliorer les performances desbatteries. En effet, la batterie charge la supercapacité tant que le courant de charge est faiblece qui améliore l’énergie stockée. Ceci optimise le rendement de la batterie et prolonge ainsisa durée de vie,• un chargement rapide : grâce à leur faible impédance, les supercapacités sont chargées enquelques secondes,• des circuits de charge simples : circuit de limitation de tension de l’auto-décharge, pas besoinde détection de pleine charge et• un stockage rentable d’énergie : la faible densité d’énergie est compensée par une durée de vieélevée.En contre partie, l’utilisation d’une supercapacité induit les inconvénients suivants :• d’une application à une autre, l’énergie disponible est plus ou moins utilisée,• une faible densité d’énergie : typiquement 20% à 10% de celle d’une batterie,• auto-décharge plus importante que pour les batteries (surtout à courant de décharge élevé).• l’équilibrage de tension est exigé si plus de trois condensateurs sont reliés en série,• et basses tensions : des mises en série sont nécessaires pour obtenir des tensions plus élevées.Nous pouvons d’ores et déjà souligner que la propriété intrinsèque d’auto-décharge des supercapacitésen cas de profils de charge élevés est particulièrement indésirable dans notre cas d’applicationoù la quantité d’énergie disponible est limitée (sauf si nous envisageons d’utiliser plusieurs sourcesd’énergie).Par ailleurs, les termes densité d’énergie et densité de puissance doivent être utilisés pour comparerles batteries et les supercondensateurs. En effet, les batteries ont en général une densitéénergétique élevée et une faible densité de puissance. Les supercondensateurs ont au contraire unehaute densité de puissance. En d’autres termes, les supercondensateurs ne peuvent pas stockerautant d’énergie que les batteries, mais le taux avec lequel le transfert d’énergie se fait de la supercapacitévers le circuit auquel elle est connectée, est beaucoup plus élevé par rapport aux batteries.Par conséquent, les temps de charge et de décharge dans le cas d’une super-capacité sont toujoursplus faibles que dans les cas des batteries.Cela apparaît très clairement dans les diagrammes de Ragone donnant la puissance massique(W.kg −1 ) en fonction de la densité massique d’énergie (Whkg −1 ) pour différents systèmes de stockagesd’énergie (figure 4.3).4.3 Caractéristiques d’une batterieLa batterie étant le moyen de stockage retenu, nous détaillons dans ce qui suit son principe defonctionnement et ses différentes caractéristiques physiquo-chimiques.75


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIE10000Puissance massique (W/Kg)1000100SupercapacitésBatteriesPbBatteriesLi-IonPiles à combustiblesavec stockagede O2 et H21010 100Densité massique d'énergie (W.h/Kg) 1000Figure 4.3 – Plan de Ragone : comparaison entre les densités d’énergie et les densités de puissancedes systèmes de stockage d’énergie4.3.1 Principe de fonctionnementUne batterie est composée de plusieurs cellules. Chaque cellule est formée de deux électrodes(une cathode et une anode), un séparateur et un électrolyte. En termes de charge de la batterie, lavitesse de charge est déterminée par la capacité de la batterie en Ah.Théoriquement, une source de courant de 400 mA chargerait une batterie de capacité 400 mAhen 1heure (on note généralement ce temps C). Cependant, dans la pratique, une batterie est complètementchargée au bout d’au moins 1.5∗C [78]. En effet, une batterie est limitée par la quantité desmatériaux actifs présents dans chaque cellule. Considérons une batterie initialement chargée à 100%au début du cycle de décharge. Connectée à une charge électrique, une réaction d’oxydo-réductionse produit au sein de chaque cellule de la batterie. Les électrons sont donc transférés de l’anode versla cathode. Ce transfert convertit l’énergie chimique en énergie électrique et le courant résultanttraverse le circuit extérieur. En effet, la mise d’une charge électrique aux bornes d’une cellule de labatterie revient à placer l’électrode négative à un potentiel plus positif que son potentiel d’équilibreet l’électrode positive à un potentiel plus négatif que son potentiel d’équilibre. La remontée de potentielsur l’électrode négative provoque une réaction d’oxydation : l’espèce réactive de l’électrodeva céder ses électrons pour se rapprocher du potentiel que nous lui imposons de l’extérieur.L’électrode positive sur laquelle nous provoquons une baisse du potentiel est, de son côté, le sièged’une réaction de réduction; c’est-à-dire que l’espèce réactive de l’électrode va capter les électronsayant traversé le dispositif extérieur pour se rapprocher du potentiel que nous lui imposons del’extérieur.Nous voyons donc que la décharge d’une pile fait appel à des phénomènes d’échanges ioniquesentre les électrodes, à travers la solution électrolytique : des cations vont vers l’anode ou des anionsvers la cathode, ou les deux. L’électro-neutralité devant être respectée au sein de l’électrolyte, ladécharge induit donc des gradients de concentration des espèces ainsi que des transferts de cesespèces par diffusion et migration [6].Pour mieux illustrer ce processus chimique, prenons l’exemple d’une batterie lithium-chlorurede thionyle : l’oxydation du lithium conduit à la formation d’ions de lithium (Li + ) qui passent en76


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIEsolution électrolytique alors que la réduction du chlorure de thionyle (SOCl 2 ), qui a lieu dans lecollecteur à carbone poreux, comme illustré dans la figure 4.4, conduit à la formation d’ions dechlorure qui se combinent avec les ions lithium pour former du chlorure de lithium insoluble (LiCl).Celui-ci se précipite dans cette électrode poreuse dont il remplit progressivement toute la porosité.La tension de la batterie décroît alors jusqu’à atteindre un seuil. A ce stade, la batterie est considéréecomme déchargée.Figure 4.4 – Schéma de principe de la décharge d’une pile lithium-chlorure de thionyle[6]4.3.1.1 Différentes chutes de potentiels au sein d’une batterieNous pouvons résumer toutes les chutes de potentiel au sein d’une batterie électrochimique parl’équation 4.1.∆V = V c −V a −IR−τ anode −τ cathode −τ transport (4.1)V c et V a sont respectivement les potentiels à l’anode et à la cathode. Les pertes IR, dûes àla résistance électronique des électrodes peuvent être réduites en utilisant des matériaux à hauteconductivité ou des électrodes composites avec des matériaux d’électrode actif mixés avec des matériauxinactifs mais très conducteurs.τ anode et τ cathode sont les pertes cinétiques appelées aussi pertes de surtension ou encore detransfert de charges. Ces pertes peuvent être réduites par la maximisation de la surface électrode/-électrolyte. Celle-ci est assurée par l’utilisation d’électrodes poreuses formées par des nanoparticulesou par l’augmentation de la surface totale.τ transport représente les pertes dues au processus de diffusion ou de transport de masse (que nousexpliquerons plus en détails dans la section 4.3.2). Pour réduire ces pertes, les matériaux à privilégiersont ceux pouvant intrinsèquement diffuser rapidement, ou ceux qui sont formés de nanoparticulespour raccourcir la distance devant être parcourue par les ions.Selon le choix du matériau, certaines de ces pertes peuvent être diminuées au dépend des autres.Faire ce choix, revient à trouver un compromis entre la densité d’énergie et la densité de puissancecomme nous expliquerons à la section 4.3.1.2.4.3.1.2 Compromis densité d’énergie/densité de puissanceLe compromis entre la densité d’énergie et la densité de puissance dépend de la structure et dela chimie de la batterie. En effet, la densité d’énergie est déterminée par la thermodynamique alors77


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIEque la densité de puissance est déterminée par la cinétique de la réaction : le transfert d’électrons,le transport de masse dans la cathode (la diffusion) et le transport d’ions dans l’électrolyte. Parconséquent, pour qu’une batterie ait une haute densité de puissance, la diffusion du matériau actif(Li + par exemple) doit être élevée dans l’anode et la cathode, la conductivité des électrons doitêtre élevée dans les électrodes et les réactions ayant lieu sur les surfaces de l’anode et de la cathodedoivent être rapides. La batterie devra donc avoir des électrodes très poreuses pour réduire lesdistances de diffusion. En revanche, les batteries à haute densité d’énergie se distinguent par unecapacité et une tension élevées et une masse de matériau inactif (polymère additif de conductionpar exemple) faible. L’augmentation de l’épaisseur de l’électrode pour améliorer la densité d’énergieaccroît le temps de pénétration de diffusion selon l’équation L 2 (cm 2 )/D(cm 2 S −1 ) où L 2 est lasurface de l’électrode et D le cœfficient de diffusion. Cette augmentation du temps de pénétrationde diffusion conduit à une diminution de la densité de puissance.Nous déduisons donc que comme tout système électrochimique, les lois de la thermodynamique,de la cinétique et des phénomènes de transport gouvernent le comportement de la batterie. Celleciest sensible à l’auto-décharge, la température et le nombre de cycles de charge et décharge.Par conséquent, le comportement de décharge d’une batterie diffère considérablementd’une source d’énergie idéale et met en jeu des phénomènes non linéaires qui ferontl’objet du paragraphe 4.3.2.4.3.2 Effets non linéaires au sein d’une batterieNous distinguons deux phénomènes non linéaires concurrents :• Le transport des espèces réactives au sein de l’électrolyte, ainsi que les réactions qui consommentou produisent ces espèces et qui s’effectuent avec des cinétiques qui leur sont propres. Au voisinagede l’électrode, les concentrations des espèces réactives sont influencées par la densitéde courant à l’interface électrode/électrolyte. Les variations de ces concentrations provoquentcelles du potentiel d’électrode. L’écart entre la valeur de ce potentiel et celle à courant nul,représente, pour le courant considéré, la surtension de concentration.• Le phénomène de diffusion : dans le cas d’une électrode plongée dans un électrolyte, ladiffusion résulte de l’existence d’un gradient de potentiel chimique au sein de la solution. Lesespèces réactives ainsi consommées à l’interface électrolyte/cathode (par effet de surtensionde concentration) sont remplacées par de nouveaux ions qui diffusent de l’anode à traversl’électrolyte. Cette diffusion est très lente sous des profils de décharge à fort régime (descourants élevés).A courant nul, la concentration des ions positifs est uniforme à l’interface électrode/électrolyte(voir figure 4.5(a)). Par contre, en présence d’un courant de décharge, ces ions positifs sontconsommés à l’interface cathode/électrolyte et remplacés par de nouveaux ions diffusant de l’anode.L’augmentation de ce courant induit celle du gradient de concentration au dépend de la diffusion.Par conséquent, la concentration des ions chargés positivement décroît à proximité de la cathode etcroit à proximité de l’anode (voir figure 4.5(b)). Le processus chimique est inversé durant la phasede charge. Ce phénomène électrochimique se traduit par une dégradation de la tension de sortie dela batterie.Pour remédier à ce problème, une solution consiste à appliquer à la batterie des courants dedécharge sous forme d’impulsions. La batterie sera donc "autorisée" à des périodes d’inactivité,le gradient de concentration décroît et les ions ont le temps de diffuser. Nous assistons alors à unphénomène de récupération de charge à la cathode se traduisant par une augmentation de la durée78


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIEde vie de la batterie (voir figure 4.5(c)). Une fois que la quantité de matériau actif (Li + ) atteint unseuil, la réaction est interrompue et la batterie est considérée déchargée (voir figure 4.5(d)).Etat chargé (a)Avant récupérationde charge (b)Après récupération de charge (c)Etat déchargé (d)Figure 4.5 – Phénomène de récupération de charge par diffusion dans une batterie [7]En résumé, la quantité d’énergie que nous pouvons extraire de la batterie (et par conséquent sadurée de vie) est sensible à l’amplitude de courant de décharge et à la présence de temps d’inactivitédans le profil de décharge : décharge pulsée ou décharge constante.Considérant le principe de fonctionnement de la batterie explicité ci-dessus, nous avons décidéque la considération des caractéristiques suivantes est nécessaire pour une modélisation corrected’une batterie :• la tension en circuit ouvert V oc : c’est le potentiel initial d’une batterie totalement chargée etnon connectée à une charge,• la tension à laquelle la batterie est considérée comme déchargée (Cutt-off-voltage). Sa valeurest en général égale à 80% de celle de V oc ,• et la capacité de la batterie : on distingue la capacité théorique de la capacité nominale. Lacapacité théorique, exprimée en Ampère-heure (Ah), est basée sur la quantité d’énergie stockéedans la batterie. Cette valeur est la valeur limite de la quantité d’énergie qu’on peut extraire.En revanche, la capacité standard ou nominale correspond à la quantité d’énergie qu’on peutextraire quand la décharge est faite sous des conditions standards spécifiées par le fabricant.Par exemple, une batterie Li-Ion peut avoir une capacité standard de 500mAh, quand ellea été déchargée à courant constant de 125mA à 25°C [8]. Dans le reste de ce document, leterme capacité désignera la capacité nominale de la batterie.4.4 Choix des technologies utiliséesLes principaux couples utilisés pour les accumulateurs portables sont :• le plomb étanche,79


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIE• le nickel-cadmium (NiCd),• le nickel métal hydrure (NiMH) ,• et le lithium-ion (Li-Ion) à électrolyte liquide ou sa variante à électrolyte polymère,4.4.1 Les batteries nickel métal hydrure NiCd et NiMH80• Les batteries NicdCes accumulateurs sont robustes et présentent une excellente durée pour des conditions moinscontraignantes que d’autres couples. C’est pour cette raison qu’ils sont utilisés dans les applicationsportables qui demandent une forte puissance ou une température relativement élevée(comme les blocs autonomes d’éclairage de sécurité). Certains modèles supportent aussi desmodes de charge très rapides (15 min). Ces batteries sont progressivement remplacées par lesaccumulateurs au nickel-métal hydrure (voir la section 4.4.1) ou au lithium (voir la section4.4.2) dans les applications qui demandent une énergie volumique ou massique élevée. Notonsaussi que le couple nickel-cadmium dans l’application portable est l’objet d’une directive pourrestreindre son utilisation (le plomb et le mercure sont également concernés). En effet, le cadmiumprésente un effet pathologique sur les reins. En conclusion, ces accumulateurs sont demoins en moins utilisés à cause du risque de contamination de l’environnement. Pourtant, lerecyclage du cadmium dans l’application batterie est possible de façon relativement simple :il suffit de chauffer l’accumulateur à la température de 800°C à la pression atmosphérique. Lemaillon faible dans cette chaine est la difficulté de la mise en place d’une collecte efficace desbatteries usagées [79].• Les batteries NiMHCes accumulateurs présentent une énergie volumique supérieure d’au moins 30% par rapportaux accumulateurs NiCd (Cadmium-Nickel). Les batteries NiMH sont actuellement le standardpour équiper les voitures hybrides (moteur à combustion + moteur électrique). Malgré desperformances en retrait par rapport aux batteries à base de lithium, elles gardent l’avantagede bien supporter de forts courants de charge et de décharge et sont beaucoup plus sûresen cas de surchauffe. De plus, elles ont des avantages incontestés en termes de préservationd’environnement par rapport aux batteries NiCd et sont peu sensibles à l’effet mémoire. Cedernier phénomène se manifeste par le fait que l’accumulateur ne peut plus se décharger commeà l’origine : il donne l’impression de pouvoir stocker moins d’énergie, mais en réalité, c’estdavantage la restitution qui pose problème car l’énergie n’est simplement plus accessible de lamême façon. Cette réduction de performance est généralement observée lors d’une utilisationoccasionnelle plus exigeante que l’utilisation généralement demandée auparavant (par exempleune batterie qui effectue d’habitude 60% de décharge d’une façon répétitive et à qui nousdemandons une décharge complète) [79].Par ailleurs, un accumulateur de batterie NiCd possède une électrode de cadmium, composéede petits cristaux. Dans certaines conditions, on observe un accroissement de leur taille. Cetagrandissement diminue la surface de contact entre l’électrode et l’électrolyte ce qui provoqueune baisse de tension de la partie dégradée et augmente la résistance interne de l’accumulateur.Alors que la tension nominale du NiCd est de 1,2 V par élément, cette structure dégradéeprésente une tension nominale plus basse, d’environ 1,08 V par élément. Lors de l’utilisation,tout se passe comme si chaque élément avait deux parties, une partie normale à 1,2 V et unepartie à 1,08 V dégradée. L’énergie sera d’abord prélevée dans la partie présentant la tensionla plus élevée et tout paraîtra normal. Lorsque cette partie sera épuisée, on viendra alors puiserdans la partie dégradée, mais le seuil de tension passera alors brutalement de1,2 V par élément


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIEà 1,08 V par élément. Ce changement crée une discontinuité dans la courbe de décharge del’accumulateur. La tension nominale va se rapprocher du seuil minimum de fonctionnementde l’appareil, passer en-dessous et provoquer l’arrêt. Vu de l’utilisateur, on peut croire à uneperte de capacité, en fait la capacité est toujours disponible mais avec une tension inférieure.Cependant, ces batteries ne font pas le poids quand il s’agit de stocker des quantitésd’énergie relativement faibles comme dans le cas des capteurs des WSN. En effet, unetelle batterie présente un taux d’auto-décharge très élevé. En général, elle perd 10% à 15% de sacapacité dans les 24 heures qui succèdent sa charge puis de 10% à 15% par mois. En comparaison,les batteries Li-Ion ont un taux d’auto-décharge d’approximativement 5% les premières 24 heures et1% à 2% après [80]. C’est pour cette raison que nous nous sommes intéressés à ce type de batterieslequel fera l’objet de la section suivante.4.4.2 Les batteries Li-IonCe type de batteries possède une haute densité d’énergie pour un poids très faible, grâce auxpropriétés physiques du lithium (très bon rapport poids/potentiel électrique). Ces accumulateurssont donc très utilisés dans le domaine des systèmes embarqués. Le fait d’avoir une énergie massiquebien plus élevée que les piles classiques à anode de zinc présente l’avantage principal des accumulateursau lithium. La figure 4.6 présente une comparaison entre la densité d’énergie des batteriesLi-Ion avec les autres types de batteries.Figure 4.6 – Comparaison entre les différentes densités d’énergie de batteries selon la technologieutilisée [8]Ce type de batteries a d’autres avantages :• un potentiel d’élément généralement plus élevé permettant la réduction du nombre d’élémentsdans les packs pour les tensions élevées,• une plage de température de fonctionnement qui peut être plus grande (les piles Lithiumchlorurede thionyle peuvent fonctionner jusqu’à −40 ◦ C),81


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIE• et une aptitude au stockage bien meilleure grâce à leur très faible autodécharge : nous pouvonsmesurer pour les piles Lithium-oxyde de cuivre une perte de capacité de l’ordre du pour-centsur une période de dix ans.L’inconvénient majeur des piles au lithium apparaît lors de leur fabrication. La réactivité dulithium, de l’oxygène et de l’azote en présence de l’eau est telle qu’il est nécessaire de les fabriquerdans des conditions adaptées afin d’obtenir les performances voulues. Notamment, leur fabricationen salle sèche est presque obligatoire. Cette contrainte conduit à des investissements bien supérieursà ceux effectués pour les piles classiques. La réduction de leur coût de fabrication est amortie parles volumes fabriqués.4.4.2.1 L’électronique de sécurité associée à une batterie Li-IonDans le cas des batteries Li-Ion, un interrupteur de sécurité doit être intégré. La tension, latempérature et le courant doivent être surveillés et l’interrupteur de sécurité doit être contrôléafin que la batterie n’opère jamais dans une région dangereuse. L’importance de la sécurité estparticulièrement importante pour les batteries de type Li-Ion présentant des risques d’explosion àdes tensions élevées. En revanche, des tensions trop faibles, peuvent conduire à une perte de capacitéde la batterie.La tension maximale d’une batterie Li-Ion est dictée par deux facteurs : sa capacité maximale etson cycle de vie. Le cycle de vie d’une batterie dénote le nombre de fois permettant à celle-ci de secharger et de se décharger avant d’être considérée "morte", ce qui correspond généralement à 80%de sa capacité nominale.La figure 4.7 illustre la capacité maximale de la batterie et son cycle de vie en fonction de latension appliquée à celle-ci durant sa charge. Nous pouvons voir sur cette figure que l’augmentationde la tension de la batterie induit la diminution de sa capacité : une élévation de 100 mV entre4,1 V et 4,2 V induit une réduction de sa duré de vie (200% de cycles de vie en moins par rapportà la veleur nominale) pour une augmentation de 12% de la capacité. Une raison possible de cetteperte de capacité est la décomposition de l’électrolyte à des tensions élevées. Une tension élevée estprésente à l’électrode positive quand la batterie est chargée en mode tension constante.4.4.3 Comparaison entre les différentes technologies de batteriesDans cette section nous synthétisons les avantages et les inconvénients des différentes technologiesutilisées par les batteries (tableau 4.4.3).Nous pouvons conclure à partir de cette comparaison que les batteries Li-Ion, grâce à leur faibleauto-décharge, leur tension à circuit ouvert de 3 V et leur capacité de 7 mAh, peuvent donc êtrechargées par des microgénérateurs piézoélectriques. Cette conclusion est par ailleurs confirmée parune autre étude [81].4.5 Les différents modèles de batteriesIl existe plusieurs facteurs importants qui caractérisent un modèle de batterie. Chacun de cesfacteurs peut servir de base pour classifier les modèles. Par exemple le choix de la technologie (Li-Ion, NiCd etc), le type de profil de courant de charge (constant ou impulsionnel), les effets non82


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIECapacitéTensionCapacité en % de la valeur nominaleTension de chargeCycle de vie en % de la valeur nominaleFigure 4.7 – Capacité maximale de la batterie et son cycle de vie en fonction de sa tension pourune batterie Li-Ion [7]linéaires de la batterie que nous avons discutés dans la section 4.3.2 et le degré de précision dans laprédiction de son comportement.Nous adopterons, dans ce qui suit, la classification suivante qui a pour but de faire le point surles modèles existants dans la littérature afin de sélectionner le modèle le plus adéquat pour notreétude et le type de batterie retenu pour notre système (Li-Ion).• les modèles électrochimiques,• les modèles analytiques ou empiriques,• les modèles stochastiques,• les modèles mixtes stochastiques et analytiques• et les modèles basés sur des circuits électriques équivalents.L’état de charge de la batterie, la capacité restante et sa durée de vie sont des informationstrès importantes qui peuvent être utilisées pour améliorer la performance de tout le système aussibien dans la phase de conception que dans la phase d’utilisation. Incorporer l’état de charge de labatterie communément noté SOC dans le processus d’optimisation de sa durée de vie de la batterierequière un modèle qui prend en compte les non-linéarités.4.5.1 Les modèles électrochimiquesCes modèles existent depuis une décennie et sont utilisés pour optimiser les aspects dynamiquesdes batteries, pour caractériser les mécanismes fondamentaux de génération d’énergie et pour extraireles paramètres macroscopiques (courant et tension) et microscopiques (distribution de la83


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIEconcentration). Ces modèles détaillés sont basés sur 6 équations différentielles non linéaires coupléespour décrire en détail les processus électrochimiques régissant la réaction.Dualfoil est un programme écrit en FORTRAN et basé sur le modèle mathématique crée parNewman en 1993 ([82] et [83]). Le programme est disponible gratuitement sur internet [84]. Il calculeà partir du profil de charge, configuré comme une séquence de courants constants, l’évolution despropriétés de la batterie dans le temps. Ainsi, La durée de vie de la batterie peut être déduite àpartir du paramètre de sortie caractérisant l’instant où le potentiel de la cellule atteint la valeur detension seuil. D’autres modèles basés sur le programme Dualfoil sont capables de prévoir l’efficacitéet la capacité de la batterie mais ne nous fournissent pas d’informations sur l’évolution Courant/-Tension. Ce type de modèles considère des systèmes couplant des équations différentielles partiellesce qui requière des algorithmes numériques très complexes. En plus du temps de simulation trèslong, la complexité de ces modèles, notamment les 50 paramètres physiques nécessaires pour leursconfigurations, les rendent difficilement réutilisables par des non spécialistes. Par ailleurs, la propriétéindustrielle relative aux différentes technologies représente aujourd’hui un frein conséquentdevant l’obtention des informations spécifiques d’une batterie commercialisée.Bien que la précision accrue de ces modèles les place au rang des meilleurs candidats dans laphase de conception, les simulations très longues (prenant des jours), ont plus récemment orientéles recherches vers des modèles plus abstraits.Ce type de modèle présente des temps de simulationsmoins longs, mais permettent de prédire certains paramètres avec une précision acceptable. Cependant,vu la haute précision reconnue de ce modèle, le programme Dualfoil est généralement utilisécomme référence (au lieu d’utiliser les résultats expérimentaux) pour vérifier la précision de modèlesplus abstraits.4.5.2 Les modèles analytiques ou empiriquesCes modèles se basent sur des expressions analytiques qui utilisent les propriétés physiquochimiquesde la batterie et les valeurs de courant de décharge dans le but de calculer la capacitéréelle de celle-ci et sa durée de vie.4.5.2.1 Loi de PeukertSoit L la durée de vie d’une batterie idéale, C sa capacité et I le courant de décharge supposéconstant. Selon Peukert, pour toute batterie électrochimique la durée de vie est calculée selonl’équation 4.2.L = a I b (4.2)avec a et b, des constantes qui dépendent de la batterie. Idéalement a serait égale à la capacitéde la batterie et b à 1. Dans la pratique a > 0 et proche de la capacité de la batterie et b > 1.Pour des courants i(t) variables , l’equation 4.2 devient 4.3.L =a( 1 L (∫ L0 i(t)dt))b (4.3)L’équation 4.3 n’est pas simple à résoudre. En effet, il est difficile d’isoler L, paramètre qui seretrouve dans tous les termes de l’équation. De plus, cette équation suppose que tous les profils84


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIEde charge avec la même moyenne aient la même durée de vie. Expérimentalement, ce n’est pas lecas [85]. Ce modèle très simple, reste donc limité, vu qu’il n’inclue pas les effets non-linéaires de labatterie notamment l’effet de récupération (voir le paragraphe 4.3.2). D’autres modèles analytiques,plus récents, incluent ces effets non linéaires. Les plus connus sont le modèle de diffusion (VR) etle modèle cinétique (KiBaM).4.5.2.2 Le modèle de diffusion (VR)Plus généralement connu sous le nom du modèle de Rakhmatov and Vrudhula ou VR [7], cemodèle décrit l’évolution de la concentration du matériau actif dans l’électrolyte et se base sur cetteévolution pour prédire la durée de vie d’une batterie soumise à un profil de charge donné.La diffusion est considérée à une dimension dans une région de longueur W. C(x,t) est la concentrationdu matériau actif à un instant t et à une distance x ∈ [0 : W] de l’électrode (voir figure4.5). Le but du modèle est de déterminer la durée de vie L de la batterie .Le phénomène de diffusion est décrit par les lois de Fick qui décrivent l’évolution de la concentrationdurant une diffusion unidimensionnelle. Grâce à la résolution des équations couplées de Fick etl’application des conditions aux limites, ces chercheurs ont obtenu la relation 4.4 reliant le couranti(t) et les paramètres α et β dérivés de mesures expérimentales de la durée de vie de la batterie notéeL. α est la mesure de la capacité théorique et β le taux avec lequel la charge active est restaurée àla surface de l’électrode [7].α =∫ L0i(t)√L−τdτ +2∞∑m=1∫ L0i(t)√ exp(− β2 m 2)dτ (4.4)L−τ L−τPour résoudre l’équation 4.4 et déterminer les paramètres L, α et β doivent être extraits expérimentalement.Pour une charge constante, le module prédit la durée de vie de la batterie avecune erreur maximale de 6% par rapport au programme Dualfoil. Pour une charge variable (profilimpulsionnel), l’erreur maximale est réduite à 2.7%.4.5.3 Les modèles stochastiquesChiasserini [86] a développé un modèle de batterie sous forme d’une machine à états finis. Cemodèle représente le comportement de décharge par un nombre fini d’unités de charges évoluantdans le temps suivant une loi de probabilité exponentielle décroissante.Etant donné un profil de décharge sous forme d’impulsions, le modèle représente la décharge etla récupération de charge comme un processus stochastique temporel. Chaque demande de déchargede i unités provoque une transition à i états plus bas (les q i ), alors qu’une période de repos provoqueune transition à des états plus élevés (voir la figure 4.8).avec :• q i : la probabilité avec laquelle k unités de charges sont transférées vers le réservoir de chargedisponible,• et p j : la probabilité de récupérer une unité de charge.85


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIEFigure 4.8 – Modèle stochastique de batterie, basé sur N états de charges [9]Le gain en capacité est exprimé par G = A cu /N avec A cu , la moyenne des unités de charge et Nla capacité nominale soit la charge qu’on peut extraire par une unité de charge.Utilisant le programme Dualfoil, les auteurs ont obtenu des courbes de G en fonction du profilde décharge pour les différentes valeurs de densité de courant et ont réussi à extraire les paramètresnécessaires à la configuration du modèle à partir de ces courbes. Le principal avantage de ce modèleest l’obtention du gain de capacité pour différents types de profils de décharge. En contre partie, ilse concentre seulement sur la récupération de charge en dépit des autres effets non linéaires commeles caractéristiques courant/tension et la dépendance au profil de charge.Pour palier à cette limitation, Panigrahi et ses collègues [87] ont implémenté dans ce modèleune LUT (Look Up Table) qui incorpore la dépendance par rapport au profil de charge. La loiexponentielle représentant le modèle ne se base plus sur l’état de charge seulement mais aussi surdes cœfficients qui dépendent de la nature de la batterie et de ses caractéristiques de charge. Achaque période T, le courant de décharge est mesuré et utilisé pour déterminer le nombre d’unitésde charge consommés. La batterie est déclarée complètement déchargée lorsque la tension seuil estatteinte ou lorsque la capacité théorique est consommée. Si la moyenne est différente de zéro, lenombre d’unités de charge est obtenu à partir de la LUT qui contient les données en fonction duprofil de charge, résultant en un modèle abstrait rapide, précis et capable de prédire des résultatsproches de ceux du programme Dualfoil. De plus, les temps de simulation de ce type de modèlessont jugés intéressants pour les simulations au niveau système [9].Par ailleurs, même les modèles stochastiques les plus précis ne prennent pas pour l’instant encompte certains paramètres comme l’influence de la température par exemple.4.5.4 Les modèles cinétiques (KiBaM)Le modèle cinétique peut être illustré par le schéma 4.9. Selon ce modèle, la charge de la batterieest distribuée sur deux réservoirs d’énergie. Le réservoir 1 contient une quantité d’énergie Q 1directement disponible à la sortie (correspondante à la charge i) de la batterie contrairement à laquantité Q 2 contenue dans le réservoir 2 (correspondante à la charge j).Le paramètre c est un rapport de capacités qui correspond à la fraction de charge totale disponible.Un transfert d’énergie entre ces deux réservoirs s’opère au débit k. Le changement de laquantité de la charge dans les deux réservoirs se fait selon les équations différentielles 4.5 et 4.6.86didt = −I + k (h 2 − h 1 ) (4.5)dj= −k (h 2 − h 1 )dt(4.6)


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIE1- c cRéservoir1 Réservoir2h 2h 1kR 0ICharge non disponibleCharge disponibleFigure 4.9 – Modèle cinétique d’une batterie [10]Avec les conditions initiales i(0) = c C et j(0) = (1 − c) C où C représente la capacité totalede la batterie, h 1 et h 2 sont définis par les équations h 1 = i/c et h 2 = j/(1−c).Quand un courant I est débité par la batterie, la charge disponible diminue et la différenceentre les hauteurs des réservoirs (h 1 et h 2 ) augmente. En absence de la charge, le réservoir 1 seremplit à partir du réservoir 2 jusqu’à ce que leurs hauteurs soient de nouveau égales. Ainsi, durantune période de repos "idle", la quantité de charge disponible augmente et la batterie dure pluslongtemps que si sa décharge avait été faite d’une manière continue. Cette représentation modélisedonc correctement le phénomène non linéaire expliqué à la section 4.3.2 (récupération de la chargependant les périodes de repos).La charge de la batterie à un instant t peut être trouvée en résolvant les équations différentielles4.5 et 4.6. Ces équations sont principalement utilisées pour la modélisation des batteries au plomb.En effet, conformément à ce modèle, le calcul de la tension de la batterie se fait selon l’équation 4.7où I est le courant de décharge, R 0 est la résistance interne et E la tension interne donnée par 4.8.V = E − I R 0 (4.7)E = E 0 +A X + η Xθ−X(4.8)Avec E 0 , la tension interne de la batterie totalement chargée, A est un paramètre qui reflètela variation linéaire initiale de la tension de la batterie avec l’état de charge (SOC). η et θ sontdes paramètres qui reflètent la décroissance de la tension de la batterie dans le cas d’un profil dedécharge progressif et X est un paramètre normalisé qui modélise la décharge de la batterie. Cesparamètres peuvent être obtenus à partir de données de décharge par application de la méthode desmoindres carrées non linéaires décrite en détails dans [88].Selon [89], ces équations ne sont pas applicables aux batteries modernes utilisés dans les WSNcomme les batteries Li-Ion, qui ont une courbe de décharge avec une pente importante contrairementaux batteries au plomb qui ont des courbes de décharge à profil plutôt plat. Afin d’étendre ce modèleaux batteries modernes, l’auteur a proposé un modèle mixte stochastique/analytique qu’il a baptisémodèle "KiBaM modifié". La section 4.5.5 donne un aperçu de ce type de modèles.87


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIE4.5.5 Les modèles mixtes stochastiques analytiques (KiBaM modifié)Le modèle proposé dans [9] est une extension stochastique du modèle cinétique : c’est un raffinementde la représentation de la figure 4.9.Plusieurs raffinements ont été proposés par rapport au modèle cinétique. La première améliorationconcerne le terme correspondant au flux de charge vers le réservoir 1 (repérsentant la chargedisponible) en ajoutant un autre facteur h 2 . Les équations 4.5 et 4.6 deviennent ainsi 4.9 et 4.10.Ce changement traduit le fait que la batterie récupère sa charge plus lentement quand son état decharge est faible (donc la hauteur du réservoir représentant la charge non disponible h 2 est plusélevée).didtdjdt= −I + k s h 2 (h 2 − h 1 ) (4.9)= −k s h 2 (h 2 − h 1 ) (4.10)Le deuxième raffinement est la modélisation du fait que la probabilité de récupérer de la chargeest proportionnelle au temps de repos. Pour ceci, la possibilité de non récupération de charge durantles périodes de repos a été ajoutée.Ce phénomène est représenté par un processus stochastique tridimensionnel qui modélise larécupération de charge selon le profil de décharge utilisé (voir figure 4.10). (i,j,t) est le tripletqui décrit l’état de charge présent, avec i et j les niveaux discrets de la charge disponible et nondisponible (respectivement) et t est la longueur de la période de repos présente "idle slot" : c’est àdire le nombre d’unités de temps passées depuis que la batterie a commencé à débiter du courant(et donc à se décharger).Figure 4.10 – Les probabilités de transition d’un état de charge à un autre selon le modèle KiBaMmodifié [9]avec p j : la probabilité de récupérer une charge Q et p nr : la probabilité de ne pas la récupérer.Ces deux probabilités dépendent de la période de repos t.Par ailleurs, d’autres chercheurs ont montré que la discrétisation de la charge disponible et nondisponible d’une batterie Ni-MH en 27 10 5 et 45 10 7 unités de charge est nécessaire afin d’avoir unmodèle représentatif [90]. Le résultat est une chaine de Markov trop grande pour être utilisée danssa totalité. Par conséquent, aucun modèle analytique permettant d’estimer la durée de vie de labatterie n’a pu être fourni. Alternativement, plusieurs simulations (avec des scénarios de test sousdes profils de décharge différents) ont dû être utilisées.88


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIE4.5.6 Les modèles électriques équivalentsCes modèles utilisent une combinaison de sources de tension, de résistances et de capacités. Leprincipal avantage de ce type de modèle est la possibilité offerte de la co-simulation avec d’autrescircuits électriques.4.5.6.1 Modèles électriques basés sur le schéma équivalent de Thévenin• Modèle "idéal"Le modèle d’une batterie idéale est composé d’une source de tension E 0 et d’une résistanceinterne équivalente notée R 0 comme représentée dans la figure 4.11.Figure 4.11 – Modèle idéal d’une batterieE 0 correspond à V oc de la batterie obtenue par mesure de tension à circuit ouvert. La résistanceinterne R 0 est obtenue à partir de deux mesures : une mesure à vide et une mesure avec unecharge connectée à la batterie totalement chargée. Ce modèle ne tient compte ni de l’impédancede la batterie qui varie avec l’état de charge, ni de ses effets non linéaires. Un tel modèle estapplicable dans des cas très particuliers où l’énergie délivrée par la batterie peut être considéréecomme illimitée, ce qui est loin d’être notre cas.• Modèle "idéal" amélioréCe modèle proposé dans [91] est basé sur la même configuration que celle reportée sur la figure4.11. L’état de charge de la batterie est pris en compte en transformant la résistance R 0 constante,en une résistance variable R. La formule utilisée est donnée par l’expression 4.11 où R 0 représente larésistance interne de la batterie à pleine charge et SOC l’état de charge de la batterie variant entre 0(batterie déchargée) et 1 (batterie chargée). L’expression de SOC est donnée par l’équation 4.12 oùC 10 représente la capacité de la batterie en 10h à la température de référence. k est un cœfficient quidépend du pourcentage de décharge de la batterie. Ce cœfficient est une approximation linéaire dek 1 ,k 2 , et k 3 , des paramètres déterminés à partir trois courbes de décharge données par le constructeur.R v = R 0SOC k (4.11)∑ AhSOC = 1−C 10(4.12)• Autre modèle électrique basé sur le schéma équivalent de Thévenin :89


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIELe modèle de Thévenin de la figure 4.12 utilise une résistance interne série R b , une tension à videE b , une capacité C 0v qui représente la capacité de la batterie et une résistance de surtension R 0v .Le temps de réponse aux événements de charge SOC est modélisé par une résistance en parallèleavec une capacité. Ces modèles supposent généralement que la tension à vide E o est constantequelque soit l’état de charge de la batterie [11].Figure 4.12 – Modèle de Thévenin d’une batterie [11]4.5.6.2 Modèles électriques équivalents basés sur les impédancesCes modèles emploient la méthode de spectroscopie d’impédance électrochimique pour obtenirun modèle d’impédance équivalent dans le domaine fréquentiel. Ces méthodes peuvent être misesen œuvre en utilisant le mode galvanostatique 1 .Superposé avec le signal d’excitation AC, un courant DC de charge ou de décharge définit le pointde fonctionnement de la cellule. A cause de la non linéarité de la plupart des batteries électrochimiques,l’impédance différentielle Z = dU/dI est généralement différente de U/I. La modélisationen grands signaux des éléments de stockage d’énergie requière alors des mesures d’impédance à différentspoints de fonctionnement suivie d’une intégration de l’impédance différentielle par rapportau courant. Une impédance équivalente est ensuite utilisée pour adapter le spectre d’impédance.Ces modèles fonctionnent seulement pour des SOCs et des températures fixes et ne peuvent doncpas prévoir la réponse DC et le temps de fonctionnement.4.5.6.3 Modèles électriques basés sur le temps de fonctionnement de la batterieCes modèles utilisent généralement un circuit complexe pour simuler la réponse en tension pourun courant de décharge constant. Ils ne peuvent pas prévoir la réponse en tension pour des variationsen courant d’excitation.Hageman [12] et Gold [92] ont respectivement proposé des circuits décrits sous SPICE formésd’éléments passifs linéaires, de sources de tensions et de LUT pour modéliser respectivement lesbatteries NiMH et les batteries Li-Ion.Cette méthode suppose que plusieurs courbes de décharge (tension en fonction de l’état de charge)ont été extraites expérimentalement avec plusieurs profils de décharge à faible régime (de 20 à 2001. suivant cette approche, un courant d’alimentation AC est appliqué aux bornes de l’élément de stockage et saréponse en tension est mesurée. A partir de ces mesures, l’impédance de stockage est déterminée en utilisant les DFT(Discrete Fourier Transform)90


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIEheures). Une seule courbe est ensuite utilisée. La dite courbe est soit une moyenne soit une courbesupposée "typique". Ces données sont ensuite converties en une table (LUT) utilisée dans une sourcede tension contrôlée par une tension dans la netlist SPICE.Selon l’approche de Hageman, la batterie est modélisée par les circuits de la figure 4.13. Nousallons par la suite détailler ce modèle afin d’étudier son degré de précision et sa compatibilité avecnotre application. Les éléments de ces circuits sont :• Une capacité C cellCapacity calculée selon l’équation 4.13. Elle est utilisée pour caractériser lacapacité totale de la batterie.C cellCapacity = 3600.Capacite.Correc (4.13)Dans cette équation, Correc est un cœfficient de correction qui permet à l’utilisateur d’alignerla capacité simulée avec les données du constructeur.En effet, l’état de charge de la batterie change dynamiquement en fonction de l’évolution deson courant I bat . Selon que I bat charge ou décharge la capacité, la batterie est complètementchargée (ou déchargée). Quand son état de charge est nul (ou égal à 100%) la tension V SOCaux bornes de C cellCapacity ) est nulle (ou égale à 1 V ).• E_Lost_Rate : une source de tension qui représente la capacité perdue (voir le circuit (1)).En effet, la dépendance avec le profil de décharge est modélisée par une source de tensioncontrôlée par une tension notée VCVS. Cette source de tension est montée en série avec latension de sortie de la batterie (tension aux bornes de C_cellCapacity du circuit (1)).• Une résistance R_cell qui représente la résistance de la cellule (voir circuit (5)).• Une source de tension nulle V sense est utilisée en guise de capteur de courant. Selon le typede batterie étudiée, la résistance de la batterie est modélisée par une simple résistance sérieconstante ou variable en fonction de l’état de charge (voir circuit (5)).• Le taux de décharge instantané est défini comme étant la capacité de la cellule déchargée en uneheure. La conversion courant/capacité est effectuée grâce à une source de tension notée E_ratetel que E_rate = I(V sense )/C_cellCapacity. Pareillement, E cell est une table modélisant lacharge indisponible de la batterie pour des courants de décharge élevée.Quand la décharge s’effectue avec un rapport cyclique faible et une amplitude élevée, le courantde décharge, élevé au début, décroît au bout de quelques secondes. Ce retard est modélisé parun circuit RC (R 2 et C 1 du circuit (3)). La valeur exacte de cette constante de temps dépenddu type et de la taille de la batterie utilisée.• Une LUT qui représente la tension de la cellule en fonction de l’état de charge (E cell du circuit(2)).• La dernière étape consiste à relier l’état de charge de la batterie avec sa tension de sortie. Pourceci, une LUT (E invert du circuit (4)) est utilisée pour inverser l’état de charge (c’est à direque 0 correspond à un état de charge de 100% et 1 à un état de charge de 0%). Cette table estutilisée uniquement pour palier à une restriction inhérante au langage SPICE qui stipule queles valeurs de la première colonne d’une LUT doivent évoluer d’une manière croissante alorsque l’état de charge diminue tout au long de la charge.• Les effets thermiques peuvent aussi être considérés (voir la section 4.14).91


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIEFigure 4.13 – Schéma fonctionnel développé dans [12] pour tous les types de batteries (avec deschangements mineurs pour compléter chaque type)La figure 4.13 représente un schéma fonctionnel pour tous les modèles de la batterie. Pour intégrerd’autres effets spécifiques, l’auteur propose de raffiner ce modèle en ajoutant le détail voulu. Parexemple, pour inclure l’influence de la température sur les batteries Ni-Cd, un modèle thermiquepeut être ajouté (voir figure 4.14). Ce modèle repose sur le même principe détaillé plus haut.On voit donc que cette méthode est relativement simple vu qu’elle repose sur le même principepour modéliser tous les phénomènes non linéaires de la batterie à savoir des LUT qui relient destensions de boucles distinctes.En revanche, cette simplicité se heurte à une complexité de calcul inhérente à la méthode. Eneffet, le nombre de boucles augmente avec les effets implémentés, ce qui engendre une matricenodale de taille de plus en plus grande et donc des temps de simulations longs et des problèmesde convergence éventuels pour les gros circuits. De plus, bien que le nombre des paramètres utilisésdans les modèles de Hageman et Gold ne soit pas grand, la précision du modèle dépend fortement dela configuration des LUT qui demande un effort non négligeable dès qu’un certain degré de précisionest requis. Enfin, la précision de ces modèles (10% d’écart par rapport aux résultats expérimentaux)est considérée comme moyenne dans [89].4.5.6.4 Modèle discretCe modèle VHDL, purement numérique, développé par Bennini en 2001 est une approximationdu modèle continu développé par Gold [93]. La dépendance avec l’état de charge et le profil decharge sont considérés comme des effets du premier ordre. La température et la résistance internesont considérés du second ordre. Une LUT modélise les caractéristiques de la batterie (avec uneinterpolation linaire). Cette dernière est cascadée avec un convertisseur DC-DC. Ce modèle permet92


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIEFigure 4.14 – Schéma fonctionnel développé dans [12] pour tous les types de batterie avec inclusionde l’effet thermiquede prévoir la durée de vie de la batterie. Etant purement numérique, il a été utilisé pour comparerles techniques de gestion d’énergie dynamique [94].4.6 Modèle électrique évolué de la batteriePlus récemment en 2006, un modèle qui se base en partie sur les différentes approches détailléesprécédemment, a été proposé [13]. Les deux principaux avantages du modèle sont :1. Sa simplicité par rapport au modèle SPICE : uniquement deux circuits comme illustré dansla figure 4.15 (contre au moins 5 circuits dans le modèle Gold)2. et sa précision. En effet, l’erreur sur l’estimation de la durée de vie de la batterie est de 0.12%selon l’auteur contre 10% d’erreur pour le modèle SPICE.Le modèle est composé des deux boucles suivantes :1. Une boucle responsable de la modélisation de la durée de vie de la batterie. Cette boucle estformée :• d’une source de courant I batt pour charger ou décharger C capacity ,93


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIEFigure 4.15 – Schéma fonctionnel développé dans [13] pour tous les types de batterie• d’une capacité C capacity calculée selon l’équation 4.14 qui caractérise la capacité totale dela batterie,C cap = 3600.Capacity .f 1 (cycle).f 2 (T) (4.14)Dans l’équation 4.14, similaire à celle développée par Hageman (4.13), f 1 (cycle) et f 2 (T)sont des cœfficients de corrections qui varient en fonction respectivement du nombre decycles et de la température. Cependant, dans la pratique, l’auteur a considéré quef 2 (T) = 1, autrement dit, il a négligé l’éffet de la température. Compte tenu del’importance de l’influence de ce paramètre sur les WSN, nous implémenteronscet effet dans notre modèle.• d’une résistance d’autodécharge R self−Discharge pour caractériser la perte d’énergie quandla batterie est stockée pendant un temps relativement long. Théoriquement, cette résistanceest fonction de la température et du nombre de cycles de charge et de décharge toléré durantla durée de vie de la batterie. Sur le plan pratique, cette variation est très faible donc larésistance est très grande.2. Une deuxième boucle responsable des caractéristiques Courant/Tension de la batterie. Cetteboucle est formée :• d’une source de tension contrôlée par une tension notée V oc (V SOC ). Elle est utilisée pourillustrer la relation non linéaire entre la tension à circuit ouvert V oc et l’état de charge.• d’une résistance série notée R serie , qui modélise la chute de tension instantanée dès lafermeture du circuit.• et de deux circuits RC composés de R transientS , C transientS , R transientL et C transientL pourmodéliser les temps de réponse long et court de la décharge de la batterie comme montréedans la figure 4.16. Dans la phase de changement d’état de courant de charge ou de décharge,la tension de sortie de la batterie répond lentement alors qu’elle répond plus rapidement àun courant constant d’où l’utilisation de deux constantes de temps différentes.Selon l’auteur, les mesures expérimentales ont montré que l’utilisation de deux constantes detemps au lieu de trois ou d’une seule présente le meilleur compromis entre précision et complexité(donc temps de simulation). Il a ainsi obtenu 1 mV d’erreur sur toutes les courbes obtenues parsimulation par rapport à celles obtenues expérimentalement. C’est pour cette raison que nous retiendronscette technique de modélisation des batteries dans la suite de nos travaux.94


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIE1Figure 4.16 – Réponse transitoire à un courant de décharge impulsionnel [13]4.7 Implémentation VHDL-AMSLe modèle VHDL-AMS que nous avons développé, est un modèle fonctionnel conservatif. Deuxméthodes sont envisageables pour décrire le modèle de la batterie :1. Utiliser deux blocs fonctionnels : Le premier aura pour fonction la description des caractéristiquescourant/tension de la batterie tandis que le deuxième aura pour rôle de déterminer sadurée de vie. Ce modèle est détaillé dans l’annexe B.2. Adopter une modélisation structurelle formée d’éléments électriques. Chaque composant auraen revanche une description comportementale.Dans ce qui suit, nous allons uniquement détailler la deuxième méthode. Néanmoins, les deuxméthodes peuvent être indifféremment utilisées pour les simulations car les performances sont similaires.Nous conseillons toutefois l’approche structurelle pour ce bloc car nous considérons qu’elleest plus modulaire et donc plus portable.• Modèle structurelCe modèle est une connexion entre les différents sous blocs de la batterie. Il a comme paramètresglobaux l’état de charge initialV _SOC_INIT (témoignant de l’etat de charge initial de la batterie)et la température de celle-ci. Pour une meilleure portabilité, nous conseillons que les différentsparamètres des sous blocs soient passés en paramètres globaux (en "generic" de l’entité "bat"). Ici,nous les avons gardé en paramètres locaux pour une meilleure lisibilité.Les différentes assertions correspondent à des erreurs qui ont pour rôle d’avertir l’utilisateur qu’ilne respecte pas les consignes de charge et de décharge maximales et minimales ainsi que les margesde température tolérées. Ces paramètres sont à adapter selon les consignes du constructeur.L’utilisateur non spécialiste n’a pas à se soucier de l’implémentation de chaque sous bloc. Ilsuffira qu’il affecte à chaque paramètre, celui qui correspond à sa batterie (le paramètre obtenu parinterpolation polynomiale suite à une extraction à partir des résultats expérimentaux).2 library ieee , work ;3 use ieee . electrical_systems . all ;4 use ieee . fundamental_constants . all ;5 use ieee . std_logic_1164 . all ;678 entity bat is9GENERIC10 (V_SOC_INIT :REAL:=REAL’LOW;11 T_bat :REAL := −20.095


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIE1213 ) ;14PORT15 (16 TERMINAL PLUS, MINUS: ELECTRICAL17 ) ;18 BEGIN19 assert V_soc_init = 0.0223 report "minimum STATE OF CHARGE (SOC) =0.02"24 severity error ;25 assert T_bat >= −20.026 report "minimum allowed Temperature i s −10 degres"27 severity error ;28 assert T_bat T_bat,−−temp en degres44 IC => V_SOC_INIT, −−charge i n i t i a l e45 cor_cycle => 4.0 e−4,−−facteur de correction des cycles46 C1_T => 0.0 ,−−c o e fficient polynomial de premier deg de correction de la47 −−temperature entre 23 et 45 degres48 C2_T => 0.0 ,−−coefficient polynomial de deuxieme deg de correction de la49 −−temperature entre 23 et 45 degres50 C3_T => 0.0 ,−−coefficient polynomial de premier deg de correction de la51 −−temperature entre 45 et 60 degres52 C4_T => 0.0 ,−−coefficient polynomial de deuxieme deg de correction de la53 −−temperature entre 45 et 60 degres54 C5_T => 5.0 e−3,−−c o ef ficient polynomial de premier deg de correction dela55 −−temperature entre −10 et −20 degres56 C6_T => 0.0−−coefficient polynomial de deuxieme deg de correction de la57 −−temperature entre −10 et −20 degres58 )59 port map (60 P => n1 ,61 N=> ground62 ) ;63 VOC: entity work .VCVS( bce )64 generic map (6566 a1 => −1.031,67 a2 => −35.0,68 a3 =>3.6850,69 a4 => 0.2156 ,96


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIE70 a5 => 0.1178 ,71 a6 =>0.3201,72 IC => V_SOC_INIT7374 )75 port map (76 Poc => n2 ,77 Noc => MINUS,78 PS => n1 ,79 NS => ground8081 ) ;82 R_series : entity work . r e s i s ( bce )83 generic map (8485 a1 => 0.1562 ,86 a2 => −24.37,87 a3 =>0.0744688 )89 port map (90 P => n2 ,91 N => n3 ,92 PS => n1 ,93 NS => ground9495 ) ;96 R_transient_S : entity work . r e s i s ( bce )97 generic map (98 a1 => 0.3208 ,99 a2 => −29.14,100 a3 =>0.04669101 )102 port map (103 P => n3 ,104 N=> n4 ,105 PS => n1 ,106 NS=> ground107 ) ;108109 C_transient_S : entity work . cap ( bce )110 generic map (111112 a1 => −752.9,113 a2 => −13.51,114 a3 =>703.6,115 IC =>0.0116 )117 port map (118 P => n4 ,119 N => n3 ,120 PS => n1 ,121 NS => ground122 ) ;123 R_transient_L : entity work . r e s i s ( bce )124 generic map (125126 a1 => 6.603 ,127 a2 => −155.2,128 a3 =>0.0498497


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIE129 )130 port map (131 P => n4 ,132 N => PLUS,133 PS => n1 ,134 NS => ground135 ) ;136137 C_transient_L : entity work . cap ( bce )138 generic map (139140 a1 => −6056.0 ,141 a2 => −27.12,142 a3 => 4475.0 ,143 IC => 0.0144 )145 port map (146 P => PLUS,147 N => n4 ,148 PS => n1 ,149 NS => ground ) ;150151 end architecture struct ;4.8 Les différents blocs constituant la batterieDans la suite, nous détaillons l’implémentation VHDL-AMS des blocs utilisés dans la batterie.Cette méthode est extensible à la modélisation d’autres effets non linéaires.4.8.1 Tension à circuit ouvert de la batterieCette tension est notée V _oc. Elle est modélisée par une source de tension contrôlée par unetension. Cette dernière primitive n’existant pas explicitement en VHDL-AMS commeen SPICE, nous l’avons manuellement implémenté comme illustré dans le code qui suit.La tension V _oc est une fonction polynomiale de la tension V _soc représentant l’état de charge dela batterie. Nous avons donc besoin de 4 ports électriques : deux ports pour la tension de la tensionà circuit ouvert et les deux autres pour la tension qui la contrôle c’est à dire celle aux bornesde la capacité (modélisant l’état de charge de la batterie) VSOC. La définition conservativede ces deux tensions ("branch quantities") nous permet de modéliser correctement larelation non linéaire entre la tension à circuit ouvert de la batterie et son état de chargeà chaque instant t.12 library IEEE, WORK;3 use IEEE.ELECTRICAL_SYSTEMS. all ;4 use ieee . math_real . all ;56 entity VCVS is7 generic (89 a1 : REAL := REAL’LOW; −− coeff110 a2 : REAL := REAL’LOW; −− coeff298


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIE11 a3 : REAL := REAL’LOW; −− coeff312 a4 : REAL := REAL’LOW; −− coeff413 a5 : REAL := REAL’LOW; −− coeff514 a6 : REAL := REAL’LOW ;15 IC : REAL := REAL’LOW −− coeff616171819 ) ;20 port (21 terminal Poc : ELECTRICAL; −− positive terminal22 terminal Noc : ELECTRICAL ; −− negative terminal23 terminal PS : ELECTRICAL; −− positive control terminal24 terminal NS : ELECTRICAL −− negative control terminal25 ) ;262728 end entity VCVS;293031 architecture bce of VCVS is32 terminal n1 : e l e c t r i c a l ;33 quantity Voc across Ioc through Poc to Noc ;34 quantity VSOC across Ibatt through PS to NS;35 begin3637 Ibatt == Ioc tolerance "current_bat" ;38 Voc == ( a1 ∗ EXP( a2∗ VSOC) ) + a3 + a4∗VSOC − ( a5 ∗(VSOC∗∗2) ) + ( a6∗ (VSOC∗∗3) )tolerance "VOC" ;394041 end architecture bce ;L’équation de la ligne 38 traduit la relation polynomiale deV oc en fonction deV soc . Cette équationest obtenue par extrapolation polynomiale à partir du graphe présenté dans l’annexe B.4.8.2 Chute de tension instantanée au sein la batterie suite à une impulsion,modélisée par une résistance en sérieCette résistance paramétrée modélise la chute de tension instantanée observée au sein de labatterie suite à une impulsion. Elle dépend aussi de l’état de charge de la batterie.La tension aux bornes de cette résistance est calculée en suivant la même méthode que le blocprécédent puis implémenté à la ligne 31.1 library IEEE, WORK;2 use IEEE.ELECTRICAL_SYSTEMS. all ;3 use ieee . math_real . all ;45 entity r e s i s is6 generic (78 a1 : REAL := 0.1562; −− coeff19 a2 : REAL := −24.37; −− coeff210 a3 : REAL := 0.07446 −− coeff21112 ) ;99


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIE13 port (14 terminal P : ELECTRICAL; −− positive terminal15 terminal N : ELECTRICAL ; −− negative terminal16 terminal PS : ELECTRICAL; −− positive control terminal17 terminal NS : ELECTRICAL −− negative control terminal18 ) ;192021 end entity r e s i s ;222324 architecture bce of r e s i s is2526 quantity V across I through P to N;27 quantity VSOC_IN across PS to NS;282930 begin31 V == a1 ∗ EXP( a2∗VSOC_IN)∗I+ a3∗ I tolerance" current_resis_transient " ;323334 end architecture bce ;4.8.3 Capacité de la batterie et influence de la températureDans son article, l’auteur de [13] n’a pas tenu compte de la variation de la température dansl’extraction paramétrique (l’expérience a été faite à température constante). Il a justifié cette suppositionpar le fait que les fluctuations de température sont négligeables pour l’application visée.En revanche, nous avons tenu compte de la variation de ce paramètre dans notre modèle VHDL-AMS afin d’étendre ses champs d’application notamment pour les applications WSN où la températureest un facteur important (par exemple les capteurs de feux de foˆrets). La capacité de labatterie étudiée est influencée plus par les baisses que par les hausses de température comme nousle verrons dans la section 4.9.3.Etant donné que la température influence surtout la capacité de la batterie, cette dernière peutêtre déterminée par l’ajustement de ce paramètre (“capacity” selon la notation de la figure 4.15) enfonction de la température de la cellule de la batterie.Une fonction, appelée "temp_cycle" (les lignes 30 à 43 du code source suivant), permet le calculde la nouvelle capacité pour la cellule en fonction de la température de décharge T, de la capacité deréférence (prise à 25°C) "old_c" et des paramètres déterminés Ci_T (i de 1 à 8). Ces paramètressont extraits par interpoloation polynomiale (polynômes du second degré). Dans le cas général, leséquations sont données par (4.15). Notons que la capacité est aussi fonction du nombre de cycles(ligne 45).C new = C ref pour T ∈ [0..25]C new = C ref (C 9 +C 1 T −C 2 T 2 ) pour T ∈ [−10..0]C new = C ref (C 10 +C 3 T −C 4 T 2 ) pour T ∈ [−20..−10]C new = C ref (C 11 +C 5 T −C 6 T 2 ) pour T ∈ [25..45]C new = C ref (C 12 +C 5 T −C 6 T 2 ) pour T ∈ [45..60] (4.15)100


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIELes lignes 21 à 26 servent à informer l’utilisateur grâce à un message d’erreur que la températuren’est pas dans la marge autorisée par le constructeur (trop élevée ou trop faible) et que les résultatsde simulations seront donc erronées. Ceci est possible grâce aux assertions très utilisées dans ledomaine de la vérification (ABV pour Assertion Based Verification).Ces équations peuvent être généralisées à d’autres types de batteries en se basant sur les courbesde décharge données par le constructeur.1 library IEEE, WORK;2 use IEEE.ELECTRICAL_SYSTEMS. all ;34 entity cap_simple is5 generic (6 T : REAL := 25.0; −− temperature en degres7 IC : REAL := REAL’LOW; −−Charge i n i t i a l e8 cor_cycle :REAL := 4.0 e−4;−−facteur de correction des cycles9 −−coeffs poly pour la temp1011 C1_T :REAL := 0 .0;12 C2_T :REAL := 0 .0;13 C3_T :REAL := 0 .0;14 C4_T :REAL := 0 .0;15 C5_T :REAL := 0 .0;16 C6_T :REAL := 0 .0;17 C7_T :REAL := 0 .0;18 C8_T :REAL := 0 .0;19 C9_T :REAL := 0 .7;20 C10_T :REAL := 0 .5;21 C11_T :REAL := 0.97;22 C12_T :REAL := 0.95) ;23 port ( terminal P : ELECTRICAL; −− positive terminal24 terminal N : ELECTRICAL −− negative terminal ) ;2526 assert T >= −20.027 report "minimum allowed Temperature i s −10 degres"28 severity error ;29 assert T


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIE51 constant old_c : real := (1.0 − cor_cycle∗ real ( cycle ) ) ∗3060.0;52 constant C : real := temp_cycle ( old_c ,T,C1_T,C2_T,C3_T,C4_T,C5_T,C6_T,C7_T,C8_T,C9_T,C10_T,C11_T,C12_T) ;53 BEGIN54 Break V => IC ;55 if V’ above (1.0) and I ’ above (0.0) use56 V’ dot == 0.0;57 elsif not V’ above (0.0) and not I ’ above (0.0) use58 V’ dot == 0.0;59 else60 I == C ∗ V’ dot ;61END USE;62 end architecture bce ;4.8.4 Temps de réponse court et long de la batterieLes capacités C_transient L et C_transient S sont utilisées pour modéliser les temps de réponsesrespectivement long et court suite à une impulsion appliquée à la batterie. Ce phénomène est illustrépar la figure 4.16 du chapitre 4. Ces deux blocs utilisent les mêmes équations mais sont instanciésavec des paramètres différents (seuls les a i changent) dans le module de la batterie (entité bat cidessus).Pour les résistances, nous avons aussi utilisé le même couple entité/ architecture que celuide la résistance série mais paramétré différemment.1 library IEEE, WORK;2 use IEEE.ELECTRICAL_SYSTEMS. all ;3 use ieee . math_real . all ;45 entity cap is6 generic (78 a1 : REAL := 0.1562; −− coeff19 a2 : REAL := −24.37; −− coeff210 a3 : REAL := 0.07446; −− coeff211 IC : REAL := REAL’LOW;12 VSOC_IN_INIT : REAL := REAL’LOW1314 ) ;15 port (16 terminal P : ELECTRICAL; −− positive terminal17 terminal N : ELECTRICAL ; −− negative terminal18 terminal PS : ELECTRICAL; −− positive control terminal19 terminal NS : ELECTRICAL −− negative control terminal20 ) ;212223 end entity cap ;242526 architecture bce of cap is2728 quantity V across I through P to N;29 quantity VSOC_IN across PS to NS;303132 begin33102


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIE34 Break V => IC ;35 i == a1∗exp ( a2∗VSOC_IN)∗V’ dot+ a3∗V’ dot tolerance "current_capa_transient_long " ;363738 end architecture bce ;4.9 Résultats de simulationDans cette section, plusieurs profils ont été imposés à la batterie afin de tester la fonctionnalitéet la précision du modèle.4.9.1 Charge et décharge à courant constantLes premières validations consistaient à tester la batterie en lui imposant un courant positif puisnégatif constant de 640 mA (charge et décharge respectivement). Cette simulation a pour but devérifier la fonctionnalité du système. Pour l’instant, la température est gardée constante et égale à20°C.L’étude de l’influence de la température fera l’objet du paragraphe 4.9.3.Ensuite une simulation avec un courant plus faible (80 mA) a été effectuée afin de comparer lesrésultats avec ceux présentés dans [13].Les différents résultats sont reportés sur la figure 4.17.Nous avons commencé la charge à partir de 2% de la charge totale de la batterie jusqu’à 100%de celle-ci. Quant aux décharges, elles ont été effectuées à partir d’une batterie totalement chargée.Il est clair qu’avec des courant élevés, les temps de charge et de décharge sont beaucoup plus courts.Les temps de simulations sont très courts. Nous avons enregistrés 182 s pour le profil à 80 mAavec une charge de 60 ks contre 16 s de temps de simulation pour le profil à 640 mA avec unecharge de 6 ks. Ces temps de simulations très courts ont été obtenus car nous avons relaxé le pasde simulation variable maximum (maximum step time) à une grande valeur de 100 ms. Pour cesvalidations, Nous gardons une bonne précision car la batterie est testée avec seulement un autrebloc : le générateur de courant qui est dans ce cas constant : nous n’avons pas une fréquence élevéequi impose le pas de simulation. Par contre, pour les simulations globales, il est clair que ce temps,sera considérablement réduit et sera fixé par la plus grande fréquence des autres blocs.4.9.2 Charge et décharge avec train d’impulsionsDans le deuxième vecteur de test, la batterie est stimulée par un courant de charge et de déchargesous forme de train d’impulsions. Ce test est très important car il adresse directement le processuschimique de la batterie et plus particulièrement l’effet de récupération de charge expliqué dans lasection 4.3.2.• Intérêt de la technique de charge par impulsionsLes premiers chargeurs appliquant la technique de charge sous forme de trains d’impulsions ontcommencé à être industrialisés à partir des années 70. Cette technique consiste à fournir à la batteriedes impulsions de courant d’un maximum d’une seconde suivis de périodes de repos de quelquesmilli-secondes [80].103


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIEDans le cas de la méthode de charge à courant constant, les ions sont générés dans une électrodeau cours de la période de charge et doivent se déplacer à l’autre électrode. Si le courant constant estappliqué pendant une période de temps relativement longue, un gradient de concentration d’ions estformé en raison des limitations de transport de masse à l’intérieur de la batterie (voir le paragraphe4.3.2). Ceci conduit à une mauvaise efficacité de charge qui se traduit par la génération de chaleur,une capacité de batterie plus faible et une durée de vie plus courte.L’interruption périodique de la charge permet la diffusion des ions ainsi qu’une distribution deleur concentration plus uniforme au sein de la batterie. Ainsi, la concentration de l’ion peut revenirà des taux normaux sur une base régulière et les effets négatifs constatés lors d’une charge à courantconstant se voient minimisés. Une variante a été ajoutée au régime de charge par impulsions. Cettetechnique implique l’ajout d’impulsions de décharge au cours de la période de repos. En effet, ceprocessus de charge est constitué de quatre périodes : une première période d’impulsion de charges,suivie par une courte période de repos, suivie d’une très courte durée d’impulsion de décharges,d’amplitude environ 2,5 fois celle utilisée pour la charge. Cette période est suivie par une période derepos puis le processus reprend de nouveau. L’ajout des impulsions de décharge négatives accélèrel’équilibre de la concentration en ions et pallie à certains effets négatifs causés par les réactionschimiques périphériques. L’augmentation de la vitesse à laquelle la batterie revient à l’équilibre,permet l’amélioration des conditions de charge et par conséquent l’efficacité et les performances dela batterie.La gestion du courant dans la batterie et l’amélioration de ses performances seront traités auchapitre 5. Le but de cette section est de valider un modèle qui permettra le test de ces différentestechniques de gestion. Un tel modèle devra donc répondre correctement à des impulsions de chargeet de décharge.• Test de la méthode de charge par impulsionsLe test suivant a pour but de vérifier l’intérêt du mode de décharge impulsionnel. Pour ceci, nousavons effectué un test de décharge avec deux profils différents : un courant impulsionnel d’amplitude−80 mA et un courant constant de la même valeur. Le résultat de cette simulation est illustré sur lafigure 4.18. Afin de modéliser le courant de décharge, une description VHDL-AMS d’une onde sousforme segmentée par des droites a été effectuée. Cette fonction est fonctionnellement équivalenteà un générateur d’impulsions carrées (PWL). La largeur de l’état haut (PW) a été fixée à 640 salors que celle de la période à 1 ks de manière à laisser à la batterie suffisamment de temps pourrécupérer sa charge sans alourdir les temps de simulation.Nous avons ensuite effectué un test de charge avec deux profils différents : un courant impulsionneld’amplitude 640 mA et un courant constant de la même valeur. Le résultat de cette simulation estillustré sur la figure 4.19.Nous voyons clairement qu’une décharge impulsionnelle permet à la batterie de réccupérer dela charge, (comme annoncé théoriquement dans le paragraphe précédent). La batterie se voit alorsgarder sa charge plus longtemps et les temps de décharge sont plus grands (50% de gain).4.9.3 Etude de l’influence de la températureAfin de valider la modélisation de l’influence de la température sur le comportement de la batterie,nous avons imposé un courant de décharge de400 mA. Ensuite, nous avons fait varier la températureafin d’examiner l’influence de celle-ci sur la tension de la batterie et la durée de sa décharge. Lesrésultats sont illustrés sur la figure 4.20.104


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIECes résultats concordent avec les informations délivrées avec la fiche technique ("datasheet") dela batterie [95]. Nous notons que la capacité de cette batterie est influencée plus par les baisses quepar les hausses de température.4.10 ConclusionAprès avoir abordé la modélisation des microgénérateurs piézoélectriques dans le chapitre précédent,nous avons développé un modèle VHDL-AMS de batterie Li-Ion adaptable àtout type de batterie. Ce modèle inclut la plupart des propriétés dynamiques et non linéairesd’un accumulateur électrochimique du commerce : la tension non linéaire à circuit ouvert, la température,le nombre de cycles... Cette description peut être utilisée dans une simulation globalepour une meilleure précision. Par contre, l’utilisation de ce modèle dans une simulation globale peutengendrer des temps de simulations élevés et/ou des problèmes de convergences s’il est cascadé avecun autre modèle fortement non-linéaire comme celui du microgénérateur développé dans le chapitre3.105


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIETechnologie Avantages InconvénientsNiCd• Charge simple et rapide même après unelongue période de stockage,• recharge facile même à basse température,• grande durée de vie,• bonnes performances à basse température,• résistance interne très faible,• et faible coût• faible densité énergétique,• auto-décharge assez rapide (20% parmois),• sensibilité à l’effet mémoire,• et néfaste pour l’environnement si labatterie n’est pas recyclée.Ni-MHLi-IonLi-Ion polymère• Contient beaucoup plus d’énergie que leNickel-cadmium,• peu sensible à l’effet mémoire,• simple à stocker et à transporter,• ne pollue pas comme le Ni-cd• Pas d’effet mémoire ,• faible auto-décharge (10 % par moisvoire souvent moins de quelques pourcentspar an),• ne nécessite pas de maintenance,• possède une haute densité d’énergiepour un poids très faible• < 1mm d’épaisseur,• faible coût,• meilleur que Li-Ion en terme de sûretéet de densité énergétique• Ne supporte pas le dépassement decharge,• détection de fin de charge difficile (∆Vtrès faible),• durée de vie plus faible que le Ni-cd ennombre de cycles• Les courants de charge et de déchargeadmissibles sont plus faibles qu’avecd’autres technologies,• Il peut se produire un court-circuit entreles deux électrodes par croissance dendritiquede lithium,• l’utilisation d’un électrolyte liquide présentedes dangers si une fuite se produitet que celui-ci entre en contact avec del’air ou de l’eau.• Côut élevé de production,• problèmes de densité thermiqueTable 4.1 – Comparaison entre les différentes technologies de batteries [8]106


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIE(a)(b)Figure 4.17 – Résultats de simulations de la charge (a) et de la décharge (b) de la batterie Li-Ionpolymère PL-383562 avec deux profils différents de courant constant : 640 mA (charge et déchargerapides) et 80 mA (charge et décharge plus lentes)107


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIE20.00m0.00-20.00mCourant [A]-40.00m-60.00m-80.00m-100.00mIpulse0.00 10.00k 20.00k 30.00k 40.00k 50.00k 60.00kTemps [s](a)50%(b)Figure 4.18 – Résultats de simulations de la décharge de la batterie Li-Ion polymère PL-383562(b) selon deux profils de décharge : un courant impulsionnel d’amplitude −80 mA (a) et un courantconstant de la même valeur108


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIEFigure 4.19 – Résultats de simulations de la charge de la batterie Li-Ion polymère PL-383562 avecdeux profils différents de charge : un courant constant de de 640 mA et un courant impulsionnel demême amplitude (même largeur que le profil de la figure 4.18-(a)Figure 4.20 – Influence de la température sur la tension de la batterie avec un courant de déchargede 400 mA109


CHAPITRE 4. SYSTÈMES <strong>DE</strong> STOCKAGE D’ÉNERGIE110


Chapitre 5Systèmes de gestion d’énergieSommaire5.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1125.2 Les convertisseurs AC-DC . . . . . . . . . . . . . . . . . . . . . . . . . . . 1125.2.1 Pont de Graëtz et microgénérateur piézoélectrique . . . . . . . . . . . . . . 1135.2.2 Modèle d’une diode en VHDL-AMS . . . . . . . . . . . . . . . . . . . . . . 1155.2.3 Validation du modèle VHDL-AMS du pont de Graëtz . . . . . . . . . . . . 1185.2.4 Pont de Graëtz avec des diodes à faible tension de seuil . . . . . . . . . . . 1205.2.4.1 Transistor MOS à tension de seuil dynamique (DTMOS) . . . . . 1205.2.4.2 Caractéristique d’un transistor de type PMOS à seuil dynamique . 1215.2.4.3 Validation du pont de Gratëz avec des diodes à faible tension deseuil . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1225.3 Les convertisseurs DC-DC . . . . . . . . . . . . . . . . . . . . . . . . . . . 1235.3.1 Les régulateurs linéaires de tension . . . . . . . . . . . . . . . . . . . . . . . 1245.3.2 Convertisseurs continu-continu à découpage (convertisseurs à stockage inductif). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1255.3.2.1 Modèles VHDL-AMS d’un transistor MOS . . . . . . . . . . . . . 1275.3.2.2 Modèle VHDL-AMS de l’abaisseur de tension . . . . . . . . . . . . 1295.3.3 Choix du rapport cyclique . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1315.3.4 Pompes de charge (convertisseurs à stockage capacitif) . . . . . . . . . . . . 1325.3.4.1 Modèle idéal de pompe de charge . . . . . . . . . . . . . . . . . . 1355.3.4.2 Comparaison entre la pompe de charge de Dickson et celle deVillard pour des tensions faibles . . . . . . . . . . . . . . . . . . . 1385.4 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139111


CHAPITRE 5. SYSTÈMES <strong>DE</strong> GESTION D’ÉNERGIE5.1 IntroductionLa sortie du microgénérateur étudié dans la chapitre 3 est généralement une tension sinusoïdalede faible amplitude. C’est pourquoi, un circuit électronique est nécessaire pour transformer l’énergiedélivrée par le microgénérateur en une énergie utilisable par la batterie ou la supercapacité.Les systèmes conventionnels de gestion d’énergie sont habituellement composés d’un convertisseurAC-DC pour redresser le signal alternatif fourni par le générateur, et un convertisseur DC-DC pouradapter la sortie du module AC-DC à la charge utilisée. Nous étudierons dans un premier tempsce type de systèmes. Ensuite, nous proposerons des modèles VHDL-AMS et SPICE de circuits degestion d’énergie plus adaptés aux microsystèmes.Plusieurs contraintes sont à considérer tout au long du chapitre : la consommation énergétique ducircuit qui doit être réduite au maximum, sa taille et la tension seuil des composants actifs utilisésqui doit être inférieure à la faible tension générée par le microgénérateur.Le chapitre comportera deux sections. La première concerne les circuits de rectification d’énergie,la deuxième les circuits d’adaptation de charge. Dans cette dernière, nous proposons des modèlesde circuits de gestion et de contrôle d’énergie compatible avec le microgénérateur millimétriquedéveloppé dans notre équipe.5.2 Les convertisseurs AC-DCLe pont de Graëtz présenté à la figure 5.1 est une structure classique de convertisseur AC-DCavec une entrée sinosoïdale générée par un générateur piézoélectrique et une résistance de charge.C’est le processus de fabrication le plus utilisé (car le moins coûteux). Le pont de diodes est en effetun redresseur de courant : le courant de sortie est toujours la valeur absolue du courant d’entrée.En revanche, la forme de la tension de sortie dépend à la fois de celle de la tension d’entrée et de lanature de la charge.Figure 5.1 – Structure d’un circuit de récupération d’énergie composé d’un microgénérateur piézoélectriqueet d’un pont de GraëtzAfin d’avoir le meilleur redressement possible (redressement double alternance), la capacité de filtrageC rect est mise en parallèle sur la charge pour que la tension soit lissée. Ainsi, la valeur moyennedu signal se retrouve aux bornes du condensateur donc aux bornes de la charge. Physiquement le112


CHAPITRE 5. SYSTÈMES <strong>DE</strong> GESTION D’ÉNERGIEcondensateur agit comme un réservoir d’énergie : lorsque la tension aux bornes de la capacité defiltrage est inférieure à la tension moyenne, le condensateur fournit "l’énergie manquante". Dans lecas contraire, le condensateur absorbe la différence. La tension à la sortie de ce montage électroniqueest seulement redressée, elle présente un taux d’ondulation qui dépend de C rect et R.Pour obtenir une tension continue stabilisée, un circuit DC-DC est utilisé (voir section 5.3).5.2.1 Pont de Graëtz et microgénérateur piézoélectriqueConsidérons le pont redresseur de la figure 5.1 dans lequel le microgénérateur piézoélectrique estreprésenté par son modèle structurel à faible couplage (voir la section 3.3).Le courant produit par l’élément piézoélectrique i p (t) est relié au changement de la tension V p (t)aux bornes de C piezo par l’équation 5.1.I piezo sin(ωt) = C piezo ˙ V p (5.1)La tension aux bornes du microgénérateur comprend deux intervalles :1. Durant le premier intervalle noté u, le courant de polarisation i p (t) charge la capacité piézoélectriqueC piezo . Toutes les diodes sont bloquées et aucun courant ne traverse la charge desortie jusqu’à ce que la tension aux bornes de la capacité piézoélectrique C piezo atteigne cellede la capacité de filtrage V rect ,2. A la fin de cette période de commutation, la capacité piézoélectrique est chargée à la tensionV rect . Le courant circule donc vers la capacité C rect et la charge durant la période u ωt π.La tension du générateur a donc l’allure reportée sur la figure 5.2.Figure 5.2 – Allure de la tension aux bornes du microgénérateur(a)En intégrant i p (t) par rapport àωt durant l’intervalle de commutation 0 ωt u et en supposantque V p (0) = −V rect et que V p (u) = −V rect , on obtient l’expression de cos(u) selon l’équation 5.2.cos(u) = 1− 2V rect ω c piezoI piezo(5.2)Ainsi le courant de sortie i 0 (t), décrit par le système d’équations 5.3, présente la forme d’ondesde la figure 5.3.113


CHAPITRE 5. SYSTÈMES <strong>DE</strong> GESTION D’ÉNERGIE⎧0 si 0 ωt u⎪⎨i 0 (t) =C rect ⎪⎩ I piezo |sin(ωt)| si u ωt ΠC rect +C piezo(5.3)En assumant que C rect ≫ C piezo , nous pouvons écrire i 0 (t) = i piezo (t), soit une valeur moyennesur l’intervalle 0 ωt π donnée par l’équation 5.4.< i 0 (t) >= I piezoπ(1+cos(u)) (5.4)En remplaçant dans cette dernière équation cos(u) par son expression (5.2), on obtient l’équation5.5.< i 0 (t) >= 2I pπ − 2V rect ω C piezoπ(5.5)Et comme la valeur moyenne de la tension de sortie est V rect , la puissance de sortie moyenne del’élément piézoélectrique est alors donnée par 5.6.P = 2V rectπ(I piezo − V rect wC piezo ) (5.6)Cette expression nous sera bien utile par la suite, notamment lors de l’étude du convertisseurDC-DC à découpage.(b)Figure 5.3 – Allure du courant dans la résistance de chargeLes différentes formes d’ondes théoriques que nous avons exposées dans cette section serontvérifiées par simulation dans le but de de valider le modèle VHDL-AMS du redresseur.Dans cette étude théorique, nous avons négligé la tension de seuil de la diode. Nous en tiendronscompte toutefois dans le modèle en VHDL-AMS. Pour ceci, nous avons besoin d’un modèle VHDL-AMS d’une diode pour modéliser structurellement ce circuit : c’est l’objet du paragraphe suivant.114


CHAPITRE 5. SYSTÈMES <strong>DE</strong> GESTION D’ÉNERGIE5.2.2 Modèle d’une diode en VHDL-AMSLe modèle simplifié d’une diode repose sur l’équation 5.7 où I S correspond au courant de saturation,V T à la tension thermique à 300K, i d et v d respectivement au courant et à la tension auxbornes de la diode.i d = I S ∗(exp( v dV T)−1) (5.7)Le modèle VHDL-AMS d’une telle diode est décrit par le code source suivant :1LIBRARY IEEE;2 USE IEEE. math_real . all ;3 USE IEEE. electrical_systems . all ;4 USE IEEE. fundamental_constants . all ;5 entity diode is6 generic ( Is : current := 1.0 e−14) ; −− Saturation current [Amps]7 port (terminal a , c : e l e c t r i c a l ) ;8 end entity diode ;910 architecture bhv of diode is11 Constant MAX_EXP : REAL := 50.0;12 Constant MIN_EXP : REAL := −100.0;13 constant TempC : real := 27.0; −− Ambient Temperature [ Degrees ]14 constant TempK : real := 273.0 + TempC; −− Temperaure [K]15 constant vt : real := PHYS_K∗TempK/PHYS_Q; −− Thermal Voltage16 quantity vd across id through a to c ;17 function limexp (x : REAL)18 return REAL is19 variable y : REAL;20 begin21 if x > MAX_EXP then22 y := MAX_EXP ∗ (x − MAX_EXP + 1.0) ;23 elsif x < MIN_EXP then24 y := MIN_EXP ∗ (x − MIN_EXP + 1.0) ;25 else26 y := exp (x) ;27 end if ;28 return y ;2930 end function limexp ;31 begin32 id == Is ∗( limexp (vd/vt ) − 1.0) tolerance "current_diode " ;33 end architecture bhv ;Le modèle commence par l’inclusion des bibliothèques. Dans ce cas, nous avons utilisé le paquetage"fundamental_constants" car il contient la définition de la constante de BoltzmannPHYS_K(J/K) et la charge élémentaire PHYS_Q(C) nécessaires pour le calcul de la tensionV T (ligne 16 du code source). Les terminaux électriques a et c représentent respectivement l’anodeet la cathode de la diode.La fonction "limexp" est fonctionnellement identique à la fonction "exp" définie dans le paquetage"math_real" : pour un argument donné x, les deux fonctions retournent exp(x). "limexp" aaussi pour fonction de limiter l’exponentielle afin d’éviter les problèmes de convergence. En effet, lafonction exponentielle est une fonction fortement non linéaire, qui, pour un argument dont la valeurabsolue a une grande valeur, retourne un nombre très grand. Ceci peut causer des problèmes pour115


CHAPITRE 5. SYSTÈMES <strong>DE</strong> GESTION D’ÉNERGIENom Paramètre UnitéValeursValeurs parpourdéfautd1n4007laI S Courant de saturation A 10 −14 2.01865 10 −9R S Résistance en série Ω 0 0.11592N Cœfficient d’émission − 1 1.5TT Temps de transit s 0 1 10 −7CJ 0 Capacité initiale de jonction F 0 3.28974 10 −9V J Tension de la jonction V 1 4.39387M Cœfficient de calibration − 0.5 0.659734E G Largeur de la bande interdite eV 1.11 1XTIInfluence de la température T sur le courantde saturation I S− 3 3.00448KF Cœfficient du bruit − 0 −AF Exposant du bruit − 1 −FCCœfficient utilisé pour le calcul de la capacitéde jonction− 0.5 −BV Tension de claquage de la diode V ∞ 100IBVCourant à la tension de claquage de ladiodeA 10 −3 100TNOM Température de mesure des paramètres °C 27 27Table 5.1 – Paramètres SPICE de la diodeles méthodes itératives allant jusqu’à la divergence du calcul. Les limites proposées permettent unelinéarisation de l’exponentielle.Cette méthode de linéarisation est aussi utilisée dans le langage Verilog-AMS : elle est proposéedans le manuel référence du langage (LRM) [96]. Nous avons repris cette méthode en VHDL-AMSafin d’éviter les problèmes de convergence des blocs non linéaires utilisant la fonction exponentielle.Ainsi, dans la modélisation de la diode, cette fonction d’exponentielle linéarisé a été utilisée.Ce modèle ne prend pas en compte certaines caractéristiques physiques importantes comme parexemple la capacité de jonction de la diode. Pour en tenir compte, nous avons utilisé le modèle dit"SPICE-like", développé par le groupe de recherches VDA FAT-AK30 [97]. Ce dernier est analytiquementidentique au modèle SPICE niveau 1 [98]. Les paramètres utilisés sont reportés dans letableau 5.1.116On notera que :• Les caractéristiques DC de la diode sont déterminées par les paramètres I S et N (voir letableau 5.1) pour la définition des paramètres de la diode,• la résistance ohmique des contacts RS est incluse,• les effets de stockage de charge sont modélisés par le temps de transition TT, et une capaciténon linéaire déterminée par les paramètres CJO, VJ et M,• la dépendance en température du courant de saturation est définie par les paramètres suivants :l’énergie de gap EG, et le cœfficient de température de courant de saturation XTI,• la température nominale à laquelle ces paramètres sont mesurés est TNOM, qui par défautest la température nominale utilisée pour tout le circuit. Elle est spécifiée par la commande


CHAPITRE 5. SYSTÈMES <strong>DE</strong> GESTION D’ÉNERGIE".option" pour les simulations SPICE (et dans l’interface générique (”generic”) d’une entitéVHDL),• et enfin la caractéristique inverse qui est modélisée par une augmentation exponentielle ducourant inverse de la diode et déterminée par les paramètres BV et IBV (tous deux positifs).L’interface générique du modèle est la suivante :1 entity DIO<strong>DE</strong> is2 generic ( MDATA : DIO<strong>DE</strong>_DATA := <strong>DE</strong>FAULT_DIO<strong>DE</strong>_DATA; −− model data3 AREA : REAL := 1.0; −− area factor4 START : START_TYPE := UN<strong>DE</strong>F; −− starting condidion (UN<strong>DE</strong>F or IC_OFF)5 IC : REAL := REAL’LOW; −− i n i t i a l condition [V]6 TEMP : REAL := SPICE_TEMPERATURE −− instance temperature [°K]) ;7 port (terminal A : ELECTRICAL; −− anode8 terminal C : ELECTRICAL −− cathode ) ;avec MDATA un vecteur de réels défini par la ligne (2) et initialisé par la fonction SET_DIO<strong>DE</strong>_DATAaux valeurs par défaut suivantes :12 type DIO<strong>DE</strong>_DATA is array (1 TO 15) of REAL; −− implementation dependent3 function SET_DIO<strong>DE</strong>_DATA (4 constant ISS : REAL := 1.0E−14; −− saturation current (A)5 constant RS : REAL := 0 .0; −− ohmic resistance (ohm)6 constant N : REAL := 1 .0; −− emission c oefficient7 constant TT : REAL := 0 .0; −− transit time ( in seconds )8 constant CJ0 : REAL := 0 .0; −− zero−bias junction capacitance ( in F)9 constant CJO : REAL := UN<strong>DE</strong>F_VALUE; −− zero−bias junction capacitance ( in F)10 constant VJ : REAL := 1 .0; −− junction potential ( in V)11 constant M : REAL := 0 .5; −− grading coefficient12 constant EG : REAL := 1.11; −− activation energy ( in eV)13 constant XTI : REAL := 3 .0; −− saturation−current temp . exp14 constant KF : REAL := 0 .0; −− f l i c k e r noise c o e fficient15 constant AF : REAL := 1 .0; −− f l i c k e r noise exponent16 constant FC : REAL := 0 .5; −− c o e fficient for forward−bias17 −− depletion capacitance formula18 constant BV : REAL := REAL’HIGH; −− reverse breakdown voltage ( in V)19 constant IBV : REAL := 1.0E−3; −− current at breakdown voltage ( in A)20 constant TNOM : REAL := SPICE_TNOM; −− parameter measurement temperature21 −− ( in Kelvin )22 constant MESS : BOOLEAN := TRUE −− Output of notes )23 return DIO<strong>DE</strong>_DATA;Afin de valider le modèle dit "SPICE-like", nous avons décidé de le comparer avec son homologueSPICE. Pour ceci, nous avons dû choisir entre les modèles de diode (écrits en SPICE) proposés parla bibliothèque de l’outil que nous utilisons (SMASH).Les modèles de jonction sont proposés avec deux variantes : les modèles géométriques et lesmodèles non géométriques (indépendants de la technologie) [48]. Le niveau comparable à celui quenous avons utilisé en VHDL-AMS est le niveau 1.Pour valider le modèle, nous avons utilisé les paramètres spice d’une diode d1n4007. Ces paramètressont résumés dans le tableau 5.1.Le résultat de comparaison est illustré sur la figure 5.4.117


CHAPITRE 5. SYSTÈMES <strong>DE</strong> GESTION D’ÉNERGIEFigure 5.4 – Comparaison des résultats de la simulation d’une diode d1n4007 modélisée sous SPICEavec son homologue en VHDL-AMSNous nous limiterons à ce niveau de détails pour la diode en VHDL-AMS car nous avons jugéque l’effort de modélisation d’un modèle plus raffiné n’est pas justifié. En effet, en microélectroniqueoù il faut utiliser des modèles plus précis, il est plus intéressant d’utiliser des modèles SPICE qued’essayer de les égaler surtout que la co-simulation au sein de l’outil utilisé se fait avec le mêmenoyau de simulateur.Dans la suite, nous choisirons entre le modèle simplifié de la diode ou le modèle dit "SPICE-like"selon le compromis souhaité entre précision et vitesse de simulation.5.2.3 Validation du modèle VHDL-AMS du pont de GraëtzLe modèle VHDL-AMS du pont de Graëtz est une simple connexion structurelle des diodesdéveloppées dans le paragraphe précédent selon le schéma de la figure 5.1.Pour la validation du modèle, nous avons utilisé les paramètres expérimentaux extraits de [74].L’entrée du pont est donc la sortie d’un générateur piézoélectrique. Ensuite, nous avons examinéla sortie en courant sur une capacité C rect de valeur 50µF montée en parallèle avec une résistanceR rect de valeur 24 kΩ. Le modèle du microgénérateur utilisé est un modèle idéal soit une source decourant sinosoïdale d’amplitude 3,1mA et de fréquence 100Hz et une capacité piézoélectrique C ptel que C p = 0,184µF. Les résultats de la simulation sont illustrés à la figure 5.5.118


CHAPITRE 5. SYSTÈMES <strong>DE</strong> GESTION D’ÉNERGIEFigure 5.5 – Validation du modèle VHDL-AMS du pont de Gratëz avec le générateur piézoélectrique: courant dans la capacité de sortieSelon la figure 5.5, le courant est bien redressé (redressement double alternance) et a la mêmeforme d’onde prédite théoriquement par la figure 5.3 : nous distinguons notamment les périodes decommutation. Notons que la simulation de 40 ms physiques nécessite seulement 1.06s.4.00m3.00mCourant aux bornes de CpiezoTension aux bornes de Cpiezo4.00m3.00m2.00m2.00m1.00m1.00mCourant(A)0.000.00Tension [V]-1.00m-1.00m-2.00m-2.00m-3.00m-3.00m-4.00m-4.00m0 10m 20m 30m 40m 50m 60mTemps [s]Figure 5.6 – Validation du modèle VHDL-AMS du pont de Gratëz avec le générateur piézoélectrique: courant dans la capacité de sortieLa figure 5.6 montre l’évolution de la tension de sortie du générateur piézoélectrique V p (t) et ducourant i p (t).En régime permanent, la tension aux bornes d’une charge résistive de 24 kΩ est stabilisée à≈ 24 V comme montré sur la figure 5.7. Un zoom sur le régime transitoire montre la précision decette simulation pourtant rapide. En effet, nous avons simulé 5 secondes physiques en 1 minute 27secondes et 3 ms avec un pas maximum de 1 us. Dans le paragraphe suivant, nous nous proposonsd’étudier le rendement de cette structure.• Rendement de la structure119


CHAPITRE 5. SYSTÈMES <strong>DE</strong> GESTION D’ÉNERGIEFigure 5.7 – Evolution de la tension aux bornes de C rect avec un zoom sur le régime transitoirePendant chaque demi-période du signal, un courant i(t) circule dans deux diodes du pontet charge une capacité C rect assez grande pour supposer la tension V rect constante à la fin duchargement. L’énergie stockée sur la capacité vaut 1 2 C V 2 . Celle dissipée dans les diodes vaut2 ∫ V th i dt = 2C V th V .Prenons l’exemple d’une diode au germanium à tension de seuil de 0,3 V , une tension de sortiede 1 V peut être mesurée sur une capacité de 1 µF. L’énergie perdue dans les diodes vaut alors0,6 µJ contre 0,5 µJ d’énergie stockée. Le rendement étant proportionnel au rapport des tensionsV/V th , il faut obligatoirement diminuer la tension de seuil V th étant donné la faible tension délivréepar le microgénérateur.En conclusion, pour que cette structure soit utilisable avec le microgénérateur en entrée, il estnécessaire d’utiliser des diodes à très faible tension de seuil. Le modèle d’une telle diode a étédéveloppé, il fera l’objet du paragraphe 5.2.4.1.5.2.4 Pont de Graëtz avec des diodes à faible tension de seuilEn suivant la même méthode que dans la section précédente, nous avons besoin d’un modèle dediode à faible tension de seuil. Pour ceci, nous allons nous intéresser dans le paragraphe suivant autransistor MOS à tension de seuil dynamique (DTMOS) [99].5.2.4.1 Transistor MOS à tension de seuil dynamique (DTMOS)Cette technique, proposée essentiellement pour la technologie SOI (Silicon on insulator ou siliciumsur isolant), a été initialement présentée par [99]. Elle consiste à connecter la grille au substrat dutransistor. Le fonctionnement est basé sur la modification des tensions de seuil : la tension V BS (ladifférence de potentiel entre le substrat et la source) est modifiée.Dans la suite, nous utiliserons des transistors de type PMOS. En effet, le transistor de typePMOS a été privilégié au NMOS car dans la thèse de Y.Ammar [14], il a été considéré qu’il120


CHAPITRE 5. SYSTÈMES <strong>DE</strong> GESTION D’ÉNERGIEest plus facile technologiquement d’avoir des transistors PMOS dont les substrats sont polarisésdifféremment. Notons toutefois, qu’avec les nouveaux procédés technologiques (doube puits), il estpossible de polariser différemment les substrats des transistors NMOS.5.2.4.2 Caractéristique d’un transistor de type PMOS à seuil dynamiquePour caractériser la diode, nous avons effectué la simulation d’une diode construite à partir d’unPMOS de la technologie HCMOS9GP disponible via la fonderie STMicroelectronics. Dans cettetechnologie la largeur minimale du canal est de 0,13 µm. La figure 5.8 représente le résultat desimulation de la diode considérée (W = 10 µm, L = 0,4 µm).FFAFFTTSSFigure 5.8 – Influence de la variation du processus de fabrication sur la caractéristique d’uneDTMOS avec un PMOS de la technologie HCMOS9GPLes modèles des transistors utilisés sont des modèles SPICE BSIM3v3.2 selon la documentationdes librairies des composants fournis par STMicroelectronics.Les différentes courbes correspondent aux différents processus de fabrication technologiques utilisés.La précision de la tension seuil VTH0 est très importante. Il ya des années, elle pouvait varierjusqu’à 50% mais aujourd’hui, les processus de fabrication les plus robustes garantissent un contrôleavec une marge d’erreur de 25 − 50mV (ce qui reste important pour une application comme lanôtre).Parmi les paramètres importants du processus de fabrication, nous pouvons citer l’épaisseur del’oxyde de grille TOX (m), la tension seuil VTH0 (V), la capacité CJ (F/m), la dimension critiquedu polysilicium noté XL (m) etc...Pour chacun de ces paramètres, une valeur de déviation standard notée σ est considérée. Lesparamètres du modèle sont modifiés d’un multiple de sigma (2 σ ou 3 σ selon les processus defabrication considérés). Pour les transistors MOS, la vitesse est le critère de performance considéré.Selon ce paramètre le meilleur et le pire cas sont respectivement nommés F et S (pour fast et slowen anglais soit rapide et lent). Ainsi dans le cas F le courant de drain est maximum et les capacitésde jonction sont minimales.121


CHAPITRE 5. SYSTÈMES <strong>DE</strong> GESTION D’ÉNERGIED’un autre côté, les transistors NMOS et PMOS d’une même famille sont corrélés en terme deTOX, XL et XW (largeur critique du polysilicum). Par conséquent, les cas lents, rapides et typiquesdoivent être considérés conjointement pour les NMOS et les PMOS d’où les acronymes utilisés surla figure 5.8 : TT, SS, FF et FFA où A est dédiée aux applications analogiques, elle correspond àune variation de 3σ contre 2σ pour les autres.Nous notons trois résultats intéressants :• Une tension de seuil inférieure à 50mV ,• dans la gamme des faibles tensions (sous le seuil), le courant dans cette diode est nettementplus grand que pour une diode conventionnelle (facteur 1000),• un impact non négligeable des variations du processus de fabrication sur la tension seuil et lecourant dans la diode. Nous garantissons toutefois, même dans le pire cas (SS), une tension deseuil inférieure à 50mV . Cependant, étant donné que le circuit est basé sur plusieurs diodesen cascade, qu’une tension de seuil basse est indispensable au fonctionnement du circuit, cettevariation peut être critique.5.2.4.3 Validation du pont de Gratëz avec des diodes à faible tension de seuilDans cette partie, nous utilisons la diode à faible tension de seuil dans la structure de pont deGraëtz de la figure 5.5. Dans cette structure, toutes les diodes ont été remplacées par des transistorsde type PMOS connectées conformément à la figure 5.9.Figure 5.9 – Schéma de la diode développée par Y. Ammar dans sa thèse (technologieHCMOS9GP)[14]Nous avons considéré une tension d’entrée sinusoïdale de valeur 100 mV et de fréquence 1000 Hzet une capacité de la sortie d’une faible valeur (1 nF) afin d’avoir des temps de simulation raisonnables.Le résultat de la simulation est reporté sur la figure 5.10. Notons que c’est le cas typiquequi a été utilisé (les variations du processus de fabrication du composant sont considérées nulles).122


CHAPITRE 5. SYSTÈMES <strong>DE</strong> GESTION D’ÉNERGIEFigure 5.10 – Validation du modèle de pont de Gratëz avec des diodes à faible tension de seuil :tension aux bornes de C rectLa capacité de sortie du redresseur se charge à seulement 40mV au bout de deux secondes. Eneffet, malgré l’utilisation des DTMOS dans cette structure, les pertes restent importantes : rappelonsque l’utilisation des modèles BSIM3 des transistors prend en compte des pertes de courant qui sontassez importantes (comme nous l’avons mentionné à la section 5.2.4.1). Notons toutefois que lataille des transistors n’a pas été optimisé (Pour des L plus élevées, les performances peuvent êtreaméliorées). En revanche, nous démontrerons par simulation dans la section 5.3.4 que des structurescapacitives associant des capacités à des diodes à faible tension de seuil sont intéressantes.La batterie ayant besoin pour se charger d’une tension continue stabilisée, nous étudions dans lasection suivante les convertisseurs DC-DC.5.3 Les convertisseurs DC-DCEn général, il existe deux méthodes pour convertir une tension continue en une autre tensioncontinue. La première (figure 5.11-a) utilise un élément dissipatif dans un circuit à temps continu.La deuxième (figure 5.11-b) repose sur l’utilisation d’un circuit à temps discret et un élément destockage d’énergie.La première approche permet seulement de convertir une tension élevée en une tension plus faibletandis que la deuxième offre la possibilité d’avoir aussi bien des circuits abaisseurs que des circuitsélévateurs de tension. L’élément dissipatif étant constamment connecté à la source d’énergie dansle premier cas, l’efficacité de conversion est toujours inférieure à 100%. En revanche, la deuxièmeapproche, reposant sur des composants actifs (transistors ou diodes), permet de se connecter dansun premier temps à la source pour stocker de l’énergie puis à la charge pour lui restituer l’énergiestockée.123


CHAPITRE 5. SYSTÈMES <strong>DE</strong> GESTION D’ÉNERGIEFigure 5.11 – Deux approches de conversion continu-continu : (a) à temps continu avec élémentdissipatif (Vin > Vout) et (b) à temps discret avec un élément de stockage d’énergie tamponCette seconde approche nous permet d’avoir théoriquement une efficacité de 100% (si on se placedans le cas idéal où les pertes dans les interrupteurs et les éléments de stockage sont nuls). Dansla pratique, cette efficacité varie d’un convertisseur à un autre. Dans tous les cas, un élément destockage tampon est utilisé dans cette deuxième approche.Nous étudierons dans la suite les différents types de convertisseurs appartenant à cette deuxièmecatégorie.Trois types de convertisseurs sont alors considérés :• Les régulateurs linéaires de tension,• les convertisseurs à découpage (ou convertisseurs à stockage inductif)• et enfin les pompes de charge (ou convertisseurs à stockage capacitif). Ce type de convertisseurspeut aussi être utilisé pour convertir une tension alternative en une tension continue réguléecomme nous le verrons plus tard.5.3.1 Les régulateurs linéaires de tensionUne représentation schématique de ce type de convertisseurs de tension est illustrée dans la figure5.12. Un transistor MOS est contrôlé par un comparateur dont le rôle de comparer la tension desortie V out avec une tension de référence V ref . Le transistor fonctionne en mode saturé (en modelinéaire pour les transistors bipolaires). Ceci implique que le courant de sortie I out et donc V outchange significativement quand V in varie. Par conséquent un maximum d’efficacité est atteint à(P out /P in ) ≤ (V out /V in ). En réalité, cette valeur maximale n’est jamais atteinte à cause du courantconsommé par le régulateur de tension incluant l’amplificateur, les résistances et la tension deréférence. Il est donc clair que ce type de convertisseur ne peut être utilisé que si la différence entrela tension d’entrée et celle de sortie est faible, ce qui n’est pas notre cas vu que le but est justementd’avoir un gain important en tension.124


CHAPITRE 5. SYSTÈMES <strong>DE</strong> GESTION D’ÉNERGIEFigure 5.12 – Représentation d’un régulateur linéaire de tensionCe type de convertisseurs n’a donc aucune utilité pour l’application visée.5.3.2 Convertisseurs continu-continu à découpage (convertisseurs à stockageinductif)• FonctionnementCes régulateurs font la conversion d’une tension stabilisée ou non stabilisée en une tension stabilisée.Le découpage de la tension d’entrée engendre une tension rectangulaire. La valeur moyenne dece signal donne la valeur de la tension de sortie. Ce signal rectangulaire est suivi par un filtre passebas pour bloquer les composantes alternatives. La régulation au sein des convertisseurs à découpageest assurée par un composant actif utilisé en commutation (généralement un transistor). Ce modede fonctionnement s’oppose à celui des alimentations linéaires dans lesquelles le composant de régulationest utilisé en mode linéaire. Dans les alimentations à découpage, le rendement dépend dutransistor de découpage.La figure 5.13 montre le principe de base des alimentations à découpage.Figure 5.13 – Fonctionnement d’un convertisseur DC-DC à découpage [15]La charge R C est alimentée par une tension découpée. La valeur de la tension de sortie estdéterminée par la largeur des créneaux de la tension à découpage notée t on . Si cette largeur estconstante, la valeur moyenne de la tension de sortie V sortie est donnée par l’équation 5.8.< V sortie >= α V entree (5.8)où α = tonT est appelé le rapport cyclique avec T la période de découpage. 125


CHAPITRE 5. SYSTÈMES <strong>DE</strong> GESTION D’ÉNERGIEUn convertisseur à découpage peut être abaisseur, élévateur ou réversible en tension [16]. Dansnotre cas, il est évident que c’est l’élévateur qui serait le plus approprié vu que le but est d’augmenterla tension fournie par le microgénérateur piézoélectrique. Cependant, nous allons nous intéresserau dévolteur dit aussi abaisseur de tension. En effet, le but ici est de valider la méthode de modélisationlaquelle peut être ensuite adaptée à d’autres structures. De plus, nous disposons des mesuresexpérimentales correspondantes à la validation du circuit de récupération d’énergie, faites dans lecadre de la thèse de Y.Ammar [14].Figure 5.14 – Principe de fonctionnement d’un dévolteur [16]Considérons alors le schéma de principe de la figure 5.14. Il comporte un interrupteur T commandéà l’amorçage et au blocage (transistor MOS) et un interrupteur D à blocage et amorçagespontanés (diode).Pendant le temps t f , temps de conduction de T, la source E alimente l’inductance L et la charge(figure 5.14-b). La tension aux bornes de la bobine est alors égale à V L = (V e − V s )/L. Dans cetétat, le courant est emmagasiné dans la bobine avec un taux d’accroissement ∆I/∆T = V e −V s /L.Notons que C doit être choisie suffisamment grande pour que V c ne change pas durant un cycle del’interrupteur.Au bout du temps t f , on bloque T. Comme le champ magnétique dans la bobine diminue, lecourant à ses bornes décroit avec un taux de décroissement ∆I/∆T = (V c +V d )/L. La diode D estpassante, donnant un chemin au courant I pour traverser la bobine (figure 5.14-c). Elle est appeléediode de roue libre.Notons à ce stade que la fréquence du PWM qui commande le transistor est généralement choisieassez élevée pour pouvoir utiliser des bobines et des capacités de faibles valeurs et pour que le délaientre l’entrée et la sortie ne soit pas grand. Cette fréquence élevée impose un pas de simulationfaible et ralentit la totalité de la simulation.Il existe deux modes de fonctionnement d’un abaisseur de tension : le mode continu et le modediscontinu. Le mode discontinu correspond à l’annulation du courant dans l’inductance pendant unepériode de fonctionnement. Cette situation se produit lorsque le courant moyen absorbé par la chargeest inférieur à la moitié de l’ondulation du courant dans l’inductance. Le mode de fonctionnementcontinu a comme caractéristiques un courant moins ondulé en sortie, des contraintes moins fortes surles dispositifs, mais un temps de réaction plus long que le mode discontinu [18]. Pour une étude pluscomplète sur ce type de convertisseurs sur leurs méthodes de dimensionnement (choix des valeursde L et de C) selon que le mode est continu ou discontinu, le lecteur est prié de se référer à l’étudedétaillée faite dans la référence [14].D’un autre côté, nous avons retenu de l’étude du convertisseur AC-DC (voir section 5.2.1),l’expression de la puissance de sortie moyenne de l’élément piézoélectrique donnée par l’équation5.6. Cette puissance est donc maximale pour V rect vérifiant la relation 5.9.126V rect = I piezo2ω C piezo(5.9)


CHAPITRE 5. SYSTÈMES <strong>DE</strong> GESTION D’ÉNERGIEsoit donc V rect égale à la moitié de le tension à vide du générateur piézoélectrique.Afin de satisfaire cette dernière condition, le convertisseur DC-DC sera utilisé pour effectuer uneadaptation d’impédance. En d’autres termes, l’impédance d’entrée Z e du convertisseur sera choisiede telle sorte que la condition 5.9 soit vérifiée.Le transistor permet de "hacher" le courant d’entrée avec un rapport cyclique. C’est le choix dece rapport cyclique noté α précédemment défini, qui déterminera la valeur de l’impédance d’entréedu convertisseur DC-DC et donc la valeur de V rect .Ainsi, si nous assimilions le transistor et la diode à des interrupteurs, nous aurons la relationsuivante entre la charge d’entrée et la charge de sortie donnée par 5.10.Z e ≃voutααvoutR load≃ R loadα 2 (5.10)D’où l’importance du rapport cyclique dans le fonctionnement du convertisseur DC-DC : il nouspermet d’accommoder l’impédance d’entrée. D’ailleurs, il existe une valeur optimale de ce rapportcyclique qui permet de maximiser la puissance transférée à la résistance de charge. L’adaptation decette valeur par contrôle numérique fera l’objet du paragraphe 5.3.3.De même que pour la structure AC-DC, nous avons besoin d’un modèle de transistor afin decompléter la structure du convertisseur DC-DC. La section suivante sera dédiée à cet effet.5.3.2.1 Modèles VHDL-AMS d’un transistor MOSLe simulateur SPICE utilise plusieurs modèles du transistor MOS : level1, level2, level3, BSIM1,BSIM2, BSIM3, BSIM4. Le choix du modèle dépend de l’application : technologie, type de signaux(analogique/numérique). Il dépend aussi des préférences de l’utilisateur : modèle simplifié mais avecdes simulations rapides ou bien modèle raffiné mais avec des simulations plus lentes. Seulementdans certaines applications, le choix d’un modèle trop simplifié peut donner tout simplement desrésultats erronés : par exemple, les niveaux 1 et 2 suffisants pour des modèles discrets ne sont pasadaptés aux transistors sub-microniques car ils négligent des effets importants :• le courant du régime faible inversion,• les effets canal court et canal étroit,• la variation de la mobilité des porteurs de charge dans le canal• et l’approximation des modèles de la modulation de longueur du canal.Nous avons ensuite examiné la possibilité d’utiliser des modèles de transistor en VHDL-AMS.Pour ceci, nous avons commencé par le niveau le plus simple (niveau 1). Ce niveau se base surun circuit électrique équivalent illustré dans la figure 5.15. Les résistances R d , R g , R b et R s représententles pertes ohmiques. Les capacités CGS, CGD, CBD et CBS l’effet capacitif qui existerespectivement entre la grille et la source, la grille et le drain, le substrat et le drain et le substratet la source. Les courants des diodes IBD et IBS représentent l’effet du substrat.127


CHAPITRE 5. SYSTÈMES <strong>DE</strong> GESTION D’ÉNERGIEFigure 5.15 – Modèle équivalent du transistor MOS niveau 1Comme nous l’avons expliqué précédemment, la modélisation d’un circuit équivalent peut se faired’une manière structurelle ou comportementale. L’écriture de ce modèle (aussi bien analytique questructurel) est intuitive vu les facilités offertes par le langage pour l’écriture d’un modèle conservatif,contrairement au modèle compliqué écrit en MATLAB/SIMULINK fait dans le cadre d’une thèseultérieure au sein de l’équipe. En effet, A.Zenati [18] explique dans son mémoire que outre la complexitédu modèle (voir annexe C), il a fallu contourner les problèmes du solveur comme les bouclesalgébriques pour améliorer les temps de simulations. Cette complexité alourdit non seulement lestemps de simulations mais aussi le temps d’écriture du modèle et donc d’une façon plus générale,le temps nécessaire pour mettre le produit sur le marché (time-to-market).En outre, l’ implémentation en VHDL-AMS de transistors MOS (niveau 1 et niveau 3) a longtempsfait l’objet de recherches [100], [101], [97]. Nous avons examiné ces différents modèles et choisile plus complet et surtout le plus générique qui est le modèle niveau 1 proposé par [97].L’interface générique du modèle est la suivante :1 entity MOSFET is2 generic (3 MDATA : MOSFET_DATA ; −− model data4 L : REAL := SPICE_defaultMosL ; −− channel width5 −− [m] , MIN: 0.06 W : REAL := SPICE_defaultMosW ; −− channel length7 −− [m] , MIN: 0.08 AD : REAL := SPICE_defaultMosAD; −− area of drain diffusion9 −− [m∗∗2] , MIN: 0.010 AS : REAL := SPICE_defaultMosAS; −− area of source drain11 −−diffusion12 −−[m∗∗2] , MIN: 0.013 PD : REAL := 0 .0; −− perimeter of drain junction14 −−[m] , MIN: 0.015 PS : REAL := 0 .0; −− perimeter of source junction16 −−[m] , MIN: 0.017 NRD : REAL := 1 .0; −− equivalent number of squares18 −−of drain diffusion , MIN: 0.019 NRS : REAL := 1 .0; −− equivalent number of squares20 −−of source diffusion , MIN: 0.021 START : START_TYPE := UN<strong>DE</strong>F; −− starting condidion22 −−(UN<strong>DE</strong>F or IC_OFF)23 IC_VDS : REAL := REAL’LOW; −− i n i t i a l condition for VDS24 −−[V]128


CHAPITRE 5. SYSTÈMES <strong>DE</strong> GESTION D’ÉNERGIE25 IC_VGS : REAL := REAL’LOW; −− i n i t i a l condition for VGS26 −−[V]27 IC_VBS : REAL := REAL’LOW; −− i n i t i a l condition for VBS28 −−[V]29 TEMP : REAL := SPICE_TEMPERATURE −− instance temperature30 −−[°K] , MIN: 0.0 ) ;avec MOSFET_DATA un vecteur de réels défini par la ligne (1) et initialisé par la fonctionSET_MOSFET_DATA aux valeurs par défaut comme reporté à l’annexe C. Nous utiliserons cemodèle dans la validation de l’abaisseur de tension de la section suivante.5.3.2.2 Modèle VHDL-AMS de l’abaisseur de tensionUne fois les modèles "SPICE-like" des transistors et des diodes élaborés, nous sommes passés àla modélisation structurelle de l’ensemble du circuit de récupération d’énergie.Ce modèle sera validé par simulations globales avec la batterie, le microgénérateur et le circuitde rectification. Dans ce chapitre, nous testons ce bloc uniquement avec une charge résistive afin devérifier sa précision et l’impact de l’utilisation des modèles "SPICE-like" sur le temps de simulations.Le transistor MOS est contrôlé par un signal numérique appliqué sur sa grille. Ce signal de contrôleprovient d’un PWM.Les paramètres suivants ont été utilisés :• Un transistor de puissance IRF150,• une diode D1N4002,• une tension d’entrée continue de valeur 1V,• une inductance L de valeur 22mH,• une capacité C de valeur 10mF,• un rapport cyclique fixe α = 20%. Ce rapport cyclique contrôle la largeur des créneaux de latension à découpage,• et une charge de faible valeur (pour éviter les longues simulations) de 10ΩAvec les modèles "SPICE-like" de la diode et du transistor décrits précédemment, nous avonsobtenu une précision satisfaisante : la figure 5.16 illustre la courbe du courant dans l’inductance.Les paramètres des composants non linéaires sont ceux utilisés dans le modèle SPICE.Il apparaît une oscillation sur la tension de drain V d (5.16-b), au moment où le courant I L (5.16-c) s’annule. Ceci s’explique par la présence des capacités parasites des différents composants nonlinéaires du montage (inductance, transistor et diode). En effet, à l’instant où le transistor MOS estbloqué (cad où Vg = 0 (5.16-a)), le courant dans la bobine s’annule et par suite la diode se bloque.D’un point de vue dynamique, le comportement du montage à partir de cet instant correspond doncsensiblement à la relaxation du circuit LC T avec C T = C ds + C gd + Cd et où C ds est la capacitéde la diode polarisée en inverse, C gd la capacité grille-drain du transistor MOS et C ds celle dedrain-source. En l’absence de résistance d’amortissement, la fréquence des oscillations est voisinede 1/2 π √ LC T . Etant donné que nous avons utilisé des modèles "SPICE-like" des diodes et destransistors, nous retrouvons cet effet négligé par les modèles idéaux des interrupteurs.De même, nous retrouvons cette précision sur la figure 5.17-b où le découpage imposé par lePWM est visible sur le zoom de la forme d’onde du courant de la figure 5.17-a.Nous avons démontré la précision des simulations et la rapidité de celles-ci : 5 milli-secondesde simulation physique effectuées en 4 secondes avec un faible pas de simulation maximum fixé à129


CHAPITRE 5. SYSTÈMES <strong>DE</strong> GESTION D’ÉNERGIE5.00Tension de grille du transistor4.00Courant(A)3.002.001.000.000.00 500.00u 1.00m 1.50m 2.00m 2.50m 3.00m 3.50m 4.00mTemps [s](a)1.20Tension de drain du transistor1.00800.00m600.00mCourant(A)400.00m200.00m0.00-200.00m-400.00m-600.00m0.00 500.00u 1.00m 1.50m 2.00m 2.50m 3.00m 3.50m 4.00mTemps [s](b)10.00mCourant dans la bobine9.00m8.00m7.00m6.00mCourant(A)5.00m4.00m3.00m2.00m1.00m0.00-1.00m0.00 500.00u 1.00m 1.50m 2.00m 2.50m 3.00m 3.50m 4.00mTemps [s](c)Figure 5.16 – Résultats de simulations du convertisseur DC-DC :(a) tension de grille, (b) tensionde drain du transistor et (c) courant dans l’inductance en fonction du temps1 us. Pour simuler 500 ms physiques, nous avons enregistrés 16 minutes et 12 secondes de tempsde simulations avec le même pas.Dans le chapitre 6, nous effectuerons une simulation globale du circuit de récupération d’énergieen remplaçant la résistance de charge par le modèle de la batterie développé dans le chapitre 4.Notons aussi que pour cette première validation, le rapport cyclique a été pris fixe. Nous étudionsdans le paragraphe 5.3.3, la possibilité d’optimiser le courant dans l’élément de stockage d’énergie130


CHAPITRE 5. SYSTÈMES <strong>DE</strong> GESTION D’ÉNERGIEFigure 5.17 – Résultats de simulations du convertisseur DC-DC et un zoom temporel : courantdans la résistance de charge en fonction du tempsen contrôlant cette valeur.5.3.3 Choix du rapport cycliqueDans les travaux de Ottman [74], un circuit numérique a été proposé dans le but de contrôler etde maintenir le courant maximal dans la charge (la tension étant considérée constante à ses bornes).Pour ceci, un algorithme a été proposé. Cet algorithme adapte la valeur du rapport cyclique auxvariations du courant dans la charge. Il est ensuite implémenté dans un contrôleur qui, connecté aumodulateur des impulsions (PWM), impose la cadence de génération du signal de commande dutransistor MOS.L’algorithme proposé par Ottman est très simple : si on note α k le rapport cyclique, le choixde α k+1 se fait conformément à l’équation 5.11.α k+1 = α k +k∗signe( ∂I∂α ) (5.11)Une comparaison de deux valeurs successives du courant échantillonné est effectuée. Si le courantaugmente, la valeur du pas de changement k est aditionnée à l’ancienne valeur du rapport cycliqueα k , sinon elle lui est soustraite.Un variante de cet algorithme a été proposé dans notre équipe [14]. Dans cet algorithme le pasK est multiplié par 2 à chaque fois que la variation du rapport cyclique induit une augmentation ducourant de la batterie. La valeur optimale du rapport cyclique est alors atteinte plus rapidement.Les machine d’état régissant les deux algorithmes ainsi que leur implémentations VHDL ont étéproposé au cours des travaux de Y.Ammar [14] et repris par [18]. C’est pour cette raison que nousne détaillerons pas plus l’implémentation de cet algorithme. Le lecteur intéressé à cette partie estprié de se référer à l’une de ces références.De plus, le même auteur qui a proposé cet algorithme adaptatif en 2002 [74], a conclu dansune publication ultérieure (en 2003) [102], que la valeur du rapport cyclique optimal peut êtreobtenu analytiquement pour le mode de conversion discontinu (équation 5.12). En suivant le même131


CHAPITRE 5. SYSTÈMES <strong>DE</strong> GESTION D’ÉNERGIEraisonnement de la publication, une formule analogue peut être déduite pour le mode continuconformément à l’équation 5.13.α optd =√4V rect ω LC p f sπ (V rect −V bat )(5.12)√4V 2 rect ω LC p f sα optc =π V bat (V rect −V bat )(5.13)Bien que la méthode de gestion d’énergie se basant sur le redresseur et le convertisseur à découpagesoit simple, elle présente quelques limites que nous citons ci-dessous :• Ce raisonnement se concentre surtout sur la maximisation de la puissance d’entrée et non dela puissance de sortie : nous cherchons le meilleur rapport cyclique qui donne la meilleureimpédance d’entrée (voir équation 5.10),• toutes les équations reposent sur des modèles idéaux : les pertes ne sont pas considérées,• et enfin la tension est imposée à la batterie qui est chargée à tension constante donc maximiserla puissance revient en utilisant ce circuit à maximiser le courant. Ceci n’est pas possiblepour des excitations faibles où la batterie doit être chargée à courant constant. D’ailleurs dansla publication d’Ottman [102], un autre circuit est proposé pour les excitations faibles. Cecircuit est une pompe de charge. Ce type de circuits sera étudié au cours du paragraphe 5.3.4.Dans ce qui suit, nous étudions d’autres structures de convertisseurs qui permettront la gestiond’énergie à partir d’une entrée en tension faible.5.3.4 Pompes de charge (convertisseurs à stockage capacitif)Les pompes de charge sont des circuits qui génèrent une tension plus grande que la tensiond’entrée. Elles sont donc utilisées pour assurer un grand gain en tension (dans les cas où la sourcede tension est faible : ce qui est typiquement notre cas).Pour mieux comprendre le fonctionnement d’un tel circuit, considérons le cas simple d’un doubleurde tension illustré à la figure 5.18. Ce principe de fonctionnement, pouvant être étendu à ncapacités, repose sur l’utilisation d’interrupteurs.132


CHAPITRE 5. SYSTÈMES <strong>DE</strong> GESTION D’ÉNERGIEFigure 5.18 – Représentation d’un doubleur de tensionSupposons dans un premier temps l’absence de la charge R L . Pendant la phase Φ, nous avonsS 1 et S 3 fermés alors que S 2 et S 4 sont ouverts.La tension aux bornes de la capacité C est V C = V DD . Au début de φ, la tension aux bornesde V C est donc égale à V DD . En appliquant le principe de conservation de charge stipulant queq(φ) + = q(φ) − , nous pouvons déduire la tension de sortie V out par 5.14.C (V out − V DD ) + C out V out = C V DDV out = 2 C V DDC +C out(5.14)Ce résultat suppose l’absence de la charge. Pour accommoder la charge R L , une capacité C out estajoutée. Cette technique de multiplication de tension est très vieille, elle a été proposée par Cockcroftet Walton [103] en 1935 pour produire de hautes tensions à partir d’une tension alternative. Unschéma de cette structure où les interrupteurs ont été remplacés par des diodes est illustré sur lafigure 5.19-(a), dite aussi de Villard.133


CHAPITRE 5. SYSTÈMES <strong>DE</strong> GESTION D’ÉNERGIEFigure 5.19 – Représentation d’un multiplieur de tension de VillardSur les schémas 5.19-(b) et 5.19-(c), seules les diodes passantes sont représentées afin de mieuxanalyser le fonctionnement de ce circuit.Pendant l’alternance négative, les capacités de la colonne de gauche (C 4 , C 5 etC 6 ) se chargent àpartir des nœuds intermédiaires de la colonne de droite (Gnd,UetT). Dans la phase de l’alternancepositive, ce sont les capacités de la colonne de droite (C 1 , C 2 et C 3 ) qui se chargent a partir desnœuds intermédiaires de la colonne de gauche (A, B et C).Pour une entrée V e = sin(ω t) , les nœuds A, B et C voient leurs potentiels varier de 2 V e . Dansle cas général, la tension de sortie maximale de cette pompe est donc de 2nV e si n est son nombred’étages (ici n = 3).Ce circuit bien que révolutionnaire à l’époque, a vite trouvé ses limites avec l’évolution exponentielledes circuits intégrés. Ce fut Dickson le premier a avoir souligné ces limites et proposé unesolution [104]. Ce dernier a en effet principalement identifié les deux limitations suivantes :• L’impédance de sortie croit rapidement avec le nombre des étages.• Le circuit est efficace seulement quand les capacités de couplage sont plus grandes que lescapacités de connexion à chaque nœud du circuit (négligés dans les schémas). Ce problème nese posant pas dans le cas des composants discrets, est manifeste pour les circuits intégrés. Deplus, les capacités présentent toutes, sur l’une de leurs électrodes, une forte capacité parasitepar rapport au substrat. Sans oublier que le nombre des étages est limité par les chutes detension dans la chaîne de diodes.Pour palier ces problèmes, Dickson a proposé le circuit de la figure 5.20. Ce circuit, similaire àcelui de Cockcroft-Walton, peut être fonctionnellement vu comme étant un circuit équivalent à cedernier [104].134


CHAPITRE 5. SYSTÈMES <strong>DE</strong> GESTION D’ÉNERGIEFigure 5.20 – Représentation d’un multiplieur de tension de DicksonEn effet, on y retrouve le même principe de "pompage" et de "transfert" de la charge. Cependant,les nœuds de la chaîne des diodes ne sont plus reliés au signal AC d’entrée à travers des capacitésen série mais en parallèle. Cette configuration présente deux avantages. Le premier est celui d’avoirune multiplication efficace avec des valeurs relativement élevées de capacités parasites. Le secondavantage est que le courant est indépendant du nombre d’étages du multiplieur. En revanche, lescapacités, du fait qu’elles sont connectées en parallèle, doivent supporter la tension continue totaledéveloppé tout au long de la chaîne.Plus tard, [17] ont étudié le comportement dynamique d’une pompe de charge c’est à dire durantle pompage et non seulement quand la valeur constante de la sortie est atteinte. Grâce à cetteanalyse, les auteurs ont pu dégager des formules analytiques pour le calcul du temps de montée(soit le temps nécessaire pour atteindre une tension de sortie constante) et le courant consomméavec un nombre arbitraire d’étages. Enfin, l’efficacité énergétique de ce circuit a pu donc être estiméeanalytiquement.Cette conclusion est toutefois à relativiser. En effet, une comparaison entre les deux topologiesa été effectué par [105]. L’auteur a conclu que pour des tensions faibles, les deux circuits offrent desperformances similaires.Pour décider quelle structure nous allons utiliser dans les simulations globales, une comparaisonentre les deux pompes de charge a été faite. Dans cette comparaison, nous utiliserons une faibletension de seuil compatible avec la faible tension d’entrée délivrée par le générateur piézoélectrique.Pour ceci, nous avons développé un modèle VHDL-AMS d’une pompe de charge se basant surun circuit équivalent de la structure de Dickson. Ensuite, un modèle structurel SPICE a été misen œuvre. Enfin, une comparaison entre les deux modèles a été faite afin de déterminer le degré deprécision et le champ de validité du modèle dit idéal. Ces différents points seront détaillés dans lasection suivante.5.3.4.1 Modèle idéal de pompe de chargeDans un premier temps, nous avons écrit un modèle idéal de pompe de charge se basant sur lecircuit équivalent de la figure 5.21 proposé par [17].135


CHAPITRE 5. SYSTÈMES <strong>DE</strong> GESTION D’ÉNERGIEFigure 5.21 – Circuit équivalent d’une pompe de charge et valeurs des différents composants [17]Notons qu’une pompe de charge de Dickson à N étages comporte N +1 diodes et capacités. Lemodèle VHDL-AMS est décrit ci-après.12 library ieee , work ;3 use ieee . energy_systems . all ;4 use ieee . electrical_systems . all ;5 use ieee . mechanical_systems . all ;6 use ieee . fundamental_constants . all ;7 use ieee . std_logic_1164 . all ;8 use ieee . math_real . all ;910 entity charge_pump is11 generic (12 C: real := 40.0 e−3;13 Cp : real := 0 .0;14 N: integer := 6;15 Vseuil : real := 0.06;16 AMPL : real := 2.0;17 f : real := 100.018 ) ;19 port (terminal in_cltr , in2 , out1 : e l e c t r i c a l ) ;20 end entity charge_pump ;2122 architecture struct of charge_pump is2324FUNCTION calcul_c_pump (N : integer ; C,Cp : real ) RETURN real is25 variable result : real :=0.0;26 BEGIN2728 if (N mod 2 = 0) then r e sult := (4.0∗ real (N) ∗∗2+3.0∗ real (N) +2.0) ∗(C+Cp) /(12.0∗(real (N) +1.0) ) ;29 else r e su lt := (4.0∗ real (N)∗∗2− real (N) −3.0) ∗(C+Cp) /(12.0∗( real (N) +1.0) ) ;30 end if ;3132 return r e sult ;33END calcul_c_pump ;343536 Constant Rpump : real := real (N) /((C+Cp)∗ f ) ;37 Constant Cpump : real :=calcul_c_pump (N,C,Cp) ;38 terminal in1 : e l e c t r i c a l ;3940 quantity Vmx across Imx through in1 to in2 ;41 quantity Vcltr across I c l t r through in_cltr to in2 ;136


CHAPITRE 5. SYSTÈMES <strong>DE</strong> GESTION D’ÉNERGIE42 quantity Vc across Ic through out1 to in2 ;43 quantity Vr across Ir through in1 to out1 ;4445BEGIN4647 break Vc => 0 .0;4849 Vmx == ( real (N) +1.0)∗ ((AMPL ∗Cpump/(Cpump+Cp) )−Vseuil ) ;50 Imx == −Ir ;51 Ic == Cpump ∗Vc’ dot ;52 Vr == Rpump∗ Ir ;5354 end architecture struct ;Ce modèle se base sur le schéma équivalent du modèle dynamique de la charge 5.21. Ici nousavons recours à une source de tension V mx contrôlée par la tension d’entrée V cltr selon l’équationV mx = (N + 1)(V cltr −Vseuil) [17] où V seuil représente la tension seuil des diodes utilisées. Bienque nous l’ayons nommé modèle "idéal" par opposition aux modèles SPICE, il tient compte descapacités parasites.D’autre part, une fonction de calcul de la capacité équivalente a été définie selon la parité dunombre d’étages (lignes 24-32) du code source.Pour valider ce modèle, nous l’avons testé dans un premier temps dans les conditions suivantes :une entrée sinosoïdale d’amplitude 2.2V et de fréquence 100Hz et une capacité de sortie de valeur1µF. La pompe de charge testée comporte 2 étages (rappelons que siN = 2 alors le circuit comprend3 diodes et 3 capacités), les capacités du circuit de pompe de charge sont toutes égales et de valeur4 µF. La tension seuil des diodes est fixée à la faible valeur de 200 mV , ce qui correspond à latension seuil obtenue par une diode à faible tension de seuil (DTMOS).Notons que la valeur des capacités C du circuit a été intentionnellement choisie grande parrapport aux valeurs utilisées en microélectronique. En effet, Le but étant de valider la fonctionnalitédu modèle, nous avons pris de telles valeurs afin d’avoir une tension de valeur continue finale plusfaible donc plus vite atteinte soit un temps de montée plus bref. Les résultats de simulations sontreportés dans la figure 5.22.137


CHAPITRE 5. SYSTÈMES <strong>DE</strong> GESTION D’ÉNERGIE(a)(b)Figure 5.22 – Résultats de simulations du modèle idéal de la pompe de charge à 2 étages : (a)évolution de la tension de sortie sur une capacité de 4µF sous une excitation sinosoïdale d’amplitude2.2V et de fréquence 100Hz et (b) zoom temporel sur (a)Les résultats de simulations sont bien en accord avec la théorie. En effet, la tension finale estde 6 V quelque soit la valeur de la capacité de sortie, seul le temps de montée change. Cettevaleur correspond à (N + 1) (V in − V seuil ) avec V in = 2.2 V , N = 2 et V seuil = 0.2 V . Nousconstatons aussi que la valeur de la capacité dans le circuit de pompe de charge influence largementle temps de montée. Cette influence est d’autant plus manifeste que le nombre d’étages est grand d’oùl’intérêt de choisir des capacités les plus grandes possibles. Cependant, les valeurs de la capacité sontlimitées par les contraintes technologiques : par exemple en utilisant le Design Kit HCMOS9GP deSTmicroelectronics (130nm), le maximum de surface admis pour faire une capacité est de 210 4 /m 2 ,ce qui est correspond à 40,276pF [14].Dans la section suivante, nous allons comparer ce modèle fonctionnel haut niveau à un modèlestructurel de Dickson et un modèle structurel de Villard. Cette comparaison aura pour but dedégager le champ de validité du modèle idéal.5.3.4.2 Comparaison entre la pompe de charge de Dickson et celle de Villard pourdes tensions faiblesConsidérons deux pompes de charges à 7 étages chacune (6 diodes, 6 capacités de valeur 1nFchacune et une capacité de sortie de la même valeur). Ces simulations ont deux buts :• comparer les performances des deux multiplieurs de tension en utilisant les mêmes composants,• et valider la précision du modèle comportemental.Pour les diodes, nous avons utilisé des DTMOS (des PMOS reliés en diode avec les paramètressuivants : W = 5 um et L = 3 um) et des capacités de valeur 1 nF. Nous avons considéré enentrée une tension sinosoïdale d’une faible amplitude 100mV et de fréquence 1kHz comparable àla tension fournie par le microgénérateur piézoélectrique étudié dans le chapitre 3.La figure 5.23 montre la tension de sortie sur une capacité de 1nF. Nous voyons sur la figure 5.23que comme prévu, la tension de sortie est plus vite atteinte avec la sturcture de Disckson qu’aveccelle de Villard. Par contre, la différence entre les deux courbes décroit avec la tension d’entrée138


CHAPITRE 5. SYSTÈMES <strong>DE</strong> GESTION D’ÉNERGIE(courbes de la figure 5.23-a) jusqu’à ce qu’elle s’inverse pour les petites tensions (courbes de lafigure 5.23-b) où l’état stable est plus vite atteint avec la structure de Villard.Notons que les temps de simulation ici sont relativement longs 28 minutes pour simuler 30secondes physiques.(a)(b)Figure 5.23 – Comparaison entre la pompe de charge de Dickson et celle de Villard (7 étages) avecdifférentes tensions d’entrée (a) 1V , 2V , 5V et (b) 100mV : tension de sortie sur une capacité de1nFCependant, nous avons négligé jusqu’ici les capacités parasites très importantes pour les systèmessub-microniques. En effet, dans la technologie HCMOSGP, la capacité est limitée à 40pF commenous l’avons déjà mentionné, valeur comparable à celles des capacités parasites de 1pF. Nous avonsalors effectué une simulation avec ces valeurs réalistes pour chacune de deux structures. Le résultatest reporté sur la figure 5.24.Il est clair que la structure de Dickson est plus avantageuse, non seulement parce que le tempsde montée est plus court (rapidité) mais aussi parce que le tension finale est nettement supérieureà celle de la structure Villard. Notons aussi que pour les deux structures le gain change beaucoupavec l’amplitude de la tension d’entrée.Concernant le modèle comportemental VHDL-AMS, bien qu’il sous-estime le temps de montée, ilprésente le double avantage d’offrir une très bonne approximation de la valeur de la tension finale etun temps de simulation plus court 8 minutes pour 30 secondes de simulations physiques sachant quela valeur finale est atteinte au bout de moins d’une seconde physique soit 32 secondes de simulations.5.4 ConclusionNous avons développé tout au long de ce chapitre plusieurs modèles en VHDL-AMS que nousavons comparés avec des simulations obtenues avec des modèles écrits en SPICE et réliés à latechnologie. Ces modèles correspondent à différentes structures (AC-DC, DC-DC à stockage inductifet capacitif). Nous utiliserons les structures à stockage inductif pour la validation du prototypediscret. En revanche, pour la validation du microsystème, la structure capacitive sera privilégiée.139


CHAPITRE 5. SYSTÈMES <strong>DE</strong> GESTION D’ÉNERGIEFigure 5.24 – Comparaison entre la pompe de charge de Dickson et celle de Villard (6 étages) avecune tension d’entrée 100mV et des capacités de 40pF : tension de sortie sur une capacité de 1nFEn effet, l’intégration d’inductances est beaucoup moins efficace que l’intégration de capacités. Eneffet, les inductances intégrées sont des inductances spirales sur un, deux ou trois niveaux quiont le triple inconvénient d’être de très faible valeur, de rayonner une grande part de leur énergieet d’avoir un cœfficient de qualité en général médiocre [106]. En revanche, les technologies de lamicroélectronique permettent de réaliser d’excellentes capacités. Ainsi, les convertisseurs intégrés,dans les mémoires flash par exemple, sont des convertisseurs à pompe de charge.Par ailleurs, deux points importants méritent dêtre soulignés :• La comparaison de la structure de Dickson et de celle de Villard avec des modèles très précis(BSIM3 de HCMOS9GP) ont montré que pour des tensions d’entrée faibles, la structure deVillard exhibe de meilleurs performances en termes de temps de montée. Par contre, en tenantcompte des capacités parasites, la structure de Dickson est plus avantageuse car elle est plusrapide et permet d’atteindre une tension finale nettement supérieure à celle de la structureVillard. Cette comparaison a montré une fois encore l’importance de l’utilisationde modèles précis et de tenir compte des capacités parasites dans des applicationsà puissance très faible (low-power).• D’une manière générale, si des modèles précis sont requis nous recommandons d’utiliserdirectement des modèles SPICE au lieu d’essayer de les égaler en VHDL-AMS,procédure longue, complexe et moins efficace. Ceci suppose évidement que l’environnement desimulation supporte les deux langages et respecte une méthode rapide de co-simulation (pourles simulations globales).Ces modèles seront utilisés dans les simulations globales du nœud du chapitre 6 dans le butcharger de façon efficace une batterie Li-ion.Par ailleurs la charge d’une batterie Li-ion respecte un algorithme particulier de charge (courantconstant/ tension constante). Un tel algorithme sera intégré dans le modèle global du chapitresuivant et validé par simulations globales.140


Chapitre 6Modèle global de la chainerécupération/stockage d’énergie dunœud de réseau de capteur sans filSommaire6.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1426.2 Choix entre les simulations VHDL-AMS et la co-simulation avec SPICE1426.3 Simulation globale en VHDL-AMS du système de récupération et destockage d’énergie avec des composants discrets . . . . . . . . . . . . . . 1436.4 Co-simulations VHDL-AMS et SPICE du microsystème . . . . . . . . 1466.5 Etude énergétique avec et sans système de récupération d’énergie . . . 1486.5.1 Algorithme de charge de batteries Li-Ion (courant constant puis tensionconstante) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1486.5.2 Scénarios énergétiques de fonctionnement d’un nœud de WSN . . . . . . . . 1506.6 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152141


CHAPITRE 6. MODÈLE GLOBAL <strong>DE</strong> LA CHAINE RÉCUPÉRATION/STOCKAGE D’ÉNERGIE DUNŒUD <strong>DE</strong> RÉSEAU <strong>DE</strong> CAPTEUR SANS FIL6.1 IntroductionLe but de ce chapitre est de valider l’ensemble de la chaine de récupération/stockage d’énergiedu nœud conformément à l’architecture annoncée au premier chapitre. Dans les simulations globalesque nous détaillons au cours de ce chapitre, nous avons privilégié une stratégie se basant sur plusieursniveaux d’abstractions : autrement dit, nous présentons des modèles globaux avec des descriptionsplus au moins détaillées de sous-systèmes.Ainsi, dans un premier temps, nous étudions la simulation globale du système formé de composantsdiscrets. Ce modèle global écrit dans son intégralité en VHDL-AMS, comprend le modèledétaillé de la batterie étudié dans le chapitre 4 et les modèles "SPICE-like" des transistors et desdiodes du circuit de conditionnement d’énergie. Seul un modèle piézoélectrique moins précis estutilisé (modèle faible couplage).Ensuite, nous validons le modèle global du microsystème formé du microgénérateur piézoélectrique,du multiplieur de tension et d’une capacité en utilisant conjointement VHDL-AMS et SPICE.Enfin, nous présentons une étude énergétique de scénarios de consommation d’un nœud de réseauxde capteurs sans fils se basant sur un modèle comportemental de consommation d’énergie.Mais avant toute chose, nous allons essayer de faire lumière sur le problème que se pose aussibien les concepteurs que les architecte systèmes. Cette question concerne le choix entre la solutionde la simulation pure VHDL-AMS et celle de la co-simulation avec SPICE 1 . La première alternativeest-elle avantageuse par rapport à la deuxième? Quand privilégier une méthode sur l’autre? Existeilune approche générique qui s’applique à tous les modèles globaux ? Nous essayons d’apporter deséléments de réponses à ces questions dans le paragraphe suivant.6.2 Choix entre les simulations VHDL-AMS et la co-simulationavec SPICENous avons montré tout au long des chapitres précédents qu’il est nécessaire de proposer uneméthodologie de modélisation réutilisable. Une telle méthodologie est basée sur une librairie hiérarchiquede modèles génériques pour les différents composants appartenant à plusieurs domainesde la physique. Deux verrous sont alors adressés : le choix du langage et celui de l’outil de CAO.Cette problématique a été traitée en détails lors du premier chapitre : nous avons sélectionné l’outilSMASH et les langages VHDL-AMS / SPICE. La question qui est restée ouverte après ce chapitreest : quand faire des simulations globales VHDL-AMS et quand lui privilégier SPICE?Ce choix doit permettre de trouver un compromis acceptable entre la précision et la durée desimulation. Ce critère est fortement influencé par le choix de l’outil de simulation. En effet, la manièreavec laquelle les algorithmes numériques de résolution sont implantés conditionne ce compromis. Deplus, la façon avec laquelle est effectuée la co-simulation est très importante. En particulier, elle estplus rapide si les deux types de simulations sont effectués avec le même noyau de simulation.C’est ce compromis qui doit guider le choix du concepteur. Dans notre cas d’étude, nous avonsmontré lors du chapitre précédent que l’utilisation de modèles SPICE précis est très intéressantedans la mesure où le fonctionnement du circuit que nous avons étudié est très sensible aux variationsliées à la fabrication. En effet, le principe du multiplieur de tension se base sur les diodes à faible1. d’autres co-simulations sont possibles avec VHDL-AMS notamment avec MATLAB/Simulink mais nous avonsécarté cette possibilité dès le premier chapitre142


CHAPITRE 6. MODÈLE GLOBAL <strong>DE</strong> LA CHAINE RÉCUPÉRATION/STOCKAGE D’ÉNERGIE DUNŒUD <strong>DE</strong> RÉSEAU <strong>DE</strong> CAPTEUR SANS FILtension de seuil compatibles avec la faible tension délivrée par le microgénérateur piézoélectrique.De plus, la tension de seuil des transistors est très sensible aux variations de fabrication liées à latechnologie. Ainsi, la considération de ces variations tôt dans le modèle de conception est importante.D’un autre côté, le nombre de transistors du multiplieur de tension est très faible (2 par étagesoit 12 pour 6 étages). C’est pourquoi le problème de complexité ne s’est pas posé. En revanche,pour un circuit avec un nombre très élevé de transistors, il est évident que les modèles VHDL-AMShauts niveaux indépendants de la technologie regagnent de l’intérêt.C’est pourquoi, dans la simulation globale du circuit discret, nous avons privilégié les modèlesen VHDL-AMS niveau 1, suffisants pour la simulation des transistors discrets. Par contre, pour lasimulation du microsystème avec le multiplieur de tension, nous avons opté pour la co-simulationVHDL-AMS/SPICE.6.3 Simulation globale en VHDL-AMS du système de récupérationet de stockage d’énergie avec des composants discretsPour cette validation, nous avons utilisé les modèles « SPICE-like » des transistors et des diodesélaborés dans le chapitre précédent pour établir un modèle structurel de l’ensemble du circuit derécupération d’énergie. Le circuit utilisé est celui de la figure 6.1.Figure 6.1 – Structure du circuit de récupération d’énergie avec un modèle faible couplage dugénérateur, un convertisseur AC-DC, un convertisseur DC-DC abaisseur et une résistance de chargede 100 ΩLes paramètres utilisés sont les suivants :• Le microgénérateur est modélisé par son circuit équivalent à couplage faible (voir section 3)avec correspondant à la capacité piézoélectrique de valeur 30 nF et une source de courantd’amplitude 356 µA et de fréquence fp = 100 Hz,143


CHAPITRE 6. MODÈLE GLOBAL <strong>DE</strong> LA CHAINE RÉCUPÉRATION/STOCKAGE D’ÉNERGIE DUNŒUD <strong>DE</strong> RÉSEAU <strong>DE</strong> CAPTEUR SANS FIL• Le convertisseur AC-DC est modélisé structurellement avec les diodes "SPICE-like" et unecapacité de sortie fixée à 10mF, valeur choisie assez grande pour pouvoir considérer la tensionaux bornes de cette capacité constante.• Le convertisseur DC/DC est modélisé structurellement. Nous avons gardé les mêmes valeursutilisées dans le vecteur de test du paragraphe 5.3.2.2 du chapitre 5 avec le changement suivant :le rapport cyclique α est fixé à sa valeur optimale calculée analytiquement selon la formule 6.2détaillée ci-après.• La charge est remplacée par le modèle équivalent de la batterie développé en fin du chapitre4 en série avec une résistance de valeur 100Ω pour la mesure de la puissance récupérée.Dans le chapitre 5, nous avons vu qu’en mode discontinu, le rapport cyclique est calculé selon laformule que nous reportons ici :α optd =√4V rect ω LC p f sπ (V rect −V bat )(6.1)En assumant que V rect ≫ V bat , nous pouvons simplifier l’expression 6.1. Nous obtenons alorsl’équation 6.2.1α optd ≃ √ 8LC p f p f s (6.2)Cette simplification permet d’éviter une boucle de rétro-action sur la tension de la batterie quialourdit la simulation. La valeur du rapport cyclique est ainsi calculée par un programme purementnumérique puis injectée dans le bloc PWM. La valeur de α dépend de :• la capacité piézoélectrique,• l’inductance du convertisseur DC-DC,• la fréquence du microgénérateur piézoélectrique notée f p et de celle du PWM notée f s ,• la tension aux bornes de la batterie,• l’amplitude de la source de courant utilisée pour modéliser le microgénérateur,• et le mode du convertisseur DC-DC : continu ou discontinu. Une variable booléenne appeléediscontinu a été définie à cet effet. Cette variable est vraie pour un mode discontinu et faussedans le cas contraire.Le code source calculant cette valeur est le suivant :2 library IEEE, WORK;3 use IEEE.ELECTRICAL_SYSTEMS. all ;4 use IEEE. math_real . all ;56 entity alpha_calculation is7 generic (8 cp : REAL := 0.184 e−06; −−capacite piezo9 L : REAL := 22.00 e−3;−−inductance de l etage DC−DC10 fp : REAL := 53.8 ; −−frequence du microgenerateur piezoelectrique11 PER : REAL := 10.0 e−3 ;−−periode du PWM12 discontinu : boolean := TRUE;−−variable booleene vrai si mode discontinu ,fausse sinon13 Vb : REAL := 4.1;−−tension de la batterie ( supposee constante )14 Ip : REAL := 0.0 −−amplitude de la source de courant piezo15 ) ;144


CHAPITRE 6. MODÈLE GLOBAL <strong>DE</strong> LA CHAINE RÉCUPÉRATION/STOCKAGE D’ÉNERGIE DUNŒUD <strong>DE</strong> RÉSEAU <strong>DE</strong> CAPTEUR SANS FIL1617 port (18 signal alpha : out real := REAL’HIGH −−alpha calculee en sortie19 ) ;2021 end entity alpha_calculation ;2223 architecture bhv of alpha_calculation is24 Constant Vrect : real := Ip /(2.0∗MATH_2_PI∗fp∗Cp) ;−−valeur optimale de Vrect25 signal Vrect_sig : real := Vrect ;2627 begin28 alpha


CHAPITRE 6. MODÈLE GLOBAL <strong>DE</strong> LA CHAINE RÉCUPÉRATION/STOCKAGE D’ÉNERGIE DUNŒUD <strong>DE</strong> RÉSEAU <strong>DE</strong> CAPTEUR SANS FILLe vecteur de test utilisé pour effectuer cette simulation est reporté dans l’annexe E. Ce vecteurde test démontre clairement l’intérêt d’utiliser des modèles génériques réutilisables où la seulemanipulation à faire pour tester un nouveau système est de changer les paramètres par défaut parceux de l’utilisateur.6.4 Co-simulations VHDL-AMS et SPICE du microsystèmeL’instruction X est utilisée indifféremment pour l’instantiation de sous circuits SPICE et desmodules VHDL-AMS.Prenons à titre d’exemple, la portion de code suivant extrait du manuel de référence de SMASH[48] :1 Entity E1 is2 Generic(Gen1 : real := 0 .0;3 Gen2 : real := 0.0) ;4 Port(Terminal T1 : t r an slational ;5 Terminal T2 : rotational ) ;6 End E1 ;7 Architecture A1 of E1 is8 Begin9 [ . . ]10 end A1;11 −− SPICE instantiation :12 X1 N1 N2 E1(A1) Gen1=1.0 Gen2=1.0L’architecture E1 de l’entité A1 est instanciée en SPICE par X1 avec la ligne 12 du code avecla redéfinition des paramètres Gen1 et Gen2.En appliquant cette méthode, nous avons effectué dans un premier temps, une simulation avecune connexion structurale entre le modèle du microgénérateur modélisé dans le chapitre 3 et lemultiplieur de tension étudié dans le chapitre 5.Nous avons cependant considéré des modèles simplifiés. En effet, le modèle du microgénérateurne considère ni les pertes structurelles ni les pertes diélectriques. De plus, le modèle du multiplieurde tension est une structure de Villard composée de modèles SPICE niveau 1 des transistors. Lerésultat de cette simulation sur une capacité de1µF avec deux accélérations d’amplitudes différenteset à la même fréquence 1380Hz, est illustré sur la figure 6.3.Il est à noter que bien que le modèle du microgénérateur utilisé (modèle structurel faible couplage)est moins détaillé que d’autres modèles développés dans le chapitre 3, celui-ci a été utilisé dans laplupart des travaux étudiant la modélisation des micro-générateurs piézoélectriques conjointementavec leurs circuits de conditionnement.Par ailleurs, nous soulignons que cette méthodologie est aussi extensible à Verilog-AMS (avectoutefois les inconvénients cités au premier chapitre). D’ailleurs, nous avons voulu au cours de cetravail valider le modèle VHDL-AMS du microgénérateur directement avec le modèle physique dumultiplieur de tension (validé et comparé avec le dessin de masques au cours d’une thèse antérieuredans l’équipe MNS [14]). Cette validation s’est avérée impossible sous l’outil Cadence à caused’incompatibilité entre VHDL-AMS et le design kit de la technologie utilisée. Nous avons alorstraduit notre modèle en VERILOG-AMS afin de mener à bien cette étude. Ce modèle est reportédans l’annexe F.146


CHAPITRE 6. MODÈLE GLOBAL <strong>DE</strong> LA CHAINE RÉCUPÉRATION/STOCKAGE D’ÉNERGIE DUNŒUD <strong>DE</strong> RÉSEAU <strong>DE</strong> CAPTEUR SANS FILFigure 6.3 – Résultats de simulations temporelles pour le circuit de récupération d’énergie avec unmicrogénérateur de fréquence de résonance 1380 Hz et à deux accélérations différentes(a)Le modèle le plus complet aurait compris le modèle du microgénérateur qui considère tous lestypes de pertes, le modèle du multiplieur de tension avec les modèles BSIM3 des transistors avecla technologie HCMOS9 et la micro-batterie étudiée dans le chapitre 4.Malheureusement, nous avons tenté cette simulation et nous avons rencontré des problèmes deconvergence que nous n’avons que partiellement étudiés faute de temps.La première cause de la non-convergence du modèle global avec tous les modèles au niveau leplus bas est la forte non-linéarité de pratiquement tous les composants : la batterie, les transistors etle microgénérateur. Ces non linéarités empêchent le solveur de trouver la solution DC indispensableà toute analyse fréquentielle ou temporelle. L’outil offre la possibilité de spécifier manuellement lasolution DC mais la formulation mathématique de cette solution pour le modèle global n’est pastriviale.La deuxième raison est l’incompatibilité entre les différents blocs. En effet, au cours du chapitreprécédent nous avons développé un modèle VHDL-AMS générique de batterie. Ce modèle a étéensuite instancié avec des paramètres extraits de plans d’expériences pour cette batterie. Bien que cemodèle soit transposable à une microbatterie, nous ne disposions pas des valeurs expérimentales quinous permettent d’extraire les paramètres nécessaires au fonctionnement du modèle. Ces paramètresdoivent être extraits à priori en suivant des plans d’expérience spécifiques (différents de ceux utiliséshabituellement par les électrochimistes).La troisième raison est que le multiplieur de tension doit être suivi d’un circuit de contrôled’énergie répondant aux algorithmes utilisés pour charger les batteries Li-Ion. Nous allons étudiercet algorithme afin d’en déduire les scénarios de décharge d’une telle batterie au sein d’un WSN enprésence ou en absence d’un récupérateur d’énergie.147


CHAPITRE 6. MODÈLE GLOBAL <strong>DE</strong> LA CHAINE RÉCUPÉRATION/STOCKAGE D’ÉNERGIE DUNŒUD <strong>DE</strong> RÉSEAU <strong>DE</strong> CAPTEUR SANS FIL6.5 Etude énergétique avec et sans système de récupération d’énergie6.5.1 Algorithme de charge de batteries Li-Ion (courant constant puis tensionconstante)Le chargeur de batterie est un élément clé dans la chaîne de conversion énergétique. Deux typesde contrôle peuvent être distingués : le contrôle de la conversion d’énergie (assuré par les circuitsde conversion étudiés précédemment) et le contrôle du processus de charge. Ce dernier est implantésous forme d’algorithme de charge. Cet algorithme permet de surveiller l’état de charge de la batterieet de contrôler le processus de conversion d’énergie afin de charger la batterie efficacement.Les algorithmes de charge dépendent de la technologie de la batterie considérée. Etant donnéque nous avons déjà fixé la technologie de la batterie, nous nous intéressons exclusivement auxalgorithmes de charge des batteries Li-Ion.Les batteries Li-Ion doivent être chargées selon un régime de courant constant puis tensionconstante pour la stabilisation. Cette méthode de charge est connue sous le régime (CC/CV ). Unschéma de principe est illustré sur la figure 6.4.Le constructeur spécifie les valeurs des courants I CC et la tension maximale V max . La charge àcourant constant s’effectue généralement entre 70% et 100% de la capacité nominale de la batterie(selon la densité de courant permise). La valeur de V max dépend du type de la batterie Li-ionutilisée : elle peut être soit 4.1V ±1% ou 4,2V ±1%. Les raisons pour cette spécification stricte sontexpliquées dans 4.4.2.1. La valeur du courant minimal I min est généralement choisie entre 0.05 et 0.1de la capacité nominale de la batterie. Successivement, la durée de la charge totale est généralementfixée autour de 2heures quand t max est utilisée pour déterminer la fin de charge. Comme montrésur la figure 6.4, la durée de charge quand I min est utilisée est inférieure à celle avec t max .Il est à noter que charger une batterie Li-Ion avec un profil au-dessus de 1 C ne réduit pasle temps de charge et doit être évité [107]. En effet, en chargeant la batterie avec des courantsforts, la tension aux bornes de sa résistance interne augmente. De plus, la tension aux bornes de labatterie croit plus rapidement à cause du phénomène de la surtension de concentration expliquéeau paragraphe 4.3.2 (les variations du potentiel d’électrode provoquées par celles des concentrationsdes espèces réactives). Ainsi, la phase de charge à courant constant diminue mais la durée complètede la charge n’est pas raccourcie car le pourcentage de temps de la phase à tension constante croîtproportionnellement.Dans la suite nous nous limiterons à la première phase de charge (c’est à dire courant constant)car nous partons du principe que de toutes façons le système de récupération d’énergie est incapablede complètement charger la batterie. C’est pourquoi la stabilisation de sa tension est inutile.Par ailleurs, nous soulignons le rôle important du contrôleur dans la charge de la batterie. Eneffet, ce dernier gère un compromis entre une consommation d’énergie aussi faible que possibled’un côté et la nécessité d’effectuer un certain nombre de tâches. Par exemple, les composants decommunication et le contrôleur doivent être éteints ou en veille le plus longtemps possible. Pour seréveiller, un microcontrôleur peut par exemple utiliser un retardateur programmé pour le réveilleraprès un certain temps. Alternativement, les capteurs peuvent être interrompus si un événementdonné se produit. Cet évènement peut être une valeur de température qui excède un certain seuilou un composant de communication qui détecte une transmission.148


CHAPITRE 6. MODÈLE GLOBAL <strong>DE</strong> LA CHAINE RÉCUPÉRATION/STOCKAGE D’ÉNERGIE DUNŒUD <strong>DE</strong> RÉSEAU <strong>DE</strong> CAPTEUR SANS FIL(a)(b)Figure 6.4 – Principe de charge d’une batterie Li-Ion selon un modèle CC − CV (a) schémastructurel et (b) Caractéristiques de la tension (V) et du courant (I) durant l’application d’un profilde charge de type CC −CV à une batterie Li-IonPour assurer ces fonctions d’alerte, les composants doivent être connectés de façon à permettreaux données et aux informations de contrôle d’être échangés efficacement à travers ces interconnexions.Un capteur peut par exemple, simplement rapporter une valeur analogique au microcontrôleurou être dotée d’une certaine intelligence lui permettant de traiter les données et réveillerle microcontrôleur principal si un événement est détecté (par exemple un dépassement de seuil detempérature). Il parait donc évident que le choix du contrôleur est crucial dans la gestion d’énergie.Une étude complète qui justifie le choix du microcontrôleur parmi d’autres contrôleurs(FPGA ouASIC) est reportée à l’annexe D.Dans ce qui suit, nous nous concentrerons uniquement sur les tâches principales dictées par lemicrocontrôleur sans étudier l’implentation numérique optimisée de ces tâches, étude ne figurantpas dans le cadre de nos travaux.149


CHAPITRE 6. MODÈLE GLOBAL <strong>DE</strong> LA CHAINE RÉCUPÉRATION/STOCKAGE D’ÉNERGIE DUNŒUD <strong>DE</strong> RÉSEAU <strong>DE</strong> CAPTEUR SANS FIL6.5.2 Scénarios énergétiques de fonctionnement d’un nœud de WSNNous proposons un vecteur de test générique applicable à tout scénario s’inspirant de la séquencestandard du fonctionnement d’un nœud de réseaux de capteurs sans fils. Cette séquence est lasuivante :1. Le microcontrôleur se réveille. Ce réveil peut s’effectuer soit avec un signal de compte à rebours,soit parce que le seuil bas préalablement défini du réservoir d’énergie est atteint (par exempleV bat = 3 V ,2. Le microcontrôleur réveille les capteurs (capteur d’accélération, température...),3. les capteurs font les mesures et envoient les données vers le microcontrôleur,4. les données sont traitées et compressées par le microcontrôleur,5. les données sont prêtes : Le microcontrôleur réveille la partie émission/réception,6. le microcontrôleur envoie les donnés en utilisant l’émetteur/récepteur,7. le microcontrôleur et l’émetteur/récepteur entrent en veille.Pour alimenter le nœud en énergie trois cas sont envisageables :• Cas sans système de récupération d’énergie : la batterie est la seule source d’énergie de toutle nœud.• Le système de récupération d’énergie alimente en permanence la batterie qui à son tour alimentele reste du système.• Le système de récupération d’énergie alimente la batterie uniquement pendant la période deveille (étape 7 de l’algorithme ci-dessus). Si cette période de veille est assez longue, la batteriese charge complètement et garde sa charge pour la prochaine période d’activité. Dans le cascontraire, il faut s’assurer que la charge récupérée est suffisante pour une période d’activitésinon le nœud ne pourra pas effectuer les tâches qui lui ont été assignées pendant sa prochainepériode d’activité...Le vecteur de test générique qui permet de tester ces différents cas est le suivant :1 library ieee , work ;2 use ieee . electrical_systems . all ;3 use ieee . fundamental_constants . all ;4 use ieee . std_logic_1164 . all ;5 use ieee . math_real . all ;6 entity battery_test is7 end entity battery_test ;8 architecture top of battery_test is9 terminal PLUS: e l e c t r i c a l ;10 QUANTITY Vbatt across Ibatt through PLUS TO GROUND;1112 begin1314 Ibatt == 0.0008; −−courant issu du recuperateur d ’ energie (s ’ i l a lieu )151617−−instanciation du generateur de courant modelisant la demande en courant des18−−composants du noeud ( valeurs negatives en courant ) et la generation eventuelle19−−( troisieme scenario ) de courant par le module recuperateur d ’ energie20−−( valeur positive de Iv ) .2122 Isin : entity work . Igen ( spice )150


CHAPITRE 6. MODÈLE GLOBAL <strong>DE</strong> LA CHAINE RÉCUPÉRATION/STOCKAGE D’ÉNERGIE DUNŒUD <strong>DE</strong> RÉSEAU <strong>DE</strong> CAPTEUR SANS FIL2324 generic map (25 Iv => 0.008 , −− periode de v e i l l e = periode de recolte de l ’ energie26 I0 => −0.008,−− Reveil du microcontrolleur27 I1 => −0.037, −− reveil des capteur28 I2 => −0.052, −− mesures29 I3 => −0.018, −− traitement des donnes et mise en sommeil descapteurs30 I4 => −0.026, −− reveil de l ’ emetteur / recepteur31 I5 => −0.052, −− envoi des donnes32 I6 => −0.018, −− mise en v e i l l e33 TD =>0.0, −− temps de retard de l ’ impuslion ( delay )34 TR =>0.0e−9, −− temps de montée des impulsions35 TF =>0.0e−9, −−temps descente des impulsions36 T0 =>800.0,−− Reveil du microcontrolleur37 T1 =>300.0,−− revei l des capteurs38 T2 =>500.0,−− mesures39 T3 =>2000.0,−− traitement des donnees et mise en v e i l l e des capteurs40 T4 =>300.0,−− revei l de l ’ emetteur / recepteur41 T5 =>400.0,−− envoi des donnes42 T6 =>300.0,−− mise en v e i l l e du noeud43 PER =>7000.0 −−periode d ’ a c t ivite4445 )4647 port map (48 P => PLUS,49 N =>ground50 ) ;5152−− instanciation du module structurel de la b atterie5354 bat1 : entity work . bat ( struct )55 generic map (V_SOC_INIT =>1.0)56 port map (57 PLUS => PLUS,58 MINUS =>GROUND59 ) ;6061 end architecture top ;Ce vecteur générique peut être schématisé par le graphe de la figure 6.5.Le premier scénario a pour but de savoir sur combien de temps la batterie se décharge enrépondant aux demandes énergétiques de tous les composants et sans une alimentation par un circuitde récupération d’énergie. Dans ce cas la valeur du paramètre I v correspond à la consommation enveille du nœud soit 80 µA.Les résultats de simulation de ce scénario sont reportés sur la figure 6.6. Nous avons effectué unesimulation sur 180 ks soit 50heures physiques. Une telle simulation dure 6 minutes et 2 secondesavec un pas de simulation de 100 ms.Selon le second scénario, la batterie est alimentée en permanence par le circuit de récupérationd’énergie. Nous avons supposé que le circuit de récupération d’énergie est capable de délivrer unevaleur constante de 8 mA en permanence. Cette supposition est très optimiste pour des récupérateursd’énergie qui se basent sur les microgénérateurs piézoélectriques. De plus, nous avons faitabstraction des variations temporelles : nous supposons que le circuit de conditionnement d’énergie151


CHAPITRE 6. MODÈLE GLOBAL <strong>DE</strong> LA CHAINE RÉCUPÉRATION/STOCKAGE D’ÉNERGIE DUNŒUD <strong>DE</strong> RÉSEAU <strong>DE</strong> CAPTEUR SANS FILFigure 6.5 – Consommation énergétique d’un nœud de WSN sur un cycle de fonctionnementpermet d’atteindre un courant stabilisé. Le système de récupération d’énergie est ainsi remplacé parune source de courant de 8 mA. Les résultats de simulation sont reportés sur la figure 6.7.Le troisième scénario stipule que la batterie est rechargée seulement pendant la période de veillepar la même source d’énergie (donc modélisée par une source de courant de 8 mA).Une comparaison entre les 3 scénarios peut être déduite à partir des résultats de simulation dela courbe 6.7. Nous notons que l’influence du récupérateur d’énergie sur la consommationd’énergie est plus manifeste sur la durée. En effet, nous pouvons voir que le gain en tensionau bout de 10 ks est seulement d’une dizaine de millivolts alors qu’il est d’une centaine de mVau bout de 180 ks. Ceci s’explique par le fait que la décharge de la batterie est plus lente avec lerécupérateur d’énergie. Par conséquent, la dernière phase qui se caractérise par un taux de déchargeélevé (voir modèle de la batterie du chapitre 4) est retardée.6.6 ConclusionSe basant sur une stratégie de simulation multi-abstractions, nous avons développé toutau long de ce chapitre, des modèles globaux en VHDL-AMS dans un environnement de cosimulationavec SPICE. De plus, nous avons fourni un guide pour faciliter les choix duconcepteur quant au langage utilisé. Enfin, nous avons procuré un vecteur de test génériquepour simuler des scénarios de fonctionnement afin d’étudier la consommation d’un nœud de réseauxde capteurs sans fils.Ce chapitre donne finalement un aperçu des possibilités offertes au concepteur systèmecomme celle de fournir rapidement un vecteur de test global à partir de modèlesgénériques déjà élaborés. Ce vecteur de test demeurant le même (seules les architectures desentités VHDL-AMS), il permet de tester les différents blocs à plusieurs niveaux d’abstraction.152


CHAPITRE 6. MODÈLE GLOBAL <strong>DE</strong> LA CHAINE RÉCUPÉRATION/STOCKAGE D’ÉNERGIE DUNŒUD <strong>DE</strong> RÉSEAU <strong>DE</strong> CAPTEUR SANS FIL00-10-10-20-20Courant (mA)-30Courant (mA)-30-40-40-50-50Courant aux bornes de la batterie-600 20k 40k 60k 80k 100k 120k 140k 160k 180kTemps(s)Courant aux bornes de la batterie-6010k 12k 14k 16k 18k 20kTemps(s)(a1)(a2)4.204.0554.104.0504.004.0453.904.040Tension (V)3.803.70Tension (V)4.0354.0304.0253.604.0203.504.0153.404.010Tension aux bornes de la batterie3.300 20k 40k 60k 80k 100k 120k 140k 160k 180kTemps(s)Tension aux bornes de la batterie4.00510k 12k 14k 16k 18k 20kTemps(s)(b1)(b2)Figure 6.6 – Consommation énergétique d’un nœud de WSN sans circuit de récupération d’énergieavec en haut le profil de courant de décharge (a1 et un zoom a2 sur 10ks) et en bas la tension auxbornes de la batterie (b1 et un zoom b2) avec une période de fonctionnement de 7000s soit ≈ 2heures153


CHAPITRE 6. MODÈLE GLOBAL <strong>DE</strong> LA CHAINE RÉCUPÉRATION/STOCKAGE D’ÉNERGIE DUNŒUD <strong>DE</strong> RÉSEAU <strong>DE</strong> CAPTEUR SANS FILFigure 6.7 – Consommation énergétique d’un nœud de WSN avec et sans circuit de récupérationd’énergie selon les trois scénarios étudiés154


Chapitre 7Conclusion générale et travaux futursConclusion généraleComme nous l’avons déjà souligné tout au long de ce manuscrit, les microsystèmes font appel àdes connaissances très pluridisciplinaires : mécanique, électrochimique, électronique numérique, analogiqueet autres. Cette pluridisciplinarité se révèle aujourd’hui être le frein majeur avant d’établirune méthode de conception systématique pour les microsystèmes. En effet, ces dispositifs ne sontgénéralement validés qu’après leur fabrication. Cette méthode appelé ascendante (ou bottom-up)rallonge le temps de développement de ce genre de systèmes. De plus, leur coût se voit élevé à causedes nombreuses itérations du processus.Notre objectif dans cette thèse était de proposer une méthode de conception réutilisable pour lessystèmes multi-physiques, permettant d’avoir à la fois un temps avant la mise sur le marché (timeto market) et un coût acceptables. Cette méthode se base sur une approche de modélisation et desimulation multi-niveaux et multi-physiques. Elle a été validée par son application à un système derécupération, de gestion et de stockage d’énergie d’un nœud de réseaux de capteurs sans fils. Cetobjectif a été scindé en deux buts. Le premier a été de proposer une méthode claire de développementde bibliothèques réutilisables et génériques en VHDL-AMS. Le deuxième but a été de montrerl’efficacité de cette méthode à travers des simulations globales se basant sur ces modèles multiniveaux.Nous présentons ici un bilan de nos travaux, comparant nos résultats aux objectifs initialementvisés. Nous mettons ensuite en lumière les apports les plus originaux avant de proposer un certainnombre de travaux futures.Bilan et apports originaux des travaux de thèse Après avoir fixé le cadre applicatif et misen évidence les enjeux énergétiques dans les WSN, nous avons effectué une étude minutieuse d’unpanel de langages et d’environnements de simulation présents dans la littérature. Enfin, nous avonsjustifié notre choix d’utiliser VHDL-AMS et SPICE comme langages de modélisations et SMASHcomme environnement de simulations globales.Notre analyse des besoins des concepteurs des systèmes mixtes et multi-physiques en fin dupremier chapitre a également mis en lumière un besoin de développer une méthode qui permet detirer profit de l’expérience d’autres recherches portant sur d’autres structures et/ou matériaux.155


CHAPITRE 7. CONCLUSION GÉNÉRALE ET TRAVAUX FUTURSNous avons montré au cours du deuxième chapitre que la meilleure réponse à ces besoins consisteà développer une bibliothèque de modèles génériques et réutilisables. Il s’agissait du premier objectifde cette thèse. En effet, nous proposons de fournir des prototypes virtuels mais fonctionnelsselon la géométrie et les matériaux utilisés dans nos composants. Cette méthode permet très tôtau concepteur d’optimiser son système avec des temps de simulations raisonnables. Nous appuyonschaque élément de réponse méthodologique dans ce chapitre sur des modèles à différents niveauxd’abstraction implémentés en VHDL-AMS. Les modèles les plus raffinés sont extensibles à d’autresmatériaux et structures par simple substitution des paramètres génériques. Nous avons aussi montréau cours de ce chapitre la possibilité d’étudier l’impact des différents types de pertes sur le microgénérateurpiézoélectrique. Cette méthode est valable pour tout système fortement couplé commeles systèmes magnétiques ou électrostatiques. De plus, nous avons démontré comment le concepteurpeut gagner un temps précieux en optimisant sa structure grâce aux modèles VHDL-AMS avant depasser à une modélisation FEM lourde en temps de simulation. Par ailleurs, nous avons effectué uneanalyse statistique Monte-Carlo pour prédire l’impact des fluctuations du procédé de fabricationaussi bien sur les fréquences de résonance des structures que sur les tensions générées.Finalement, un bon accord entre les résultats de simulation et les résultats expérimentaux a étéobtenu. Cette comparaison positive est une première preuve de la performance de l’approche demodélisation adaptée.Après avoir abordé avec succès la modélisation d’un microgénérateur piézoélectrique dans cesecond chapitre, nous avons développé un modèle VHDL-AMS de batterie Li-Ion, adaptable àd’autres technologies. Nous avons mis l’accent dans ce chapitre sur les clés techniques que pourraientadopter un concepteur pour modéliser des phénomènes non linéaires comme la tension de la batterieet sa température en fonction de son état de charge. Nous avons toutefois souligné les problèmes deconvergences éventuels en cas d’utilisation de ce modèle avec d’autres modèles présentant de fortesnon linéarités comme celui du microgénérateur piézoélectrique.Le quatrième chapitre, quant à lui, traite des systèmes de gestion d’énergie. Nous y avons développéplusieurs modèles en VHDL-AMS que nous avons comparés avec des modèles SPICE et reliésà la technologie. Ces modèles correspondent à différentes structures (AC/DC, DC/DC à stockageinductif et capacitif). Dans cette étude, nous avons privilégié les structures à stockage inductif pourla validation du prototypage discret. En revanche, pour la validation du microsystème, c’est la structurecapacitive qui a été choisie après une étude théorique sur les deux méthodes. Par ailleurs, lacomparaison des résultats de simulations des structures de Villard et de Dickson avec des modèlestrès précis (BSIM3 de la technologie HCMOS9GP) ont montré que pour des tensions très faibles, lastructure de Villard présente de meilleures performances en termes de temps de montée. Par contre,l’inclusion des capacités parasites démontre qu’au contraire que c’est la structure de Dickson quiest plus avantageuse. Cette conclusion démontre une fois encore l’importance d’utiliser des modèlesprécis et de tenir compte des capacités parasites dans les applications à puissance très faible.Dans le chapitre 5, nous avons effectué la modélisation globale du système de récupération avec lesystème de gestion et de stockage d’énergie ce qui représentait le deuxième objectif. Afin d’atteindrecet objectif, nous nous sommes basés sur une stratégie de simulation multi-abstractions qui nouspermet de nous affranchir des problèmes de convergence dûs à la présence de plusieurs modèlesfortement non linéaires. Nous avons par ailleurs fourni un guide de modélisation pour faciliter lechoix du concepteur quant au langage utilisé entre VHDL-AMS et SPICE. Enfin, ce chapitre donneun aperçu des possibilités offertes au concepteur ou à l’architecte système de vérifier un modèle trèsraffiné en l’intégrant avec d’autres modèles plus abstraits au sein d’une même simulation globale.156Le développement de cette bibliothèque riche de composants sur plusieurs niveaux d’abstraction


CHAPITRE 7. CONCLUSION GÉNÉRALE ET TRAVAUX FUTURSet appartenant à divers domaines de la physique confirme le caractère appliqué de cette recherche.Par ailleurs, nous pouvons aisément dégager le caractère innovateur de nos travaux en résumantles apports scientifiques originaux que nous avons fourni. L’un des apports importants de cetterecherche nous semble être la démarche proposée pour obtenir des modèles à la frontière entrela physique et le niveau comportemental quelque soit le domaine de la physique étudié. En effet,des modèles plus sophistiqués pour chaque bloc existent : FEM pour la structure du générateurpiézoélectrique, modèle électrochimique de la batterie... Cependant, nous estimons justement quela réelle avancée réside dans la simplicité et la généricité de nos modèles qui toutefois offrent despossibilités d’optimisation et d’intégration avec d’autres blocs grâce à leur caractère conservatif.PerspectivesSi cette thèse répond à certaines questions, elle en pose également de nouvelles. En effet, nousavons mentionné en partie dans le chapitre 5 que des améliorations peuvent être apportées au modèlepiézoélectrique afin de tenir compte des non linéarités observées pour des excitations élevées. De plus,la fabrication de microgénérateurs piézoélectriques avec une fréquence de résonance souhaitée esttrès difficile d’autant plus que cette dernière est variable et dépendante de l’application. Une solutiona été proposé par Marcin Marzencki et développée actuellement dans le cadre d’une autre thèse dansnotre groupe. Cette solution consiste à adapter la fréquence de résonance du système. La variationde cette fréquence est définie par la non-linéarité du système et par l’amplitude d’accélération [20].Par ailleurs, des résultats expérimentaux suuplémentaires sont requis pour étudier d’une partl’influence de l’autoéchauffement de la batterie sur sa performance et d’autre part extraire lesparamètres nécessaires à adapter le modèle de la batterie à une micro-batterie. Une collaborationavec le laboratoire LITEN du CEA Grenoble est envisagée dans ce sens.Nous pouvons aussi considérer l’étude de solutions asynchrones de gestion d’énergie dans labatterie comme la technique d’adaptation dynamique de la tension et de la vitesse (Dynamic ScalingVoltage ou DVS) pour contrôler la consommation d’énergie en temps réel. Une telle technique pourraêtre utilisée avec un processeur asynchrone qui adapte la tension d’alimentation à ses besoins.Dans ce travail seuls les parties récupération et stockage d’énergie ont été étudiées. Par conséquent,le nœud de WSN a été considéré indépendamment de l’architecture du réseau. Il convienttoutefois de préciser que la radio est l’élément qui consomme le plus d’énergie lors de la communicationd’un nœud avec un autre. Par suite, pour diminuer la consommation énergétique nécessaireaux communications deux méthodes sont envisageables : soit en améliorant l’algorithme de routage,soit en essayant de diminuer la durée pendant laquelle il est nécessaire de laisser la radio alluméelors d’une communication (algorithmes d’accès au canal radio). L’utilisation du système d’exploitationTinyOS développé par Berkeley qui s’appuie sur un fonctionnement évènementiel permet unemeilleure adaptation à la nature aléatoire de la communication sans fils entre capteurs. Dans cecadre, le modèle général d’un nœud utilisant les différents IPs que nous avons développés tout aulong de cette thèse peuvent être utilisés afin d’optimiser la consommation énergétique de tout leréseau de capteurs. Un tel travail peut être validé par une application de capteurs d’images autonomesoù les contraintes énergétiques sont plus fortes. Dans cette dernière perspective, l’utilisationd’autres types de microgénrateurs (photovoltaique par exemple) est envisageable.Ces travaux peuvent être menés à court et à moyen terme. A long terme, nous proposons d’étudierla possibilité d’étendre cette méthode générique et réutilisable vers une méthode complètementautomatisée. Une telle méthode devrait partir d’une spécification formelle et donner naissance à157


CHAPITRE 7. CONCLUSION GÉNÉRALE ET TRAVAUX FUTURSun circuit prêt à être fabriqué (dessin de masques). Une telle méthode est-elle possible pour dessystèmes aussi pluridisciplinaires ? Bien que plusieurs verrous aient été levés en vue de l’obtentiond’une telle méthode, plusieurs questions demeurent...158


Annexe AConstantes utilisées dansl’implémentation VHDL-AMS dumicrogénérateur piézoélectrique1CONSTANT Lm: real := B;−−mass lenght2CONSTANT Bm: real := B;−−mass width3CONSTANT Bp: real := B;−− beam width4CONSTANT M: real := Hm∗Bm∗Lm∗rho_m;−−mass value5CONSTANT capa : real := Lp∗Bp∗eps/Hp;−−p iezoelectric capacity value6CONSTANT gamma_s: real := 0.23∗Hp∗∗3/Lp∗∗3;7CONSTANT gamma_p: real := 1.0/(2.0∗Q_p) ;8CONSTANT lambda : real := zeta ∗2.0∗m∗math_2_pi∗ f r ;−−viscous damping coefficient9−− Material losses10CONSTANT loss_s : complex := (1.0 , gamma_s) ;−−silicon loss11CONSTANT loss_p : complex := (1.0 , gamma_p) ;−−p iezoelectric loss12CONSTANT loss_d : complex := (1.0 , −tg_d) ;−−d i e l e c tric loss13−− compliance matrix with losses included14CONSTANT C11s_l : complex := C11s∗ loss_s ;15CONSTANT C13s_l : complex := C13s∗ loss_s ;16CONSTANT C33s_l : complex := C33s∗ loss_s ;17CONSTANT C11p_l : complex := C11p∗loss_p ;18CONSTANT C13p_l : complex := C13p∗loss_p ;19CONSTANT C33p_l : complex := C33p∗loss_p ;20−−e f f e c tive parameters with losses included21CONSTANT C11ef : complex := C11p_l− (C13p_l∗C13p_l/C33p_l) ;22CONSTANT Ys : complex := C11s_l − ( C13s_l∗C13s_l / C33s_l) ;23CONSTANT e31_ef : complex := e31 −( C13p_l ∗ e33 / C33p_l) ;24CONSTANT eps_ef : complex := eps∗loss_d + e33 ∗∗2/C33p_l ;25CONSTANT Ybe_ef : real := C11be − (C13be∗C13be / C33be) ;26CONSTANT Yte_ef : real := C11te − ( C13te∗C13te / C33te ) ;27−−Neutral axis determination28−−a1 is the distance from the bottom of the support to the neutral axis29CONSTANT L: real := Lp + (Lm/2.0) ;−−intermediate length30CONSTANT TOP1: complex:= Ys∗Hs∗Hs ;31CONSTANT TOP2: real := Ybe_ef∗Hbe∗(2.0∗ Hs+Hbe) ;32CONSTANT TOP3: complex:= C11ef ∗(Hp∗Hp+2.0∗Hp∗(Hs+Hbe) ) ;33CONSTANT TOP4: real := Yte_ef ∗( Hte∗Hte+2.0∗Hte∗(Hs+Hbe+Hp) ) ;34CONSTANT a1 : complex := (TOP1+TOP2+TOP3+TOP4)/ (2.0∗( Ys∗Hs+Ybe_ef∗Hbe+C11ef∗Hp+Yte_ef∗Hte) ) ;35−−coordinate values on the z axis (same notations that those in the text )159


ANNEXE A. CONSTANTES UTILISÉES DANS L’IMPLÉMENTATION VHDL-AMS DUMICROGÉNÉRATEUR PIÉZOÉLECTRIQUE36CONSTANT z1 : complex := −a1 ;37CONSTANT z2 : complex:= Hs−a1 ;38CONSTANT z3 : complex := z2+Hbe ;39CONSTANT z4 : complex := z3+Hp;40CONSTANT z5 : complex := z4+Hte ;41−− The equivalent rigidity of the beam calculation42CONSTANT DG: complex := ((Ys/3.0) ∗( z2∗∗3− z1 ∗∗3) ) + (( Ybe_ef/3.0) ∗( z3∗∗3−z2 ∗∗3) ) +(( C11ef /3.0) ∗( z4∗∗3−z3 ∗∗3) )+ (( yte_ef /3.0) ∗( z5∗∗2−z4 ∗∗3) ) ;43CONSTANT beta : complex := e31_ef ∗( z4∗z4−z3∗z3 ) /2.0/ eps_ef ;44CONSTANT DG2 : complex := DG + ((( e31_ef∗e31_ef ) /(3.0∗ eps_ef ) ) ∗( z4∗∗3−z3 ∗∗3) ) ;45CONSTANT DG1: complex := DG2 −(eps_ef ∗beta∗beta/Hp) ;46CONSTANT xi : complex := ( beta∗eps_ef )/Hp/DG1;−−intermediate variable47CONSTANT J0 : real := (m/3.0) ∗(Lm∗∗2+ Hm∗∗2) ;−−Moment of inertia of the seismicmass48−− Constants used to calculate teta : the angle of rotation of the seismic mass49CONSTANT C1 : complex := Lp/Bp/DG1;50CONSTANT C2 : complex := (L−(0.5∗Lp) ,0.0) ;51CONSTANT C3 : complex := xi ∗Lp ;52−−constants used for calculations of the output displacement of the beam (wout )53CONSTANT C4 : complex:= Lp∗Lp/2.0/Bp/DG1;54CONSTANT C5 : real := L−(Lp/3.0) ;55CONSTANT C6 : complex := xi ∗Lp∗Lp /2.0;56CONSTANT C7 : real := L−Lp ;57−−constant used for the calculations of the output voltage ( vout )58CONSTANT C8 : complex := −Bp∗eps_ef/Hp;160


Annexe BModèle VHDL-AMS analytique de labatterieExtraction des paramètres de la batterie li-ion polymèreLes paramètres utilisés dans le modèle analytique sont extraits suivant la méthode expliquéedans la référence [13]. La figure présente le résultat de cet extraction.161


ANNEXE B. MODÈLE VHDL-AMS ANALYTIQUE <strong>DE</strong> LA BATTERIEFigure B.1 – Courbes d’extraction des paramètres de la batterie Li-ion polymère PL-383562 [13]Implémentation VHDL-AMS du modèle analytique de la batterieLe premier bloc modélise le circuit (I) de la figure 4.15. Il est décrit par une entité appeléeBattery_LIFE_TIME avec les paramètres génériques suivants : la résistance d’auto-décharge, lenombre de cycles, la capacité et les cœfficients de correction en fonction de la température et dunombre de cycles de la batterie. Ce bloc n’est pas conservatif, son rôle est de calculer la durée de viede la batterie en fonction du courant de la batterie (I_in) livré par le circuit (II) et de lui retournerl’état de charge (V _SOC_OUT).Le calcul de l’état de charge de la batterie revient à calculer la tension aux bornes de la capacité.La ligne (8) de la figure B.3 sert à initialiser cette valeur et la ligne (9) à la calculer en fonction dela capacité et du courant aux bornes de la batterie.162


ANNEXE B. MODÈLE VHDL-AMS ANALYTIQUE <strong>DE</strong> LA BATTERIE12ENTITY Battery_LIFE_TIME IS3GENERIC4 (5 V_soc_init : REAL := 0 .0;−−Etat de charge i n i t i a l de la batterie6 Rself_discharge : REAL := 1.0 e10 ;−− resistance de decharge7 N : REAL := 0 .0;−− nombre de cycles de charge/decharge8 CAPACITY : REAL := 1.259 f1 : REAL:= 1 .0;−− coefficient de correction en fonction du nombredes cycles10 f2 : REAL := 1.0 −−coefficient de correction en fonction de latempérature11 ) ;12PORT13 (14 QUANTITY I_in : IN REAL := 0.0;15 QUANTITY V_SOC_OUT : OUT REAL := 0.016 ) ;17END ENTITY Battery_LIFE_TIME ;Figure B.2 – Déclaration de l’entité VHDL-AMS du sous bloc décrivant la durée de vie de la batterie1−−−−−−−−−− ARCHITECTURE <strong>DE</strong>CLARATION arch_Battery_SoC −−−−−−−−−−2ARCHITECTURE arch_Battery_LIFE_TIME OF Battery_LIFE_TIME IS34 TERMINAL P1 : ELECTRICAL;5 QUANTITY V_soc ACROSS Icapacity , Ibatt THROUGH P1 ;6 CONSTANT C_capacity : REAL := 3600.0∗CAPACITY∗ f1 ∗ f2 ;7 BEGIN8 Break V_SOC => V_soc_init ;9 Icapacity == C_capacity∗ V_SOC’ dot ;10 Ibatt == I_in ;11 V_SOC_OUT == V_SOC;1213END ARCHITECTURE arch_Battery_LIFE_TIME ;Figure B.3 – Architecture VHDL-AMS du sous bloc décrivant les la durée de vie de la batterieLe bloc nommé Battery_CHAR décrit les caractéristiques Courant/Tension de la batterie. Cebloc est décrit par une entité VHDL-AMS (voir la figure B.4) avec comme paramètres génériques lenombre de cycles de charge et de décharge (N) et l’état de charge initial de la batterie (V _soc_init).Ce bloc a deux ports PLUS et MOINS (PLUS et MINUS) de la batterie, grâce auxquelselle pourra être connectée à un circuit électrique. Le courant de sortie I_OC représente le courantde la batterie. La tension d’entrée VSOC_IN est la valeur de la tension récupérée du blocBattery_life_time et qui représente l’état de charge en temps réel de la batterie.Les nœuds A, B et C sont des nœuds internes au circuit (II) de la figure 4.15. Ces nœudsservent à définir les différents tensions et courants nécessaires pour déterminer les caractéristiquesCourant/Tension.Les équations décrivant ces relations sont encapsulées dans une architecture qui décrit le comportementde la batterie conformément à la figure B.5.Les lignes 13 à 16 sont utilisées pour initialiser les différentes capacités mises en œuvre dans lemodèle.163


ANNEXE B. MODÈLE VHDL-AMS ANALYTIQUE <strong>DE</strong> LA BATTERIE1ENTITY Battery_CHAR IS2GENERIC3 (4 N : REAL := 0.0;−− nombre de cycles de charge/decharge5 V_soc_init : REAL := 0.0 −− Etat de charge i n i t i a l6 ) ;7PORT8 (9 TERMINAL PLUS, MINUS: ELECTRICAL;10 QUANTITY I_OC : OUT REAL := 0 .0;11 QUANTITY VSOC_IN : In REAL := 0.012 ) ;13END ENTITY Battery_CHAR;Figure B.4 – Déclaration de l’entité VHDL-AMS du sous bloc décrivant les caractéristiques courantstensions de la batterieLes lignes 16 à 22 permettent de calculer les paramètres dépendant de l’état de charge de labatterie à savoir la tension à circuit ouvert, la résistances série, les valeurs des résistances et descapacités utilisées pour décrire les temps de réponse long et court de la décharge de la batterie.Ces équations sont le résultat de l’extraction de ces paramètres par extrapolation polynomialeconformément à la méthode proposée par [13] qui utilise le dispositif expérimental proposé par [108].Les courbes d’extraction de ces paramètres proposés par [13] sont reportés à l’annexe B.Finalement, les lignes 29 à 32 décrivent les lois d’Ohm aux bornes des différentes résistancesutilisées dans le circuit (II).Enfin, il suffit de relier les deux sous blocs pour avoir le modèle complet de la batterie. Celui-cipeut alors être testée en charge ou en décharge avec un courant constant ou impulsionnel.164


ANNEXE B. MODÈLE VHDL-AMS ANALYTIQUE <strong>DE</strong> LA BATTERIE1−−−−−−−−−− ARCHITECTURE arch_Battery_SoC −−−−−−−−−−2ARCHITECTURE arch_Battery_CHAR OF Battery_CHAR IS34 TERMINAL A, B, C: ELECTRICAL;5 QUANTITY Voc ACROSS Ioc THROUGH A to MINUS;−−26 QUANTITY V_R_series ACROSS I_R_series THROUGH B TO A;−−37 QUANTITY V_transient_S ACROSS I_R_transient_S , I_C_transient_S THROUGH C TO B;−−58 QUANTITY V_transient_L ACROSS I_R_transient_L , I_C_transient_L THROUGH PLUS TO C;−−79 QUANTITY R_transient_S , R_transient_L := REAL:=0.0;10 QUANTITY C_transient_S , C_transient_L , R_series : REAL:=0.0;1112BEGIN13−− I n i t i a l i sation des d ifférentes capacités u t i l i s é e s dans le modèle14 BREAK V_transient_S => 0 .0;15 BREAK V_transient_L => 0 .0;16−−Calculs des paramètres dépendant de l ’ état de charge de la batterie17 Voc == (−1.031 ∗ EXP(−35.0∗ VSOC_IN) ) + 3.6850 + 0.2156∗VSOC_IN −(0.1178∗ (VSOC_IN∗∗2) ) + (0.3201∗(VSOC_IN∗∗3) ) ;18 R_series == 0.1562 ∗ EXP(−24.37∗VSOC_IN) + 0.07446;19 R_transient_S == 0.3208 ∗ EXP(−29.14∗VSOC_IN) + 0.04669;20 C_transient_S == −752.9 ∗ EXP(−13.51∗VSOC_IN) + 703.6;21 R_transient_L == 6.603∗ EXP(−155.2∗VSOC_IN) + 0.04984;22 C_transient_L == −6056.0∗ EXP(−27.12∗VSOC_IN) + 4475.0;2324−− Calcul des tensions de capacites25 I_C_transient_S == C_transient_S ∗ V_transient_S ’DOT;26 I_C_transient_L == C_transient_L ∗ V_transient_L ’DOT;2728−− Les l o i s d ’Ohm29 V_R_series == R_series ∗ I_R_series tolerance "voltage_battery " ;30 V_transient_S == I_R_transient_S ∗ R_transient_S tolerance " voltage_transient " ;31 V_transient_L == I_R_transient_L∗ R_transient_L tolerance " voltage_transient " ;32 I_OC == IOC;33END ARCHITECTURE arch_Battery_CHAR;Figure B.5 – Architecture VHDL-AMS du sous bloc décrivant les caractéristiques Courants/Tensionsde la batterie165


Annexe CComparaison entre les modèles niveau 1du transistor MOS avec Simulink et celuiavec VHDL-AMSModèle du transistor MOS niveau 1 avec SimulinkLa figure C.1 représente seulement "la couche supérieure" du modèle Simulink du transistorMOS niveau 1. Pour éviter les problèmes de convergence dûes majoritairement aux boucles algébriques,plusieurs blocs mémoires ont dûs être ajoutés. L’implémentation de ce bloc n’est pasintuitive est lourde en simulations. Soulignons toutefois que ce modèle est très utile pour les concepteursqui utilisent exclusivement l’environnement Matlab/SIMULINK.167


ANNEXE C. COMPARAISON ENTRE LES MODÈLES NIVEAU 1 DU TRANSISTOR MOS AVECSIMULINK ET CELUI AVEC VHDL-AMSFigure C.1 – Modèle du transistor MOS niveau 1 avec Simulink développé par [18]Modèle du transistor MOS niveau 1 avec VHDL-AMSNous reportons ici l’implémentation da la fonction qui permet de paramétrer le transistor avectous ses paramètres SPICE.1 type MOSFET_DATA is array (1 to 52) of REAL; −− implementation dependent23 function SET_MOSFET_DATA (4 constant MO<strong>DE</strong>L : MO<strong>DE</strong>L_TYPE := NMOS; −− type of MOSFET (NMOS|PMOS)5 constant LEVEL : positive := 1; −− model index6−− constant VTO : REAL := 0.0; −− threshold voltage ( in V)7 constant VTO : REAL := UN<strong>DE</strong>F_VALUE; −− threshold voltage ( in V)8 constant VT0 : REAL := UN<strong>DE</strong>F_VALUE; −− alias name for VTO9−− constant KP : REAL := 2.0E−5; −− transconductance parameter10 constant KP : REAL := UN<strong>DE</strong>F_VALUE; −− transconductance parameter11 −− ( in A/V∗∗2)12−− constant GAMMA : REAL := 0.0; −− bulk threshold parameter13 constant GAMMA : REAL := UN<strong>DE</strong>F_VALUE; −− bulk threshold parameter14 −− ( in V∗∗0.5)15−− constant PHI : REAL := 0.6; −− surface potential ( in V)16 constant PHI : REAL := UN<strong>DE</strong>F_VALUE; −− surface potential ( in V)17 constant LAMBDA : REAL := 0 .0; −− channel−length modulation18 −− ( only MOS1 and MOS2)168


ANNEXE C. COMPARAISON ENTRE LES MODÈLES NIVEAU 1 DU TRANSISTOR MOS AVECSIMULINK ET CELUI AVEC VHDL-AMS19 constant RD : REAL := UN<strong>DE</strong>F_VALUE; −− drain ohmic resistance ( in ohm)20 constant RS : REAL := UN<strong>DE</strong>F_VALUE; −− source ohmic resistance ( in ohm)21−− constant CBD : REAL := 0.0; −− zero−bias B−D junction capacitance22 constant CBD : REAL := UN<strong>DE</strong>F_VALUE; −− zero−bias B−D junction capacitance23 −− ( in F)24−− constant CBS : REAL := 0.0; −− zero−bias B−S junction capacitance25 constant CBS : REAL := UN<strong>DE</strong>F_VALUE; −− zero−bias B−S junction capacitance26 −− ( in F)27 constant ISS : REAL := 1.0E−14; −− bulk junction saturation current28 −− ( in A)29 constant PB : REAL := 0 .8; −− bulk junction potential ( in V)30 constant CGSO : REAL := 0 .0; −− gate−source overlap capacitance31 −− per meter channel width ( in F/m)32 constant CGS0 : REAL := UN<strong>DE</strong>F_VALUE; −− alia s name for CGSO33 constant CGDO : REAL := 0 .0; −− gate−drain overlap capacitance34 −− per meter channel width ( in F/m)35 constant CGD0 : REAL := UN<strong>DE</strong>F_VALUE; −− alia s name for CGDO36 constant CGBO : REAL := 0 .0; −− gate−bulk overlap capacitance37 −− per meter channel width ( in F/m)38 constant CGB0 : REAL := UN<strong>DE</strong>F_VALUE; −− alia s name for CGBO39 constant RSH : REAL := 0 .0; −− drain and source diffusion40 −− sheet resistance ( in ohm/ sqr )41 constant CJ : REAL := 0 .0; −− zero−bias bulk junction bottom cap .42 −− per sq−meter of junction area43 −− ( in F/m∗∗2)44 constant MJ : REAL := 0 .5; −− bulk junction bottom grading coeff .45 constant CJSW : REAL := 0 .0; −− zero−bias bulk sidewall cap .46 −− zero−bias bulk junction bottom cap .47 −− per sq−meter of junction area48 −− ( in F/m∗∗2)49−− constant MJSW : REAL := UN<strong>DE</strong>F_VALUE; −− bulk junction sidewall grading50 constant MJSW : REAL := 0 .5; −− bulk junction sidewall grading51 −− c o e fficient52 −− 0.50 for level153 −− 0.33 for level2 , 354 constant JS : REAL := UN<strong>DE</strong>F_VALUE; −− bulk junction saturation current55 −− per sq−meter of junction area56 −− ( in A/m∗∗2)57 constant TOX : REAL := 1.0E−7; −− oxide thickness ( in meter)58−− constant NSUB : REAL := 0.0 −− substrate doping ( in 1/cm∗∗2)59 constant NSUB : REAL := UN<strong>DE</strong>F_VALUE; −− substrate doping ( in 1/cm∗∗2)60 constant NSS : REAL := 0 .0; −− surface state density ( in 1/cm∗∗2)61 constant NFS : REAL := 0 .0; −− fast surface state density62−− constant TPG : REAL := 1.0; −− type of gate material63 constant TPG : INTEGER := 1; −− type of gate material64 −− +1 opp . to substrate65 −− −1 same as substrate66 −− 0 Al gate67 constant XJ : REAL := 0 .0; −− metallurgical junction depth68 −− ( in meter)69 constant LD : REAL := 0 .0; −− l a t e ral diffusion ( in meter)70 constant UO : REAL := 600.0; −− surface mobility ( in cm∗∗2/Vs)71 constant U0 : REAL := UN<strong>DE</strong>F_VALUE; −− alia s name for UO72 constant UCRIT : REAL := 1.0E4 ; −− c r i t i c a l f i e l d for mobility73 −− degration (MOS2 only ) ( in V/cm)74 constant UEXP : REAL := 0 .0; −− c r i t i c a l f i e l d exponent in75 −− mobility degradation (MOS2 only )76 constant UTRA : REAL := 0 .0; −− transverse f i e l d coeff . ( mobility )77 −− ( deleted for MOS2)169


ANNEXE C. COMPARAISON ENTRE LES MODÈLES NIVEAU 1 DU TRANSISTOR MOS AVECSIMULINK ET CELUI AVEC VHDL-AMS78 constant VMAX : REAL := 0 .0; −− maximum d rift v elocity of carriers79 −− ( in m/s )80 constant NEFF : REAL := 1 .0; −− total channel−charge81 −− ( fixed and mobile )82 −− c o e fficient (MOS2 only )83 constant KF : REAL := 0 .0; −− f l i c k e r noise c o e fficient84 constant AF : REAL := 1 .0; −− f l i c k e r noise exponent85 constant FC : REAL := 0 .5; −− c o e fficient for forward−bias86 −− depletion capacitance formula87 constant <strong>DE</strong>LTA : REAL := 0 .0; −− width e ffect on threshold voltage88 −− (MOS2 and MOS3)89 constant THETA : REAL := 0 .0; −− mobility modulation (MOS3 only )90 −− ( in 1/V)91 constant ETA : REAL := 0 .0; −− static feedback (MOS3 only )92 constant KAPPA : REAL := 0 .2; −− saturation f i e l d factor (MOS3 only )93 constant TNOM : REAL := SPICE_TNOM; −− parameter measurement temperature94 −− ( in Kelvin )95 constant MESS : BOOLEAN := TRUE −− Output of notes96 ) return MOSFET_DATA;170


Annexe DChoix du contrôleur et dumicrocontrôleurD.1 Choix du contrôleurLe contrôleur est l’unité centrale du nœud. Il collecte les données des capteurs, les traite et décidequand et où les envoyer. Il reçoit les informations des autres nœuds et décide du comportement del’actionneur. Il doit exécuter plusieurs programmes allant du traitement de signal et protocoles decommunications aux programmes d’applications.Vu la nécessité d’utiliser des composants qui consomment très peu, nous ne pouvons pas nouspermettre d’utiliser un processeur générique comme celui utilisé pour les ordinateurs de bureau.Les microcontrôleurs en revanche, sont connus pour leurs caractéristiques les favorisant pour lesapplications embarquées. Les caractéristiques les plus importantes peuvent être résumées dans lespoints suivants :• Une flexibilité de connexion avec les autres composants comme les capteurs,• Un jeu d’instructions permettant au contrôleur de traiter les données critiques,• Une faible consommation énergétique et une capacité à entrer en veille pour réduire la consommation.Cette capacité varie considérablement entre un microcontrôleur et un autre.• Une mémoire intégrée, ce qui limite les communications avec une mémoire extérieure et doncéconomise de l’énergie.Une deuxième possibilité est l’utilisation des DSPs . Ces processeurs sont spécialement conçuspour traiter une grande quantité de données typiquement pour les applications de traitement designal. Ils sont par conséquent généralement gourmands en énergie.Dans le cas des réseaux de capteurs sans fils, les besoins en communication sans fil est généralementbeaucoup plus modeste et les tâches de traitement du signal liés à la détection des donnéessont très gourmands.Une troisième alternative est l’utilisation des FPGAs (Field programmable Gate Arrays). L’intérêtde l’utilisation d’un FPGA est la possibilité d’une reprogrammation ou une reconfiguration.Seulement, cet avantage est justement pénalisant dans le cas des réseaux de capteurs sans fils vuque cette re-programmation prend du temps et de l’énergie.Une quatrième alternative est l’utilisation des ASIC (Application-Specific Integrated Circuit).c’est un processeur spécifié, dédié à une application bien définie comme les routeurs haute vitesse. Le171


ANNEXE D. CHOIX DU CONTRÔLEUR ET DU MICROCONTRÔLEURcompromis dans ce cas est la perte de flexibilité en faveur d’un gain en énergie et en performance.D’un autre côté, un ASIC coûte plus cher qu’un microcontrôleur classique. En effet, les mêmesfonctionnalités faites habituellement par les parties logicielles du microcontrôleur sont remplacéesdans le cas de l’ASIC par des parties matérielles plus coûteuses. Cette solution ne présente doncd’intérêt que dans le cas d’applications WSN dédiées où le cahier de charges est figé.Par conséquent, nous retenons le microcontrôleur comme le composant responsable du contrôledu nœud.D.2 Choix du microcontrôleurUn microcontrôleur consomme en moyenne 1nJ par instruction, une batterie de volume millimétriquepeut stocker à peu près 1J. Donc pour utiliser une batterie durant une journée d’une façon1continue, le nœud ne doit pas consommer plus que24∗60∗60Ws/s ≈ 11.5µW.Les composants les plus gourmands au sein d’un nœud sont le contrôleur, la partie RF front-end,la mémoire jusqu’à un certain degré et les capteurs pour certaines applications. Afin de réduire laconsommation énergétique de ces composants, la conception des puces à très faible consommation estindispensable. L’observation cruciale qui a guidé les travaux sur l’optimisation de la consommationénergétique des nœuds est le fait que la plupart du temps, le nœud ne fait rien et donc il estintéressant de l’éteindre. Seulement, pour ce faire, il doit être capable de se réveiller par un événementexterne (gestion événementielle) ou dans un temps prédéfini (par simple retardateur). Une méthodeutilisée pour l’optimisation de l’énergie au sein du nœud est la gestion dynamique de la puissance.Cette méthode a fait ses preuves dans la réduction de la consommation énergétique sans pour autantdégrader les performances du nœud. L’idée de base est d’éteindre quelques composants et de lesréveiller quand c’est nécessaire. En général, ce n’est pas trivial : l’énergie et le temps dépensés dansles états de transitions étant importants, un simple algorithme qui ferait entrer le système dansun sommeil profond puis le réveiller ensuite n’est pas envisageable. Dans certains cas, il est plusintéressant de garder le nœud dans un état actif que dans état de veille même d’un point de vueénergétique.172• Une étude faite par Philips [109] démontre que pour une utilisation qui ne requière pas ungrand calcul, le microcontrôleur EM6607 consomme près de 5 µW en mode actif D.1 et moinsde 3 µW en mode inactif comme illustré sur la figure D.2.


ANNEXE D. CHOIX DU CONTRÔLEUR ET DU MICROCONTRÔLEURWFigure D.1 – Comparaison de la consommation en puissance en mode inactif de quelques microcontrôleursexistants sur le marchéMémoire (octets)WFigure D.2 – Comparaison de la consommation en puissance en mode actif de quelques microcontrôleursexistants sur le marché• La famille des microcontrôleurs de Texas Instruments MSP430 est explicitement conçue pourles applications embarquées. Par conséquent, elle contient un core RISC 16-bits avec des fréquencesd’horloge faibles (au maximum 4 MHz), une horloge à temps réel, une grande possibilitéd’interconnexions, un jeu d’instructions capable de gérer des périphériques différents,une RAM de 2 à 10 Kbits et des CAN (Convertisseurs Analogiques Numériques) à 12 bits.Cette famille de microcontrôleurs est donc assez puissante pour répondre aux besoins d’unnœud classique. Le point fort de cette famille de microcontrôleurs est la multitude de modesd’opérations qu’elle offre.– Un mode actif qui consomme 1.2 mW (toutes les valeurs de puissance sont données à1 MHzet 3 V ),– Quatre modes de veilles. Le mode de veille le plus profond nomméLPM4, consomme0,3 µWmais le contrôleur est réveillé seulement par des interruptions externes pour ce mode. Pour lemode LPM3, il consomme seulement 6 µW. Une horloge restant en marche dans ce derniermode peut servir à ordonnancer des réveils.• le microcontrôleur 8 bits Atmel Atmega, conçu pour des applications embarquées et équipéesd’interfaces externes pour des périphérique communs. Le composant Atmel 128L a six modes173


ANNEXE D. CHOIX DU CONTRÔLEUR ET DU MICROCONTRÔLEURde consommations de puissance différentes, similaires aux MSP430. Cette consommation varieentre 6 mW et 15 mW dans les modes actifs et inactifs et est à peu près égales à 75µW pourles modes d’économie d’énergie.• les microcontrôleurs PIC de Microchip intègrent mémoire de programme, mémoire de données,ports d’entrée-sortie (numériques, analogiques, PWM, UART, etc.), et horloge. Des bases detemps externes peuvent aussi être employées. Certains modèles disposent de port et unités detraitement de l’USB. Ils ont une architecture Harvard (mémoire de programme et mémoirede données séparées). Par conséquent, l’exécution d’une instruction ne fait plus appel qu’à unseul cycle machine puisque l’on peut grâce a deux bus rechercher simultanément les donnéeset l’instruction. De plus, ce type de microcontrôleurs est de type RISC c’est à dire que lesinstructions sont codés sur un seul mot. La particularité la plus connue des RISCs est leur jeud’instructions réduit; ils utilisent une structure de type pipeline qui permet d’exécuter uneinstruction tout en recherchant la suivante en mémoire.174


Annexe EVecteur de test utilisé dans lamodélisation globale1>>> VHDL2 library ieee , work ;3 use ieee . energy_systems . all ;4 use ieee . electrical_systems . all ;5 use ieee . mechanical_systems . all ;6 use ieee . fundamental_constants . all ;7 use ieee . std_logic_1164 . all ;8 use WORK.SPICE_PARAMETERS. all ;910 entity ehc is11 generic (1213 cp : REAL := 0.184 e−06; −− capa piezo14 Ip : REAL := 5.0 e−3; −− capa piezo15 L : REAL := 22.0e−3; −− inductance16 C :REAL := 10.0e−03; −− capa du dc−dc17 fp : REAL := 53.8 ; −− coeff218 PER : REAL := 1.0 e−3 −−peridode du PWM19 ) ;2021 end entity ehc ;2223 architecture top of ehc is24 terminal n1 , n2 , n3 , n4 , n5 , n6 , n7 : e l e c t r i c a l ;25 signal alpha_sig : real := REAL’HIGH;26−−IRF150 model27 constant NMOD : MOSFET_DATA := SET_MOSFET_DATA (28 MO<strong>DE</strong>L => NMOS29 , Level => 130 ,Kp => 19.021831 , TOX => 1.0 e−832 , NSUB => 2.5 e+1733 , U0 => 550.0 e−434 , LAMBDA => 0.00076166935 , rd => 0.0022410336 , rs => 0.021659737 , ISS => 1.0 e−3238 , PB => 0.725639 , CJ => 1.0 e−3175


ANNEXE E. VECTEUR <strong>DE</strong> TEST UTILISÉ DANS LA MODÉLISATION GLOBALE40 , MJ => 0.31541 , CJSW => 4.054 e−1042 −−, CJSW => 1.51e−943 , MJSW => 0.231344 , CBD => 1.0 e−1545 , CGDO => 4.96221 e−746 , CGSO => 3.57784 e−547 ) ;48−−D1N400749 constant DotModelDiode1 : DIO<strong>DE</strong>_DATA := SET_DIO<strong>DE</strong>_DATA (50 ISS => 2.01865 e−951 , RS => 0.1159252 , N => 1.553 , TT => 1.0 e−754 , CJO => 3.28974 e−955 , BV => 100.056 , VJ => 4.3938757 , TNOM => 300.1558 ,XTI => 3.059 ,Eg => 1.060 ,M => 0.65973461 ,Fc => 0.562 ) ;63 begin6465 ipiezo : entity work . i sine ( bce )66 generic map (6768 AMPL => Ip ,69 FREQ => fp70 )71 port map (72 tp => n1 ,73 tm => n274 ) ;75 Cpiezo : entity work . simple_capacitor ( behav )76 generic map(77 c => cp )78 port map(79 n1 => n1 ,80 n2 => n281 ) ;82 D1: entity work . diode ( spice )83 generic map ( MDATA => DotModelDiode184 , AREA => 1.085 , TEMP => 300.1586 )87 port map(88 a => n1 ,89 c => n390 ) ;91 D2: entity work . diode ( spice )92 generic map ( MDATA => DotModelDiode193 , AREA => 1.094 , TEMP => 300.1595 )96 port map(97 a => ground ,98 c => n1) ;176


ANNEXE E. VECTEUR <strong>DE</strong> TEST UTILISÉ DANS LA MODÉLISATION GLOBALE99 D3: entity work . diode ( spice )100 generic map ( MDATA => DotModelDiode1101 , AREA => 1.0102 , TEMP => 300.15103 )104 port map(105 a => ground ,106 c => n2) ;107 D4: entity work . diode ( spice )108 generic map ( MDATA => DotModelDiode1109 , AREA => 1.0110 , TEMP => 300.15111 )112 port map(113 a => n2 ,114 c => n3115 ) ;116 Crect : entity work . simple_capacitor ( behav )117 generic map(118 c => 10.0 e−06)119 port map(120 n1 => n3 ,121 n2 => ground122 ) ;123124 M1: entity WORK.MOSFET(SPICE)125126 generic map ( MDATA => NMOD127 , L => 100.0 e−06128 , W => 100.0 e−06129 )130131 port map ( nd => n3 ,132 ng => n6 ,133 ns => n4 ,134 nb => ground ) ;135 alpha_calcul : entity WORK. alpha_calculation (bhv)136137 generic map ( L=> L,138 Cp => Cp,139 fp=> fp ,140 PER => PER,141 discontinu => TRUE,142 Vb => 3.76 ,143 Ip => Ip144145 )146 port map ( alpha => alpha_sig ) ;147148 Vpulse : entity WORK.VPULSE(SPICE)149150 generic map ( V1 => 0.0 ,151 V2 => 5.0 ,152 TD => 0.0 ,153 TR => 5.0 e−6,154 TF => 5.0 e−6,155 PER => PER,156 L => L,157 Cp => Cp,177


ANNEXE E. VECTEUR <strong>DE</strong> TEST UTILISÉ DANS LA MODÉLISATION GLOBALE158 fp => fp )159 port map ( P => n6 ,160 N => ground ,161 alpha => alpha_sig ) ;162163 L1 : entity work . inductor_simple ( spice )164 generic map( L => L)165166167 port map (168 P=>n4 ,169 N=>n5170 ) ;171 D5: entity work . diode ( spice )172 generic map ( MDATA => DotModelDiode1173 , AREA => 1.0174 , TEMP => 300.15175 )176 port map(177 a => ground ,178 c => n4179 ) ;180 C_dc : entity work . simple_capacitor ( behav )181 generic map(182 c => C)183 port map(184 n1 => n5 ,185 n2 => ground186 ) ;187188 bat : entity work . bat ( struct )189 generic map (V_SOC_INIT => 0.5)190 port map (191 PLUS => n7 ,192 MINUS =>ground193 ) ;194195 R1: entity work . resistor_simple ( behav )196 generic map(197 R => 100.0)198 port map(199 n1 => n5 ,200 n2 => n7201 ) ;202203204205 end architecture top ;178


Annexe FModélisation globale avec Verilog-AMSDans cette partie, nous présentons les résultats de simulation du modèle global de récupérationd’énergie avec un microgénérateur piézoélectrique, un multiplieur de tension de six étages et unecapacité.Le modèle du microgénérateur est écrit en Verilog-A puis simulé ainsi que le multiplieur detension sous cadence. Les simulations ont été effectué en utilisant le simulateur Spectre de cadence.La fréquence de résonance obtenu suite à une analyse AC est 1500Hz pour une structure ayant unegrande masse (Bm = Lm = 800µm et Hm = 467µm)Dans la figure F.1 est reporté la tension de sortie sur le microgénérateur .ain=1gain=0.5gFigure F.1 – Résultats de simulations de la tension délivrée par le microgénérateur avec deuxaccélérations différentesDans la Figure F.2, est reportée la tension de sortie sur la capacité de 400pF.Cette validation a deux raisons :179


ANNEXE F. MODÉLISATION GLOBALE AVEC VERILOG-AMSain=1gain=0.5gFigure F.2 – Tension de sortie sur une capacité de 400 pF avec une accélération de 1 g et defréquence 1500Hz• La première raison a été de valider le modèle avec le dessin de masques du multiplieur detension déjà testé et validé sous cadence conformément aux règles technologiques du dessin demasques.• La deuxième raison a été de montrer que la méthodologie qui a été proposée dans cette thèse etqui est essentiellement basée sur l’utilsation de modèles dans différents niveaux d’abstractionest extensible à d’autres langages que VHDL-AMS et d’autres outils que SMASH.180


Bibliographie[1] K.Delaney. Augmented Materials and Smart Objects : Building Ambient IntelligenceThrough Microsystems Technology. Springer Verlag, 2008.[2] T.E. Starner. Powerful change part 1 : batteries and possible alternatives for the mobilemarket. IEEE Pervasive computing, 2(4) :86–88, 2003.[3] P.J.Ashenden, G.D.Peterson, and D.A.Teegarden. The System Designer’s Guide toVHDL-AMS : Analog, Mixed-Signal and Mixed-Technology Modeling. Morgan Kaufmann,2003.[4] Principes de la simulation électrique. http://www.comelec.enst.fr/oceane/doc.[5] J.M.Gilbert and F.Balouchi. Comparison of energy harvesting systems for wireless sensornetworks. International Journal of Automation and Computing, 5(4) :334–347, 2008.[6] C.Sarrazin. Piles électriques : Présentation générale. Techniques de l’ingénieur. Génieélectrique, page D 3320, 2001.[7] D.N.Rakhmatov and S.B.K. Vrudhula. An analytical high-level battery model for usein energy management of portable electronic systems. Computer Aided Design-ICCAD.IEEE/ACM International Conference on, pages 488–493, 2001.[8] K.Lahiri, A.Raghunathan, S.Dey, and D.Panigrahi. Battery-driven system design : anew frontier in low power design. In Design Automation Conference, 7th Asia and SouthPacific and the 15th International Conference on VLSI Design. Proceedings., pages 261–267,2002.[9] R.Rao, S.Vrudhula, and D.NRakhmatov. Battery modeling for energy aware systemdesign. Computer, 36(12) :77–87, 2003.[10] L.Cloth, M.R.Jongerden, and B.R.Haverkort. Computing battery lifetime distributions.In Dependable Systems and Networks (DSN’07). 37th Annual IEEE/IFIP InternationalConference on, pages 780–789, 2007.[11] HL.Chan. A new battery model for use with battery energy storage systems andelectricvehicles power systems. In IEEE Power Engineering Society Winter Meeting, volume 1, 2000.[12] S.C.Hageman and al. Simple PSpice models let you simulate common battery types. Edn-Boston then Denver, 38 :117–117, 1993.[13] M.Chen and GA.Rincon-Mora. Accurate electrical battery model capable of predictingruntime and IV performance. IEEE Transaction on Energy Conversion, 21(2) :504–511, 2006.[14] Y. Ammar. Conception de systèmes de gestion d’énergie pour microsystèmes autonomes.Rapport de thèse, UJF, 2007.[15] B.Boutouyrie. Alimentations continues stabilisées. Techniques de l’ingénieur. Electronique,1(E 380) :380–380, 2002.181


BIBLIOGRAPHIE[16] H.Foch. Alimentations à découpage : Convertisseurs continu-continu non isolés. Techniquesde l’ingénieur. Génie électrique, page D 3163, 1990.[17] T.Tanzawa and T.Tanaka. A dynamic analysis of the Dickson charge pump circuit. IEEEJournal of solid-state circuits, 32(8) :1231–1240, 1997.[18] A. Zenati. Modélisation et simulation de microsystèmes multi-domaines à signaux mixtes :vers le prototypage virtuel d’un microsystème autonome. Rapport de thèse, UJF, 2007.[19] Y.Hervé. Extension AMS du langage VHDL pour l’électronique de puissance. Techniquesde l’ingénieur, page Dossier D3067, 2005.[20] M. Marzencki. Conception de microgénérateurs intégrés pour systèmes sur puce autonomes.Rapport de thèse, UJF, 2007.[21] L.Schwiebert, S.K.SGupta, and J.Weinmann. Research challenges in wireless networksof biomedical sensors. In Proceedings of the 7th annual international conference on Mobilecomputing and networking, pages 151–165. ACM New York, USA, 2001.[22] D. Ganesan, D. Estrin, and J. Heidemann. Dimensions : why do we need a new data handlingarchitecture for sensor networks ? ACM SIGCOMM Computer Communication Review,33(1) :143–148, 2003.[23] Vibes project website. http://vibes.ecs.soton.ac.uk/publications.html.[24] Datasheet of Ultra-low power microcontroller with 4 high drive outputs. EM Microelectronics- Marin SA, 2006.[25] S. Cao, Z.Z JI, and M.Z Hu. An image Sensor Node for Wireless Sensor Networks. ITCC,2 :740–745, 2005.[26] F.Pecheux, C.Lallement, and A.Vachoux. VHDL-AMS and Verilog-AMS as alternativehardware description languages for efficient modeling of multidiscipline systems. IEEEtransactions on Computer-Aided design of integrated Circuits and Systems, 24(2) :204–225,2005.[27] J.C.Hamon. Méthodes et outils de la conception amont pour les systèmes et les microsystèmes.Rapport de thèse, Institut National Polytechnique de Toulouse, 2005.[28] D. Guihal. Modélisation en langage VHDL-AMS de systèmes pluridisciplinaires. Rapportde thèse, Université de Toulouse III, 2007.[29] DD.Gajski and RH.Kuhn. New VLSI tools. Computer, 16(12) :11–14, 1983.[30] T.Cambois and F.Drapeau. Développement des solveurs à pas variables en c pour la simulationcompilée autonome de modèles simulink. In Journée française Club utilisateur Matalab-Simulink, 2002.[31] Pspice slps interface version 2.5, user’s guide. http://cybernet.co.jp/slps.[32] J.H.Su, J.J.Chen, and D.S.Wu. Learning feedback controller design of switching convertersvia Matlab/Simulink. IEEE Transactions on Education, 45(4) :307–315, 2002.[33] M.Rivoire and J.L.Ferrier. Matlab, Simulink, Stateflow : avec des exercices d’automatiquerésolus. Editions Technip, 2001.[34] Mathworks technical solutions. http://mathworks.com/support/solutions/.[35] Le guide d’utilisateur de slps "spice slps interface users guide".http://cybernet.co.jp/slps/files/SLPSV26_UsersGuide.pdf.[36] D.Gibson and C.Purdy. Extracting behavioral data from physical descriptions of MEMSfor simulation. The Journal of VLSI Signal Processing, 22(2) :135–146, 1999.182


BIBLIOGRAPHIE[37] S.Snaidero. Modélisation mutidisciplinaire VHDL-AMS de systèmes complexes : vers leprototypge Virtuel. Rapport de thèse, Université Louis Pasteur Strasbourg, 2004.[38] The systemc study group. http://www.systemc.org/.[39] The systemc-ams study group. http://www.systemc-ams.org/.[40] A.Vachoux, C.Grimm, and K.Einwich. Extending SystemC to support mixed discretecontinuoussystem modeling and simulation. In IEEE International Symposium on Circuitsand Systems (ISCAS), pages 5166–5169, 2005.[41] Saber de synopsys. http://synopsys.com/saber.[42] Y.Hervé. VHDL-AMS : Un atout pour la conception système. Colloque Traitement Analogiquede l’Information du Signal et ses Applications, Paris, 2002.[43] Y.Hervé. VHDL-AMS : Applications et enjeux industriels. Paris : Dunod, 2002.[44] J.Willis and J.Johnson. Language design requirements for VHDL-RF/MW TM. In 2002IEEE MTT-S International Microwave Symposium Digest, volume 3, 2002.[45] P.V.Nikitin, V.Jandhyala, D.White, N.Champagne, J.D.Rockway, and al. Modelingand simulation of circuit-electromagnetic effects in electronic design flow. In Proceedings ofthe 5th International Symposium on Quality Electronic Design, pages 244–249, 2004.[46] M.Schlegel, F.Bennini, J.E.Mehner, G.Herrmann, D.Müller, and W.Dotzel. Analyzingand simulation of MEMS in VHDL-AMS based on reduced-order FE models. IEEESensors Journal, 5(5) :1019–1026, 2005.[47] M.Schlegel, G.Herrmann, and D.Müller. A system level model in VHDL-AMS for amicromechanic vibration sensor array. In IEEE International Conference on Sensors, pages0–7803, 2002.[48] SMASH Reference Manual 5.12.0. Dolphin Integration, Meylan Cedex, France, 2008.[49] S.Guessab and J.Oudinot. Modeling of a piezoelectric device with shocks management usingVHDL-AMS. In Proceedings of the IEEE International Behavioral Modeling and SimulationConference - BMAS, pages 19–24, 2004.[50] SMASH. Smash user Guide 5.12.0, 2008.[51] Advancems de mentor graphics. http://mentor.com/products/fv/advance_ms/.[52] Systemvision de mentor graphics. http://mentor.com/systemvision.[53] Présentation du logiciel simplorer. http://www.ansoft.com/products/em/simplorer/.[54] G.K.Fedder. Issues in MEMS macromodeling. In Proceedings of the IEEE InternationalBehavioral Modeling and Simulation Conference - BMAS, pages 64–69, 2003.[55] S. Roundy. Energy Scavenging for Wireless Sensor Nodes with a Focus on Vibration toElectricity Conversion. Rapport de thèse, The university of California, Berkley, 2003.[56] P.D.Mitcheson, T.C.Green, E.M.Yeatman, and A.S.Holmes. Architectures for vibrationdrivenmicropower generators. Microelectromechanical Systems, Journal of, 13(3) :429–440,2004.[57] J.A.Paradiso and T.Starner. Energy scavenging for mobile and wireless electronics. IEEEPervasive Computing, pages 18–27, 2005.[58] A.S.Holmes, G.Hong, K.Pullen, and K.Buffard. Axial-flow microturbine with electromagneticgenerator : design, CFD simulation and prototype demonstration. In Micro ElectroMechanical Systems, 2004. 17th IEEE International Conference on.(MEMS), pages 568–571,2004.183


BIBLIOGRAPHIE[59] H.Karl and A.Willig. Protocols and architectures for wireless sensor networks. John Wiley& Sons Inc, 2005.[60] L. Matteu. Energy harvesting from passive human power. Rapport de thèse, PolytechnicalUniversity of Catalonia, Spain, 2004.[61] M.Stordeur and I.Stark. Low power thermoelectric generator-self-sufficient energy supplyfor micro systems. Thermoelectrics, 1997. Proceedings ICT ’97. XVI International Conferenceon, pages 575–577, 1997.[62] A.Meitzler, Tiersten.HF, Warner.AW, D.Berlincourt, and G.Couqin. IEEE Standardon Piezoelectricity. IEEE, New York, 1988.[63] S.Berger. Capteurs Autonomes : la rupture énergétique. In Cinquième colloque capteurs,Faculté des sciences de Bourges, 2006.[64] Perpetuum ltd. http://perpetuum.co.uk.[65] P.Glynne-Jones, M.J.Tudor, S.P.Beeby, and N.M.White. An electromagnetic, vibrationpoweredgenerator for intelligent sensor systems. Sensors & Actuators : A. Physical, 110(1-3) :344–349, 2004.[66] J.M.H.Lee, S.C.LYuen, W.J.Li, and P.H.W.Leong. Development of an AA size energytransducer with micro resonators. In Circuits and Systems, 2003. ISCAS’03. Proceedings ofthe 2003 International Symposium on, volume 4, 2003.[67] G.Despesse. Etude des phénomènes physiques utilisables pour alimenter en énergie électriquedes microsystèmes communicants. Rapport de thèse, INPG, 2005.[68] T.Sterken, P.Fiorini, K.Baert, R.Puers, and G.Borghs. An electret-based electrostatic/splmu/-generator. In Transducers, Solid-State Sensors, Actuators and Microsystems,volume 2, 2003.[69] F.Peano and T.Tambosso. Design and optimization of a MEMS electret-based capacitiveenergy scavenger. Microelectromechanical Systems, Journal of, 14(3) :429–435, 2005.[70] S. Roundy, P.K. Wright, and J. Rabaey. A study of low level vibrations as a powersource for wireless sensor nodes. Computer communications, 26 :1131–1144, 2002.[71] C.B. Williams and R.B. Yates. Analysis Of A Micro-electric Generator For Microsystems.Solid-State Sensors and Actuators, 1995 and Eurosensors IX. Transducers ’95. The 8thInternational Conference, 1 :369–372, Jun. 1995.[72] N.E. Dutoit, B.L.Wardle, and S.G.Kim. Analysis Of A Micro-electric Generator For Microsystems.Integrated Ferroelectrics, 71 :121 – 160, 2005.[73] E.Lefeuvre, A.Badel, C.Richard, L.Petit, and D.Guyomar. A comparison betweenseveral vibration-powered piezoelectric generators for standalone systems. Sensors and Actuators,126 :405–416, 2006.[74] G.K. Ottman, H.F Hofmann, and A.C.Lesieutre. Adaptive piezoelectric energy harvestingcircuit for wireless remote power supply. Power Electronics, IEEE Transactions on,17 :669–676, 2002.[75] P.Muralt, A.Kholkin, M.Kohli, and T.Maeder. Piezoelectric actuation of PZT thin-filmdiaphragms at static and resonant conditions. Sensors & Actuators : A. Physical, 53(1-3) :398–404, 1996.[76] G.Friedrich E.Khun, C.Forgez. Modèle de batterie NiMH pour véhicule hybride parallèle :validation en grands signaux . Revue Internationale de Génie électrique, 1295 :351–365, 2003.184


BIBLIOGRAPHIE[77] F.Belhachemi and B.Davat. Modélisation et caractérisation des supercondensateurs àcouche double électrique utilisés en électronique de puissance. Institut Nationale Polytechniquede Lorraine, 2001.[78] R.C.Cope and Y.Podrazhansky. The art of battery charging. Battery Conference onApplications and Advances. The Fourteenth Annual, pages 233–235, 1999.[79] G.Caillon. Accumulateurs portables. Techniques de l’ingénieur, électronique, 2(E2140) :2140–2140, 2001.[80] R.C.Cope, Y.Podrazhansky, A.C.Technol, and G.A.Norcross. The art of battery charging.In Battery Conference on Applications and Advances, 1999. The Fourteenth Annual,pages 233–235, 1999.[81] J.R.Farmer. A comparison of power harvesting techniques and related energy storage issues.Master of science report, Virginia Polytechnic Institute and State University, 2007.[82] M.Doyle, J.Newman, and T.FFuller. Modeling of galvanostatic charge and discharge ofthe lithium/polymer/insertion cell. Journal of the Electrochemical Society, 140 :1526, 1993.[83] M.Doyle and J.Newman. The use of mathematical modeling in the design of lithium/polymerbattery systems. Electrochimica Acta, 40(13-14) :2191–2196, 1995.[84] Fotran programs for the simulation of electronic systems.http://cchem.berkeley.edu/jsngrp/fortran.html.[85] MR.Jongerden and B.Haverkort. Which battery model to use? 24th UK PerformanceEngineering Workshop, London, UK, pages 76–88, 2008.[86] C.F.Chiasserini and R.Rao. Energy efficient battery management. IEEE Journal on SelectedAreas in Communications, 19(7) :1235–1245, 2001.[87] D.Panigrahi, C.Chiasserini, S.Dey, R.Rao, A.Raghunathan, and K.Lahiri. Batterylife estimation of mobile embedded systems. In Proc. Int. Conf. VLSI Design, pages 55–63,2001.[88] J.F.Manwell and J.G.McGowan. Extension of the kinetic battery model for wind/hybridpower systems. In Proceedings of EWEC, pages 284–289, 1994.[89] M.R.Jongerden and B.Haverkort. Battery Modeling. Centre for Telematics and InformationTechnology, University of Twente, 2008.[90] R.Rao, A.Kumar G.Singhal and, Kumar, and N.Navet. Battery model for embeddedsystems. In Proceedings of International Conference on VLSI Design, pages 105–110, 2005.[91] J.P.Cun, J.NFiorina, M.Fraisse, and H.Mabboux. The experience of a ups company in advancedbattery monitoring. In Telecommunications Energy Conference, 1996. INTELEC’96.,18th International, pages 646–653, 1996.[92] S.Gold. A PSPICE macromodel for lithium-ion batteries. In Battery Conference on Applicationsand Advances, 1997., Twelfth Annual, pages 215–222, 1997.[93] L.Benini, G.Castelli, A.Macii, E.Macii, M.Poncino, and R.Scarsi. Discrete-time batterymodels for system-level low-power design. IEEE Transactions on Very Large Scale Integration(VLSI) Systems, 9(5) :630–640, 2001.[94] L.Benini, G.Castelli, A.Macii, and R.Scarsi. Battery-driven dynamic power management.IEEE Design & Test of Computers, 18(2) :53–60, 2001.[95] 850-mAh TCL PL-383562 polymer Li-ion battery datasheet. http://www.lionik.com/.[96] K.S.Kundert and O.Zinke. The designer’s guide to Verilog-AMS. Springer, 2004.185


BIBLIOGRAPHIE[97] Vda fat-ak30 working group. fat-ak30.eas.iis.fraunhofer.de.[98] T.Quarles, A.R.Newton, D.O.Pederson, and A.S.Vincentelli. SPICE3F User’s Manual.Berkeley, University of California, 1993.[99] F.Assaderaghi, D.Sinitsky, S.Parke, and J.Bokor. A dynamic threshold voltage MOS-FET (DTMOS) for ultra-low voltage operation. In Electron Devices Meeting, 1994. IEDM’94.Technical Digest., International, pages 809–812, 1994.[100] S.Jemmali. Contribution a l’elaboration de methodologies et d’outils d’aide a la conceptionde systemes multi-technologiques. Rapport de thèse, Ecole nationale supérieure des télécommunicationsde Paris, 2003.[101] Southampton vhdl-ams validation suite. http://www.syssim.ecs.soton.ac.uk/.[102] G.K. Ottman, H.F Hofmann, and A.C.Lesieutre. Optimized piezoelectric energy harvestingcircuit using step-down converter in discontinuous conduction mode. IEEE Transactionson Power Electronics, 18(2) :696–703, 2003.[103] L.Pylarinos. Charge pumps : An overview. In Proceedings of the IEEE International Symposiumon Circuits and Systems, 2003.[104] J.F.Dickson. On-chip high-voltage generation in MNOS integrated circuits using an improvedvoltage multiplier technique. IEEE Journal of solid-state circuits, 11(3) :374–378, 1976.[105] H.Yan, J.M.Montero, A.Akhnoukh, and al. An integration scheme for RF power harvesting.In Proc. STW Annual Workshop on Semiconductor Advances for Future Electronics andSensors, pages 17–18, 2005.[106] J.D.Techer. Conception d’un stimulateur implantable dédié à la stimulation électrique fonctionnelle. Rapport de thèse, Université Montpellier II, 2005.[107] S. Dearborn. Charging Li-ion batteries for maximum run times. Power Electron. Technol.Mag, pages 40–49, 2005.[108] B.Schweighofer, KM.Raab, and G.Brasseur. Modeling of high power automotive batteriesby the use of an automated test system. IEEE Transactions on Instrumentation andMeasurement, 52(4) :1087–1091, 2003.[109] M. Ouwerkerk. Invited Presentation : A modular application development platform forminiature wireless sensors. Berkley Wireless Reserch Center group Winter 2006 Retreat Presentations,2006.[110] B.Baha. Analysis and control of a cross-regulated multi-output forward quasi-resonantconverter. IEEE Proceedings-Circuits, Devices and Systems, 146 :255, 1999.[111] C.Mariano. VHDL-AMS Modelling and System Verification Flow for Mixed-Signal Systemon Chip. In FDL’05, 2005.[112] G.Kron. Numerical solution of ordinary and partial differential equations by means of equivalentcircuits. Journal of Applied Physics, 16 :172, 1945.[113] G.Zorpette. Super charged [ultracapacitors]. Spectrum, IEEE, 42(1) :32–37, Jan. 2005.[114] H.J.Bergveld, W.S.Kruijt, and P.H.LNotten. Battery management systems : design bymodelling. Kluwer Academic Publishers, 2002.[115] J.Beutel. Metrics for sensor network platforms. ACM RealWSN, 2006.[116] M.S.Halper and J.C.Ellenbogen. Supercapacitor : a brief overview, 2006.[117] P.V.Nikitin and C.J.R.Shi. VHDL-AMS based modeling and simulation of mixed-technologymicrosystems : a tutorial. INTEGRATION, the VLSI journal, 40(3) :261–273, 2007.186


BIBLIOGRAPHIE[118] R.Hahn and H.Reichl. Batteries and power supplies for wearable and ubiquitous computing.Wearable Computers. Digest of Papers. The Third International Symposium on, pages 168–169, 1999.[119] T.E.Starner. Powerful change part 1 : batteries and possible alternatives for the mobilemarket. Pervasive Computing, IEEE, 2(4) :86–88, 2003.[120] W.R.Zimmerman. Time domain solutions to partial differential equations using SPICE.IEEE Transactions on Education, 39(4) :563–573, 1996.[121] Btnode rev3 product brief. http://btnode.ethz.ch/Main/Features.[122] Telosb datasheet. http://xbow.com/Products/.187


Modélisation multi-physiques et simulation globale de systèmes autonomes sur puceRésumé : Ce mémoire porte sur la modélisation et la simulation globale d'un microsystèmeautonome. L'objectif est de proposer une méthodologie de modélisation multi-niveaux et multiphysiques.Nous considérons que ce travail se place à la frontière entre la modélisationcomportementale et la modélisation physique. Pour atteindre cet objectif, nous avons fourni unebibliothèque de modèles implémentés en VHDL-AMS pour différents blocs d'un nœud de réseauxde capteurs sans fils. Ces blocs appartiennent à différents domaines de la physique. Le premierbloc est un microgénérateur piézoélectrique qui récupère de l'énergie mécanique et la convertiten énergie électrique pour alimenter le reste du système. Ce bloc a été modélisé à différentsniveaux d'abstraction. Une analyse statistique a été effectuée pour prédire l'impact desfluctuations de procédé de fabrication sur les performances de la structure. Le deuxième bloc estun système de stockage d'énergie. Un modèle électrique d'une batterie Li-Ion implémenté enVHDL-AMS a été fourni et validé sous différents profils de charge et de décharge et pour destempératures différentes. Une bibliothèque de modèles VHDL-AMS et SPICE a été fournie pourle bloc de gestion d'énergie. Certains de ces modèles sont valables pour des systèmes de taillecentimétrique tandis que d'autres sont plus adaptés aux microsystèmes. Deux niveauxd'abstraction pour ce bloc purement électrique ont été considérés : un niveau indépendant de latechnologie et un autre relié à la technologie CMOS 130 nm de STMicroelectronics. Dans ledernier chapitre, des simulations globales ayant pour but de donner un aperçu des possibilitésoffertes par cette méthodologie ont été présentées. Par ailleurs, des comparaisons avec desrésultats expérimentaux, ont été proposées tout au long de ce manuscrit.Mots-Cles : Microsystèmes, système sur puce, système autonome, récupération de l'énergie,modélisation, simulation, signaux mixtes, VHDL-AMS, batteries, microgénérateur piézoélectriqueMO<strong>DE</strong>LLING AND GLOBAL SIMULATION OF SELF POWERED MICROSYSTEMSAbstract : This report deals with the modeling and the global simulation of an autonomousmicrosystem. The aim was to propose a multi-level and multi-physics modeling methodology. Weconsider that this works takes place at the boundary between behavioral and physical modeling.To reach this goal, we have provided a library of VHDL-AMS implemented models for differentblocks of a Wireless Sensor Network. These blocks belong to different domains of physics. Thefirst block is a piezoelectric microgenerator which harvests mechanical energy and converts it intoelectrical energy to supply the other parts of the system. This block was modeled within differentabstraction levels. A statistical analysis was performed to predict the impact of the processfluctuations on the structure performances. The second block is an energy storage system. Anelectrical model of a Li-Ion battery implemented in VHDL-AMS has been provided and simulatedwithin different charge and discharge profiles and for different temperatures. A library of VHDL-AMS and SPICE models had been provided for the energy management block. Some of thesemodels are valid for centimetric size systems, while others are more adapted to microsystems.Tow abstraction levels for this pure electrical block were considered : a technology independentlevel and a level related to the CMOS 130nm ST Microelectronics technology. In the last chapter,global simulations aiming to give an overview of the possibilities provided by this methodologywere presented. In addition, comparisons with experimental results were proposed throughoutthis report.Keywords : MEMS, system on chip, autonomous system, energy harvesting,modelling, simulation, mixed-signal, VHDL-AMS, batteries, piezoelectricgeneratorISBN : 978-2-84813-150-4<strong>Laboratoire</strong> <strong>TIMA</strong>, 46 avenue Félix Viallet, 38031 Grenoble Cedex, France.<strong>Laboratoire</strong> EµE, Faculté des Sciences de Monastir, rue de l'environnement, 5019, Monastir, Tunisie.

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!