12.07.2015 Views

Defect oriented testing in advanced CMOS

Defect oriented testing in advanced CMOS

Defect oriented testing in advanced CMOS

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

<strong>Defect</strong> Oriented Test<strong>in</strong>gVictor ChampacNational Institute for Astrophysics,Optics and Electronics-Mexicochampac@<strong>in</strong>aoep.mx


National Institute for Astrophysics,Optics and ElectronicsNational Council for Science and TechnologyCONACY-MexicoLocated <strong>in</strong> Puebla, MexicoOriented to research and post-graduate programs


Design and test group,research areas <strong>Defect</strong> model<strong>in</strong>g Development of new test strategies Signal <strong>in</strong>tegrity: model<strong>in</strong>g and verification Noise tolerant circuit design Process tolerant circuit design


Outl<strong>in</strong>e Introduction Interconnection opens defects modell<strong>in</strong>g Detectability of <strong>in</strong>terconnection opens A test framework for <strong>in</strong>terconnection opens Conclusions


Motivation of the Work• Opens can appear due to the high number ofvias/contacts.• New technologies as cupper makes opens more likelyto occur.• Some opens are difficult to detect.• Special <strong>test<strong>in</strong>g</strong> conditions are required to test opens.• Opens are responsable of test scapes.


Test<strong>in</strong>g schemeTestvectorsCircuit undertestobservationcomparisonAccept/rejectAutomatic test equipmentreference


Quality levelAccepted chips<strong>Defect</strong>-freechipsManufacturedpartsTest<strong>in</strong>g<strong>Defect</strong>ivechipsRejectedchips


Open <strong>Defect</strong>s


Interconnection opens


<strong>Defect</strong> Modell<strong>in</strong>g


Experimental measurementsIDDVDSVM


Experimental measurements1:CV = 3.8fF __ C 23.8fFGNDV=2 : C 3.8fF __ C 3.8fFDDV=GNDV=DD3:C = 23.8fF __ CGNDVDDV=3.8fF


Topology dependenceViVci..VcnVifFault00[V DD -|V TP |, V DD ]SA-101[V DD -|V TP |, V DD ]SA-110[0, V TN ]SA-011[0, V TN ]SA-0 Full controllability Partial controllability Low controllability


Partial controllabilityOne of the best test conditions can not be generatedV i V c = 00, 01, 11 okV i V c = 10not ok


Low controllabilityThe most favorable vector conditions can not be generatedV i V c = 00, 11 okV i V c =01, 10 not ok


Test framework for<strong>in</strong>terconnection opens


GEVEOPFASOPCoverageok?Test setvectors


Problem Def<strong>in</strong>ition000Input0SA-0coupl<strong>in</strong>gl<strong>in</strong>es 0For favour<strong>in</strong>g detection with a stuck-at 0 vector(Vif < VTN), the coupl<strong>in</strong>g signals should be at 1 logic


Problem Def<strong>in</strong>ition111Input1SA-1coupl<strong>in</strong>gl<strong>in</strong>es 1For favour<strong>in</strong>g detection with a stuck-at 1 vector(Vif >VDD-|VTP|), the coupl<strong>in</strong>g signals should be at 1 logic


CircuitDescription(Verilog)Input FilesRelation ofcoupl<strong>in</strong>gsEquivalence ofNodesOPVEGOPVEGStep 1Order<strong>in</strong>g and format of netlistIdentify coupl<strong>in</strong>gs to VDD,GND and between nodesStep 2Coupl<strong>in</strong>gFactorIdentification and Selection ofcritical coupl<strong>in</strong>gs betweennodes victim and aggressorsStep 3Obta<strong>in</strong> favorable testpatterns consider<strong>in</strong>g coupl<strong>in</strong>gsignals


Candidated l<strong>in</strong>es A l<strong>in</strong>e is selected as critical when the ratio of thecoupled capacitance to the to total capacitance ishigher than a certa<strong>in</strong> coupl<strong>in</strong>g factor.CcCT> Coupl<strong>in</strong>g Factor


OPVEG MetricsGenerated Vectors: They are those vectors for which atest vector was generated at least for one constra<strong>in</strong>t(SA-0 or SA-1 ).Vectors 100% Ok: They are those vectors thatobta<strong>in</strong>ed the proper logic level for all the coupledsignals.


ResultsCoupl<strong>in</strong>gFactor20 %60 %100 %CriticalFaults3208832GeneratedVectors2987327Total Faults = 364CompactedVectors2267127Vectors100 % OK2296724C432OPVEGEffectiveness82.8 %79.9 %79.7 %Coupl<strong>in</strong>gFactor20 %60 %100 %CriticalFaults41815848GeneratedVectors38813738Total Faults = 486CompactedVectors2407223Vectors100 % OK31112937C499OPVEGEffectiveness85.5 %83.2 %78.1 %Coupl<strong>in</strong>gFactor20 %60 %100 %CriticalFaults42810420GeneratedVectors4219517Total Faults = 578CompactedVectors3268217Vectors100 % OK3869217C1908OPVEGEffectiveness92.5 %89.9 %85.0 %Coupl<strong>in</strong>gFactor20 %60 %100 %CriticalFaults1454408206GeneratedVectors1318373197Total Faults = 2204CompactedVectors1067310155Vectors100 % OK1130355187C2670OPVEGEffectiveness85.2 %89.6 %93.3 %


C432Coupl<strong>in</strong>gFactor20 %100 %Time ofselection ofNodes (m:s)00:4100:46CPUUsage47 %42 %Time ofATPGStuck-at-0 (m:s)15:4200:36CPUUsage93 %94 %Time ofATPGStuck-at-1 (m:s)10:5100:30CPUUsage95 %94 %ResultsC499Coupl<strong>in</strong>gFactorTime ofselection ofNodes (m:s)CPUUsageTime ofATPGStuck-at-0 (m:s)CPUUsageTime ofATPGStuck-at-1 (m:s)CPUUsage20 %100 %01:4101:2541 %50 %22:3200:5195 %89 %16:5100:4589 %89 %C1908Coupl<strong>in</strong>gFactor20 %100 %Time ofselection ofNodes (m:s)03:1203:00CPUUsage41 %43 %Time ofATPGStuck-at-0 (m:s)11:2800:19CPUUsage93 %86 %Time ofATPGStuck-at-1 (m:s)11:1400:20CPUUsage94 %91 %C2670Coupl<strong>in</strong>gFactor20 %100 %Time ofselection ofNodes (m:s)43:4052:48CPUUsage48 %34 %Time ofATPGStuck-at-0 (m:s)49:1704:22CPUUsage94 %94 %Time ofATPGStuck-at-1 (m:s)46:0404:08CPUUsage94 %93 %


Effectiveness us<strong>in</strong>gconventional ATPG


Identification of criticalcasesNon observable CaseThis case appears when it is not possible to propagate the fault-effectfor favourable test at the coupl<strong>in</strong>g l<strong>in</strong>es.Non Controllable CaseThis case appears when it is not possible simultaneously to sensitizethe fault and to have favourable conditions at the coupl<strong>in</strong>g signals.


Non observable caseX10X20EbC0XEC0g0/1C0XEC0X11X12EbC1X21X22EbC2XEC1gXEC2gSA0C20/1.XEC1XEC2PCgatePC1 / 0..X18X28EbC8XEC8g0 / 1XEC8


Non controllable caseX15XEB[0:4]B5E5Eb5EbB5EbB5g1 0 10SA0XEB5XEB5gXEB[6:8]1PBgatePBC0X25


DFT techniques• Increases separation between l<strong>in</strong>es• Place critical coupl<strong>in</strong>g l<strong>in</strong>es at different metal levels• Insert grounded l<strong>in</strong>es


ConclusionsInterconnection opens have been modelled and analyzedA test framework for <strong>in</strong>terconnection opens has beendeveloped.GEVEOP attempts to generate the most favourable testvector conditions by apply<strong>in</strong>g proper logic levels at thecoupled signalsThe number of considered critical open defective l<strong>in</strong>es can bem<strong>in</strong>imized by select<strong>in</strong>g only those l<strong>in</strong>es with significantcoupl<strong>in</strong>g.FASOP is under development, it evaluates the defect coverageof <strong>in</strong>terconnection opens

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!