13.07.2015 Views

VHDL 프로그래밍 VHDL 래밍

VHDL 프로그래밍 VHDL 래밍

VHDL 프로그래밍 VHDL 래밍

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

실습2-A A : buffer modeABXCY3/8실습2-B B : component의 사용• 실습 1-B와 2-A에서 설계한 simple_logic 엔티티와simple_logic2 엔티티를 동시에 테스트하는tb_simple_logic4.vhd l i 를 설계하고 후 simulation을 을 통하여확인하라.4/8

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!