17.07.2013 Views

Current "control" VHDL Code

Current "control" VHDL Code

Current "control" VHDL Code

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

BEGIN<br />

PORT (d : OUT STD_LOGIC_VECTOR(47 DOWNTO 0) ;<br />

address : IN STD_LOGIC_VECTOR(8 DOWNTO 0)) ;<br />

END COMPONENT ;<br />

SIGNAL upc : STD_LOGIC_VECTOR(8 DOWNTO 0) ;<br />

SIGNAL d : STD_LOGIC_VECTOR(47 DOWNTO 0) ;<br />

SIGNAL brcondition : STD_LOGIC_VECTOR(2 DOWNTO 0) ;<br />

SIGNAL braddress : STD_LOGIC_VECTOR(8 DOWNTO 0) ;<br />

clkd:PROCESS(clk)<br />

BEGIN<br />

IF (clk'EVENT AND clk='1') THEN<br />

IF (reset_l = '0') THEN<br />

upc

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!