IIT BSCPE Self Study 2008 - Illinois Institute of Technology
IIT BSCPE Self Study 2008 - Illinois Institute of Technology
IIT BSCPE Self Study 2008 - Illinois Institute of Technology
You also want an ePaper? Increase the reach of your titles
YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.
ABET<br />
<strong>Self</strong>-<strong>Study</strong> Report<br />
for the<br />
B.S. in Computer Engineering<br />
Program<br />
at<br />
<strong>Illinois</strong> <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong><br />
Chicago, <strong>Illinois</strong><br />
July 1, <strong>2008</strong><br />
CONFIDENTIAL<br />
The information supplied in this <strong>Self</strong>-<strong>Study</strong> Report is for the confidential use <strong>of</strong> ABET and its authorized<br />
agents, and will not be disclosed without authorization <strong>of</strong> the institution concerned, except for summary<br />
data not identifiable to a specific institution.<br />
1
Table <strong>of</strong> Contents<br />
BACKGROUND INFORMATION..............................................................................................................3<br />
CRITERION 1. STUDENTS .......................................................................................................................7<br />
CRITERION 2. PROGRAM EDUCATIONAL OBJECTIVES................................................................11<br />
CRITERION 3. PROGRAM OUTCOMES...............................................................................................15<br />
CRITERION 4. CONTINUOUS IMPROVEMENT .................................................................................21<br />
CRITERION 5. CURRICULUM ...............................................................................................................23<br />
CRITERION 6. FACULTY .......................................................................................................................40<br />
CRITERION 7. FACILITIES ....................................................................................................................50<br />
CRITERION 8. SUPPORT ........................................................................................................................57<br />
CRITERION 9. PROGRAM CRITERIA ..................................................................................................62<br />
APPENDIX A – COURSE SYLLABI........................................................................................................65<br />
APPENDIX B – FACULTY RESUMES..................................................................................................194<br />
APPENDIX C – LABORATORY EQUIPMENT ....................................................................................252<br />
APPENDIX D – INSTITUTIONAL SUMMARY ...................................................................................254<br />
2
<strong>Self</strong>-<strong>Study</strong> Report<br />
BACKGROUND INFORMATION<br />
Computer Engineering<br />
Bachelor <strong>of</strong> Science<br />
<strong>Illinois</strong> <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong><br />
• Contact information<br />
The primary pre-visit contact person is Dr. Mohammad Shahidehpour, Chair <strong>of</strong> the<br />
Department <strong>of</strong> Electrical and Computer Engineering Department (ECE Department).<br />
Dr. Mohammad Shahidehpour<br />
Department <strong>of</strong> Electrical and Computer Engineering<br />
<strong>Illinois</strong> <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong><br />
3301 S. Dearborn St.<br />
Chicago, IL 60616<br />
voice: 1-312-567-5737<br />
fax: 1-312-567-8976<br />
email: ms@iit.edu<br />
• Program History<br />
The Bachelor <strong>of</strong> Science in Computer Engineering program (hereafter referred to as the<br />
<strong>BSCPE</strong> program) at <strong>IIT</strong> was founded in 1993 as a joint effort <strong>of</strong> the Electrical Computer<br />
Engineering (ECE) Department and the Computer Science and Applied Mathematics<br />
(CSAM) Department. The program's first graduates finished their degree programs in<br />
1995 after changing their major to Computer Engineering from other majors (primarily<br />
Electrical Engineering) or entering the program as transfer students.<br />
The basic structure <strong>of</strong> the program has remained fairly constant since it was first<br />
<strong>of</strong>fered, with modest adjustments <strong>of</strong> the curriculum occurring over time. These changes<br />
are described below.<br />
Initially the curriculum required selection <strong>of</strong> either a hardware specialization or a<br />
s<strong>of</strong>tware specialization. The two specializations both required a common core in the<br />
major that included shared elements <strong>of</strong> hardware as well as s<strong>of</strong>tware, but requirements<br />
for additional major courses differed between the specializations. The s<strong>of</strong>tware<br />
specialization mandated a set <strong>of</strong> upper division (400-level) computer science courses,<br />
while the hardware specialization required additional junior-level electrical engineering<br />
science courses. Toward the latter part <strong>of</strong> the 1990s, the distinction between a hardware<br />
and a s<strong>of</strong>tware specialization was eliminated. The previous common core was retained,<br />
3
ut the additional major courses for all students in the program now required a slightly<br />
reduced version <strong>of</strong> the additional junior-level electrical engineering science courses<br />
previously used in the hardware specialization and also an expanded number <strong>of</strong><br />
pr<strong>of</strong>essional electives that enabled students to focus their program in an area <strong>of</strong> interest.<br />
At the same time as this change, the university revised its general education<br />
requirements to include six credits <strong>of</strong> interpr<strong>of</strong>essional projects. These were<br />
incorporated into the <strong>BSCPE</strong> curriculum by eliminating a three credit hour science<br />
elective and reducing the number <strong>of</strong> hours <strong>of</strong> junior level engineering science by three.<br />
The general education requirements also no longer mandated an English composition<br />
course as long as students demonstrated basic writing pr<strong>of</strong>iciency. The total number <strong>of</strong><br />
credits in the program dropped by three (the equivalent <strong>of</strong> one course).<br />
During 2003, the administration <strong>of</strong> the computer engineering program was moved<br />
entirely within the Department <strong>of</strong> Electrical and Computer Engineering, subsequent to<br />
an increase in the number <strong>of</strong> faculty within Electrical and Computer Engineering whose<br />
specializations were in computer engineering areas. At that time, a required course in<br />
computer architecture during the senior year was shifted from CS 470 (Computer<br />
Architecture) to a newly developed course ECE 485 (Computer Organization and<br />
Design). The three credit science elective, eliminated several years prior, was moved<br />
back into the curriculum, with the additional credit hours partially <strong>of</strong>fset by the<br />
consolidation <strong>of</strong> the introduction to the pr<strong>of</strong>essions requirement from two courses<br />
totaling four credits to a single, three-credit course, and by the elimination <strong>of</strong> a onecredit<br />
chemistry lab.<br />
• Options<br />
There are several minors available to include in the BSEE program. The minors are<br />
defined by a set <strong>of</strong> courses that the student completes as part <strong>of</strong> the program. The basic<br />
degree requirements for the BSEE do not change when a student undertakes a minor,<br />
and students do not have to select any minor.<br />
The available minors include three that are associated with Reserve Officer Training<br />
Corps (ROTC) programs: Air Force Aerospace Studies, Military Science, and Naval<br />
Science. Other minors include Energy/Environment/Economics (the E3 program);<br />
Management; and Telecommunications. Specific courses required for each minor are<br />
listed in the 2006-<strong>2008</strong> Bulletin <strong>of</strong> Undergraduate Studies on pp. 136-138.<br />
• Organizational Structure<br />
The <strong>BSCPE</strong> program resides within the ECE Department. The Chair <strong>of</strong> the ECE<br />
Department administers the <strong>BSCPE</strong> program, with the assistance <strong>of</strong> an Associate Chair.<br />
The ECE Department, along with four other engineering departments, resides within<br />
Armour College <strong>of</strong> Engineering, which is administered by a Dean. The Dean <strong>of</strong> Armour<br />
College reports to the Provost, the chief academic <strong>of</strong>ficer for <strong>IIT</strong>.<br />
• Program Delivery Modes<br />
4
All required courses in the <strong>BSCPE</strong> program are <strong>of</strong>fered on the <strong>IIT</strong> Main Campus. Some<br />
senior level ECE pr<strong>of</strong>essional electives are <strong>of</strong>fered at the Rice Campus in west suburban<br />
Wheaton, with these available on the <strong>IIT</strong> Main Campus via two-way audio/video<br />
conferencing facilities. Senior level ECE courses are <strong>of</strong>fered during the day or evening.<br />
Sophomore and junior level ECE courses are <strong>of</strong>fered during the day at least once per<br />
academic year, and they are <strong>of</strong>fered in the day or evening in a second <strong>of</strong>fering during<br />
the academic year. Though courses can be available during evenings, weekends, or via<br />
distance learning, the program is delivered as a traditional lecture/laboratory <strong>of</strong>fering<br />
during days.<br />
Like other engineering programs at <strong>IIT</strong>, the <strong>BSCPE</strong> program is available with a co-op<br />
option. Students can work from three to seven work periods with time for degree<br />
completion ranging from four to six years, depending on the number <strong>of</strong> work periods.<br />
Co-op work terms are not used to satisfy any academic requirements for the degree.<br />
• Deficiencies, Weaknesses or Concerns Documented in the Final Report from the<br />
Previous Evaluation(s) and the Actions taken to Address them<br />
In the last general evaluation, which took place in 2002, weaknesses were noted in<br />
regard to the objectives and outcomes assessment processes <strong>of</strong> Criteria 2 and 3. At the<br />
time <strong>of</strong> the 2002 general evaluation, assessment processes that evaluated the program<br />
objectives and program outcomes were in place, and results had been collected and<br />
analyzed. However, it was noted that the results had not yet been used to improve the<br />
program. The <strong>BSCPE</strong> Program continued to operate its assessment processes and to<br />
feed the results back into program improvements. The results <strong>of</strong> these activities were<br />
reported in the interim review, which took place in 2005. The weakness in the outcomes<br />
assessment process was deemed to be resolved in that review, but the objectives<br />
assessment process remained as a concern. The review noted that the objectives<br />
assessment process needed to be continuously applied to address all program areas<br />
requiring improvement. Since the interim review, the cycles <strong>of</strong> assessment and feedback<br />
have continued.<br />
In the last general evaluation a concern regarding Criterion 1 was expressed that faculty<br />
members have a large advising load that adversely affects the student advising. Between<br />
the time <strong>of</strong> the general evaluation (2002) and the interim review (2005), new faculty<br />
hires had increased the number <strong>of</strong> faculty committed to the program. The number <strong>of</strong><br />
students in the program has also decreased. The interim review concluded that this<br />
concern had been resolved. Student numbers in the program have also further decreased<br />
since the interim review.<br />
The last general evaluation also noted a concern regarding coordination between the<br />
Department <strong>of</strong> Electrical and Computer Engineering and the Department <strong>of</strong> Computer<br />
Science regarding the program’s outcomes and assessment (Criterion 3), and also<br />
regarding strategies for faculty hires. At that time, the <strong>BSCPE</strong> program was a joint<br />
<strong>of</strong>fering <strong>of</strong> the two departments. This concern was resolved in by the due process<br />
response, which noted an extensive plan for the coordination <strong>of</strong> the two departments.<br />
Furthermore, the <strong>BSCPE</strong> program now is <strong>of</strong>fered solely by the Department <strong>of</strong> Electrical<br />
and Computer Engineering so that the program faculty is now entirely within one<br />
department, obviated any need for cross-departmental coordination.<br />
5
The last general evaluation also noted a concern regarding Criterion 5 (Faculty) and<br />
Criterion 7 (Institutional Support and Financial Resources) in that additional faculty,<br />
space, and support services would be required as the program continued to grow. This<br />
concern was resolved during the due process response given additional faculty hires and<br />
institutional approval to improve laboratory space and equipment. The faculty has<br />
grown again since then, and further improvements and additions to lab space and<br />
equipment have been made. Also, the number <strong>of</strong> students in the program has decreased<br />
somewhat since that time.<br />
6
CRITERION 1. STUDENTS<br />
• Student Admissions<br />
As with other engineering majors at <strong>IIT</strong>, students may be admitted directly into<br />
electrical engineering or into “undeclared engineering.” Admission decisions are based<br />
on academic performance, standardized test scores, teacher/counselor recommendations<br />
and evidence <strong>of</strong> promise to succeed, which includes co-curricular activities, interests<br />
and hobbies, and personal maturity.<br />
Students must have attended an accredited high school (although we do accept home<br />
schooled students) and have completed a minimum <strong>of</strong> 16 units <strong>of</strong> high school work and<br />
a minimum <strong>of</strong> 3 ½ units <strong>of</strong> mathematics that must include 2 units <strong>of</strong> algebra through<br />
pre-calculus, 1 unit <strong>of</strong> geometry and ½ unit <strong>of</strong> trigonometry. Calculus is strongly<br />
recommended but not required. Additionally, students must have completed 2 units <strong>of</strong><br />
laboratory science (preferably physics and chemistry). Students are encouraged to take<br />
an additional laboratory science. Additional requirements include 4 units <strong>of</strong> English,<br />
and 2 units <strong>of</strong> History or Social Studies.<br />
It is expected that students select a rigorous high school program that includes AP, IB or<br />
honors courses when they are available at the student’s school. Students are encouraged<br />
to take college courses to supplement their education while they are enrolled in high<br />
school.<br />
Students with unweighted grade point averages greater than or equal to 3.0 and ACT<br />
test scores greater or equal to 24 math and 24 composite, or SAT scores greater or equal<br />
to 1150 may be admitted without a faculty committee review. Students who fall below<br />
these floors are generally denied admission, but may be, on an individual basis, selected<br />
for admission by a faculty review committee.<br />
• Evaluating Student Performance<br />
Students are evaluated using a traditional four point grading scale, with grades being<br />
assigned by the course instructor. All ECE courses have stated learning objectives and<br />
instructors are expected to assign grades based on achievement <strong>of</strong> those objectives.<br />
Students who have completed at least 60 semester hours (including applicable transfer<br />
credit) will receive an audit from the Office <strong>of</strong> Educational Services. An academic audit<br />
provides a summary <strong>of</strong> a student’s academic status to date and lists the courses to be<br />
completed in order to receive a degree. Student progress is also monitored on a<br />
semester-by-semester basis via the advising system as described below.<br />
• Advising Students<br />
The advising and monitoring <strong>of</strong> students in the <strong>BSCPE</strong> Program includes an advising<br />
system within the ECE Department that provides guidance <strong>of</strong> individual students<br />
throughout their degree program. Monitoring <strong>of</strong> a student’s progress through the<br />
curriculum is integral to ensuring that program objectives can be realized. This function<br />
is performed by the Office <strong>of</strong> the ECE Advisor under the supervision <strong>of</strong> the ECE<br />
Department’s Associate Chair, who serves as the Director <strong>of</strong> ECE Undergraduate<br />
Programs.<br />
7
The Office <strong>of</strong> the ECE Advisor monitors all ECE undergraduate students for ECE<br />
undergraduate degree requirements, course prerequisites, and minimum GPA<br />
requirements. Advising records for each student are maintained in student files. Along<br />
with copies <strong>of</strong> academic records, this file contains a curriculum checklist that is filled in<br />
to record student progress, and the record what the student was advised to take during<br />
each advising session.<br />
Each student must seek permission for course registration, and this permission is<br />
granted after meeting with the ECE Advisor. This meeting includes a review <strong>of</strong> the<br />
student's current progress, a discussion <strong>of</strong> any problems that are occurring, and a<br />
discussion <strong>of</strong> the courses to be taken by the student in the upcoming semester. At the<br />
end <strong>of</strong> the advising session, the ECE advisor updates the curriculum checklist and<br />
indicates approval <strong>of</strong> the proposed schedule by signing the student’s paper registration<br />
form or by placing an electronic advising approval in the Student Information System<br />
(SIS – the electronic database <strong>of</strong> student academic records). Student advising sessions<br />
are held during pre-registration periods that normally take place in November and April,<br />
at the beginning <strong>of</strong> each semester, and at other times by appointment.<br />
In addition to registration advising, the Director <strong>of</strong> ECE Undergraduate Programs is<br />
also available during the semester to discuss problems with students and handle<br />
situations such as course drops, probation status, and excessive stress. When approving<br />
drop forms, the ECE Advisor discusses the consequences <strong>of</strong> dropping an excessive<br />
number <strong>of</strong> courses with respect to progress toward graduation and financial aid<br />
eligibility. Students on probation status are advised with respect to course load limits<br />
during registration, study habits, and possible tutoring. Students showing signs <strong>of</strong><br />
excessive stress are referred to the <strong>IIT</strong> Counseling Center, which provides counseling<br />
and help with academic, career, and personal concerns.<br />
Substitutions in the curriculum are generally allowed only when (a) the required course<br />
is not available in a time frame that would allow timely graduation <strong>of</strong> a student and (b)<br />
a course can be found that provides a roughly equivalent contribution to the same area<br />
(e.g. mathematics, engineering science, engineering design, etc.) as the course it will<br />
replace. Each substitution is documented in a memorandum that is placed in the<br />
student’s file in the Office <strong>of</strong> the ECE Advisor and in the Office <strong>of</strong> Educational<br />
Services.<br />
• Transfer Students and Transfer Courses<br />
The Office <strong>of</strong> Educational Services is responsible for verifying all courses transferred<br />
from other colleges. Transfer applicants must be in good academic standing at their<br />
previous colleges to be considered for admission to <strong>IIT</strong>. Applicants with less than 30<br />
hours <strong>of</strong> transferable college course work must submit high school transcripts and SAT<br />
or ACT scores as part <strong>of</strong> their application. Admission is based upon a cumulative GPA<br />
and individual grades in all classes that apply to the selected major. A minimum<br />
cumulative GPA <strong>of</strong> 3.0 is expected for transfer consideration. However, a faculty<br />
committee will review a transfer applicant who has special circumstances.<br />
Transfer credit is granted only for courses completed at schools listed in Transfer Credit<br />
Practices <strong>of</strong> Designated Educational Institutions, American Association <strong>of</strong> Collegiate<br />
Registrars and Admissions Officers. Transfer credit for the equivalent <strong>of</strong> engineering<br />
8
and pr<strong>of</strong>essional electives is given only for courses completed at schools accredited by<br />
the EAC <strong>of</strong> ABET.<br />
Transfer credit is granted on a course equivalency basis, i.e. the nature, content, level<br />
and prerequisites <strong>of</strong> the course must be comparable to those <strong>of</strong>fered at <strong>IIT</strong>. Students<br />
may transfer a maximum <strong>of</strong> 68 applicable credits from a 2-year college. Transfer<br />
students must complete their last 45 credits at <strong>IIT</strong> with at least 50% <strong>of</strong> the course work<br />
at the 300 and 400 level in their major discipline. Transfer credit will be accepted for<br />
courses completed with the equivalent <strong>of</strong> a grade <strong>of</strong> “C” or better.<br />
• Graduation Requirements<br />
The Office <strong>of</strong> Educational Services is responsible for certifying that an individual<br />
student has satisfied the prescribed curriculum for the Bachelor <strong>of</strong> Science degree in<br />
electrical engineering. When necessary, the Associate Chair provides assistance in the<br />
verification process.<br />
An academic audit provides a summary <strong>of</strong> a student’s academic status to date and lists<br />
the courses to be completed in order to receive a degree. Students who have completed<br />
at least 60 semester hours (including applicable transfer credit) will receive an audit<br />
from the Office <strong>of</strong> Educational Services. After receiving their first audit, students may<br />
request periodic updates. Faculty advisors have access to the same database <strong>of</strong> student<br />
information that is used by the Office <strong>of</strong> Educational Services.<br />
After a student submits an application for graduation, a graduation audit is completed<br />
and a letter, which indicates the remaining requirements for the degree, is sent to the<br />
student. The final audit is completed when the grades for the semester are recorded and,<br />
if all requirements are completed, the degree is awarded.<br />
A cumulative and major GPA <strong>of</strong> at least 2.000/4.000 is required for graduation.<br />
• Enrollment and Graduation Trends<br />
The number <strong>of</strong> students enrolled in, entering into, and graduating from the program are<br />
summarized in Tables 1-1 through 1-3 below.<br />
The total enrollment (in full-time equivalents) <strong>of</strong> 186 students in 2003-2004 has<br />
dropped to an average <strong>of</strong> 113 over the last three years and has been quite constant<br />
during that period. The drop may be attributed to a combination <strong>of</strong> large graduating<br />
classes coupled with a reduced number <strong>of</strong> new students enrolled. However, the number<br />
<strong>of</strong> new students enrolled is again increasing, so that we expect the total number <strong>of</strong><br />
students in the program to increase somewhat in upcoming years.<br />
9
Table 1-1.<br />
History <strong>of</strong> Admissions Standards for Freshmen Admissions<br />
for Past Five Years<br />
Fall <strong>of</strong><br />
Academic Composite ACT Composite SAT<br />
Percentile Rank in High<br />
School<br />
Number <strong>of</strong><br />
New Students<br />
Year MIN. AVG. MIN. AVG. MIN. AVG. Enrolled<br />
2007-8 21 28 970 1273 47<br />
2006-7 19 28 930 1291 40<br />
2005-6 22 28 960 1304 27<br />
2004-5 20 28 1000 1263 42<br />
2003-4 22 27 1000 1278 38<br />
Table 1-2. Transfer Students for Past Five Academic Years<br />
Number <strong>of</strong><br />
Fall <strong>of</strong> Academic Year Transfer Students Enrolled<br />
2007-8 6<br />
2006-7 10<br />
2005-6 8<br />
2004-5 10<br />
2003-4 10<br />
Table 1-3. Undergraduate Enrollment Trends for Past Five Academic Years<br />
Academic Year: 2003-4 2004-5 2005-6 2006-7 2007-8<br />
Enrollment during Fall<br />
Full-time Students 186 153 113 114 112<br />
Part-time Students 14 9 4 5 5<br />
Student FTE 1 201.7 164.2 121.1 121.0 119.5<br />
Completions between 7/1 and 6/30<br />
Graduates 52 55 31 26 10<br />
1<br />
FTE = Full-Time Equivalent: 15 Credit hours = 1FTE<br />
2007-8 Graduate value includes ONLY Summer and Fall, not Spring as those values are not yet<br />
available.<br />
10
Numerical<br />
Identifier<br />
Table 1-4. Program Graduates<br />
(For Past Five Years or last 25 graduates, whichever is smaller)<br />
Year<br />
Matriculated<br />
Year<br />
Graduated<br />
Certification/<br />
Licensure<br />
(If Applicable)<br />
Initial or Current<br />
Employment/<br />
Job Title/<br />
Other Placement<br />
10237737 2002 Spring 2007 Fall none electrical associate<br />
10255356 2002 Fall 2007 Fall<br />
10306925 2003 Fall 2007 Fall none unemployed<br />
10370845 2003 Fall 2007 Fall<br />
10370962 2003 Fall 2007 Fall none project engineer<br />
10372270 2004 Spring 2007 Fall<br />
10372341 2003 Fall 2007 Fall<br />
10372454 2004 Fall 2007 Fall<br />
10415483 2006 Spring 2007 Fall<br />
10393714 2004 Fall 2007 Summer none sales advisor<br />
10203218 2003 Fall 2007 Spring<br />
10234831 2002 Fall 2007 Spring<br />
10249975 2002 Fall 2007 Spring<br />
10254929 2002 Fall 2007 Spring<br />
10279032 2003 Fall 2007 Spring<br />
10292891 2002 Fall 2007 Spring<br />
10321285 2003 Fall 2007 Spring<br />
10334082 2003 Fall 2007 Spring none graduate student<br />
10370420 2001 Spring 2007 Spring<br />
10370634 2002 Fall 2007 Spring<br />
10370933 2003 Fall 2007 Spring<br />
10371907 2002 Fall 2007 Spring none graduate student<br />
10372042 2003 Fall 2007 Spring<br />
10372160 2003 Fall 2007 Spring none system engineer<br />
10394074 2004 Fall 2007 Spring<br />
(NOTE: ABET recognizes that current information may not be available for all students)<br />
11
CRITERION 2. PROGRAM EDUCATIONAL OBJECTIVES<br />
• Mission Statement<br />
The mission statement <strong>of</strong> <strong>Illinois</strong> <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong> is published on the <strong>IIT</strong> web<br />
site at http://www.iit.edu/about/mission.html. The <strong>IIT</strong> mission statement reads<br />
as follows.<br />
To educate people from all countries for complex pr<strong>of</strong>essional roles in a changing<br />
technological world and to advance knowledge through research and scholarship.<br />
The mission statement <strong>of</strong> the Armour College <strong>of</strong> Engineering is published on the<br />
Armour College section <strong>of</strong> the <strong>IIT</strong> web site at<br />
http://www.iit.edu/engineering/about/mission.shtml. The<br />
mission statement reads as follows.<br />
The mission <strong>of</strong> the Armour College <strong>of</strong> Engineering is to:<br />
• Provide state-<strong>of</strong>-the art education and research programs; educate a new breed<br />
<strong>of</strong> engineers with a strong fundamental knowledge <strong>of</strong> engineering principles, the<br />
capability to apply their knowledge to broad interdisciplinary areas, and an<br />
understanding and appreciation <strong>of</strong> the economic, environmental, and social<br />
forces that impact intellectual choices; and enhance Armour's reputation as an<br />
internationally recognized engineering school (Transforming Lives).<br />
• Strengthen Armour's leadership role by focusing on the core research<br />
competencies and enhancing partnerships with industry, government<br />
laboratories and academic and research institutions (Inventing the Future).<br />
The mission statement <strong>of</strong> the Department <strong>of</strong> Electrical and Computer Engineering is<br />
published on the Department’s section <strong>of</strong> the <strong>IIT</strong> web site at<br />
http://www.iit.edu/engineering/ece/about/mission.shtml. The mission<br />
statement reads as follows.<br />
The mission <strong>of</strong> the ECE Department at <strong>IIT</strong> is to achieve continued excellence in the<br />
interrelated areas <strong>of</strong> undergraduate education, graduate education, research, and<br />
public service.<br />
• Program Educational Objectives<br />
The objectives <strong>of</strong> the ECE undergraduate electrical engineering program are to produce<br />
electrical engineering graduates who are prepared to:<br />
enter their pr<strong>of</strong>ession and make intellectual contributions to it;<br />
embark on a lifelong career <strong>of</strong> personal and pr<strong>of</strong>essional growth;<br />
take advanced courses at the graduate level.<br />
These objectives are published on the Department’s section <strong>of</strong> the <strong>IIT</strong> web site at<br />
http://www.iit.edu/engineering/ece/programs/undergrad/ce.shtml.<br />
12
• Consistency <strong>of</strong> the Program Educational Objectives with the Mission <strong>of</strong> the<br />
Institution<br />
The institutional, college, and departmental missions all speak to education leading to<br />
accomplishment in pr<strong>of</strong>essional roles, which is the focus within electrical engineering<br />
for this program.<br />
• Program Constituencies<br />
The program constituencies are<br />
• the faculty <strong>of</strong> the Department;<br />
• the current students <strong>of</strong> the program;<br />
• alumni <strong>of</strong> the program;<br />
• the ECE Department Advisory Board (who are selected from industry and<br />
academia).<br />
• Process for Establishing Program Educational Objectives<br />
The educational objectives <strong>of</strong> the <strong>BSCPE</strong> program were formally adopted by vote <strong>of</strong> the<br />
ECE Faculty on 4 February 2002. Changes in program objectives must be approved by<br />
a two-thirds vote <strong>of</strong> the regular voting members <strong>of</strong> the ECE faculty.<br />
The ECE Undergraduate Program Committee periodically assesses the success <strong>of</strong> the<br />
program in meeting the educational objectives, as discussed below in the next section.<br />
At the time <strong>of</strong> each review, the ECE Undergraduate Program Committee also reviews<br />
whether or not the objectives appropriately reflect the needs <strong>of</strong> the program’s<br />
constituencies.<br />
For the review, the ECE Undergraduate Program Committee assembles a variety <strong>of</strong><br />
information sources including alumni surveys and graduating senior surveys. These and<br />
the other review materials are described in more detail in the section concerning<br />
assessment <strong>of</strong> achievement <strong>of</strong> program objectives. Feedback regarding whether or not<br />
the degree program is meeting the alumni’s needs is obtained in part by questions on the<br />
alumni surveys that ask whether there are any areas in the degree program that require<br />
more or less emphasis, and also through a suite <strong>of</strong> questions regarding satisfaction with<br />
the engineering education provided by <strong>IIT</strong>. The graduating senior surveys include a<br />
question asking the graduating seniors to discuss whether or not the degree program’s<br />
objectives meet their needs, thereby providing feedback from current students.<br />
The ECE Undergraduate Program Committee provides the primary faculty input on<br />
matters pertaining to the appropriateness <strong>of</strong> program objectives during the preparation<br />
<strong>of</strong> the periodic objectives assessment report. This report incorporates all these inputs<br />
and is finalized for presentation to the ECE Faculty. The report may or may not include<br />
recommendations to modify the program objectives. If it does, the ECE Faculty then<br />
decide whether or not to adopt such recommendations, or amended versions there<strong>of</strong>.<br />
Further faculty input comes from the ECE Faculty as a whole when the outcomes<br />
assessment report is presented to them. The completed assessment report is provided to<br />
the ECE Advisory Board, and the ECE Undergraduate Program Committee meets either<br />
13
with the ECE Advisory Board or with representative members <strong>of</strong> the board to discuss<br />
the report and to obtain input from the ECE Advisory Board regarding the objectives.<br />
The most recent action <strong>of</strong> the ECE Faculty regarding the formal statement <strong>of</strong> objectives<br />
took place at its meeting <strong>of</strong> 6 May <strong>2008</strong>, based on recommendations contained in the<br />
ECE Undergraduate Program Committee’s <strong>BSCPE</strong> Program Objectives Assessment<br />
Report <strong>of</strong> 2 May <strong>2008</strong>. No substantial modifications <strong>of</strong> the objectives were made, but<br />
the distinction between objectives and outcomes was made clearer in the formal<br />
statement <strong>of</strong> objectives adopted by the faculty.<br />
• Achievement <strong>of</strong> Program Educational Objectives<br />
As noted just above, the ECE Undergraduate Program Committee periodically assesses<br />
the success <strong>of</strong> the program in meeting the educational objectives (and at the same time<br />
also reviews whether or not the objectives appropriately reflect the needs <strong>of</strong> the<br />
program’s constituencies). The ECE Undergraduate Program Committee gathers the<br />
following sources <strong>of</strong> information.<br />
• Alumni surveys: conducted annually by the Office <strong>of</strong> Accreditation and<br />
Assessment in the Armour College <strong>of</strong> Engineering. These surveys are sent to all<br />
alumni <strong>of</strong> <strong>IIT</strong>’s engineering programs who graduated two years or five years<br />
prior to the year in which the survey is conducted. The survey instrument<br />
includes a variety <strong>of</strong> demographic questions, including the current employment<br />
situation and whether employed in an engineering position. The survey<br />
instrument also includes a suite a questions regarding the effectiveness <strong>of</strong> the<br />
degree program in providing the ability to succeed in engineering. There is also<br />
another set <strong>of</strong> questions evaluating the importance <strong>of</strong>, and the degree to which<br />
the program provides preparation for, variance outcomes <strong>of</strong> the program.<br />
Finally, the survey affords alumni to indicate areas that they feel the program<br />
should give more or less emphasis.<br />
• Placement reports: prepared by the Career Management Center. These reports<br />
are based on information provided by recently graduated students who indicate<br />
their employment situation or whether they are continuing studies in graduate<br />
school.<br />
• Graduating senior surveys: conducted annually by the ECE Undergraduate<br />
Program Committee. This survey includes question asking the graduating<br />
seniors to discuss whether or not the degree program’s objectives meet their<br />
needs. A sample survey form is available in the display materials.<br />
For the review, the ECE Undergraduate Program Committee assembles all instances <strong>of</strong><br />
the above sources <strong>of</strong> information that have become available since the last review. The<br />
ECE Undergraduate Program Committee meets to discuss these materials and prepares<br />
a report that presents its findings to the ECE Faculty. The report includes a discussion<br />
<strong>of</strong> the data that has been gathered, conclusions drawn there from regarding the success<br />
<strong>of</strong> the degree program in meeting the program objectives, recommendations regarding<br />
any required actions, and a report on the status <strong>of</strong> actions associated with any adopted<br />
recommendations from earlier objectives report. Recommendations may include<br />
14
additions, deletions, and modifications <strong>of</strong> program objectives; additions, deletions, or<br />
modifications <strong>of</strong> program outcomes; or any educational initiatives or curricular changes<br />
to improve the program’s success. Any significant curricular changes must receive the<br />
approval <strong>of</strong> the ECE Faculty, then the approval <strong>of</strong> the university’s Undergraduate<br />
Studies Committee, and subsequently the approval <strong>of</strong> the University Faculty Council<br />
and the full faculty <strong>of</strong> <strong>IIT</strong>.<br />
The most recent objectives assessment report was presented to the ECE Faculty on 6<br />
May <strong>2008</strong>.<br />
CRITERION 3. PROGRAM OUTCOMES<br />
ABET definition: Program outcomes are narrower statements that describe what students are expected to know<br />
and be able to do by the time <strong>of</strong> graduation. These relate to the skills, knowledge, and behaviors that students<br />
acquire in their matriculation through the program.<br />
ABET definition: Assessment under this criterion is one or more processes that identify, collect, and prepare<br />
data to evaluate the achievement <strong>of</strong> program outcomes.<br />
ABET definition: Evaluation under this criterion is one or more processes for interpreting the data and evidence accumulated<br />
through assessment practices. Evaluation determines the extent to which program outcomes are being achieved, and results in<br />
decisions and actions to improve the program.<br />
• Process for Establishing and Revising Program Outcomes<br />
The <strong>BSCPE</strong> program outcomes were initially established by vote <strong>of</strong> the ECE Faculty on<br />
4 February 2002. The most recent action <strong>of</strong> the ECE Faculty to change the formal<br />
statement <strong>of</strong> outcomes took place at its meeting <strong>of</strong> 6 May <strong>2008</strong>, based on<br />
recommendations made by the ECE Undergraduate Program Committee at its 28 March<br />
<strong>2008</strong> meeting. No substantial modifications <strong>of</strong> the outcomes were made, but the<br />
distinction between objectives and outcomes was made clearer in the formal statement<br />
<strong>of</strong> objectives adopted by the faculty, and wording <strong>of</strong> many <strong>of</strong> the stated outcomes was<br />
adjusted to more closely reflect associated ABET-mandated outcomes.<br />
Proposals to revise the program outcome normally would arise as a result <strong>of</strong> either the<br />
objectives assessment review or the outcomes assessment review, but may be proposed<br />
by the ECE faculty independently <strong>of</strong> these processes. Any changes to the program<br />
outcomes must be approved by vote <strong>of</strong> the ECE Faculty.<br />
• Program Outcomes<br />
The program outcomes are the following.<br />
(a) An ability to apply knowledge <strong>of</strong> mathematics, science, and engineering.<br />
(b) An ability to design and conduct experiments and analyze and interpret the resulting<br />
data.<br />
(c) An ability to design a system, component, or process to meet desired needs within<br />
realistic constraints.<br />
(d) An ability to function on multidisciplinary teams.<br />
15
(e) An ability to identify, formulate, and solve engineering problems.<br />
(f) An understanding <strong>of</strong> pr<strong>of</strong>essional and ethical responsibility.<br />
(g) An ability to communicate effectively both orally and in writing.<br />
(h) The broad education necessary to understand the impact <strong>of</strong> engineering solutions in<br />
a global, economic, environmental, and societal context.<br />
(i) A recognition <strong>of</strong> the need for, and an ability to engage in life-long learning.<br />
(j) A knowledge <strong>of</strong> contemporary issues.<br />
(k) An ability to use the techniques, skills, and tools <strong>of</strong> modern engineering practice.<br />
(l) Pr<strong>of</strong>iciency in the basic elements <strong>of</strong> computer engineering.<br />
(m) Knowledge <strong>of</strong> advanced topics in computer engineering.<br />
These program outcomes are documented in the ECE Department meeting minutes <strong>of</strong><br />
the meeting at which they were adopted (see the minutes <strong>of</strong> the 6 May <strong>2008</strong> meeting <strong>of</strong><br />
the ECE Faculty).<br />
• Relationship <strong>of</strong> Program Outcomes to Program Educational Objectives<br />
The principal program objective is that graduates are able to enter the electrical<br />
engineering pr<strong>of</strong>ession and make contributions to it. Achievement <strong>of</strong> the attributes<br />
among the program outcomes (a) through (k) is integral to fundamental engineering<br />
practice. Achievement <strong>of</strong> outcomes (l) and (m) enables the application <strong>of</strong> fundamental<br />
engineering practices specifically within the computer engineering pr<strong>of</strong>ession.<br />
Outcome (i) relates specifically to the objective that program graduates embark on a<br />
lifelong career <strong>of</strong> personal and pr<strong>of</strong>essional growth.<br />
Outcome (m), based on the foundational knowledge and skills <strong>of</strong> outcomes (a) through<br />
(l), indicates capability to pursue advanced coursework at the graduate level.<br />
• Relationship <strong>of</strong> Courses in the Curriculum to the Program Outcomes<br />
The ECE courses in the curriculum include required courses at the 100, 200, and 300<br />
level plus ECE 441 and ECE 485. Six or seven additional credit hours <strong>of</strong> pr<strong>of</strong>essional<br />
electives and three or four credit hours <strong>of</strong> hardware-design elective, all at the 400 level,<br />
may also be ECE courses. The objectives <strong>of</strong> each <strong>of</strong> these courses are linked to program<br />
outcomes. Tables 3-1(a), 3-1(b), and 3-1(c) below show these linkages. These tables<br />
demonstrate that the collection <strong>of</strong> ECE coursework in the curriculum are strongly<br />
related to outcomes (a), (b), (c), (e), (g), (k), (l), and (m).<br />
Required computer science coursework includes CS 115, 116, 330, 331, 350, 351, and<br />
450. Six or seven additional credit hours <strong>of</strong> pr<strong>of</strong>essional electives and three or four<br />
credit hours <strong>of</strong> hardware-design elective, all at the 400 level, may also be computer<br />
science courses. This coursework contributes to outcomes (l) and (m).<br />
The objectives <strong>of</strong> the Interpr<strong>of</strong>essional Projects (IPRO) courses include developing<br />
teamwork, project management, communication, and ethical behavior skills. They thus<br />
connect to program outcomes (d), (f), and (g).<br />
16
The science and mathematics courses <strong>of</strong> the curriculum relate to outcome (a).<br />
The humanities and social science electives are integral to the achievement <strong>of</strong> outcomes<br />
(g), (h), and (j).<br />
17
Outcome<br />
ECE<br />
100<br />
ECE<br />
211<br />
ECE<br />
212<br />
ECE<br />
213<br />
ECE<br />
214<br />
ECE<br />
218<br />
(a) X X X X X X X X X X X X<br />
(b) X X X X X<br />
(c) X X X<br />
(d)<br />
(e) X X X X X X X X X X X<br />
(f)<br />
(g) X X X X X<br />
(h)<br />
(i)<br />
(j)<br />
(k) X X X X X X X X X<br />
(l) X X X X X X X X X X X X<br />
(m)<br />
ECE<br />
242<br />
ECE<br />
307<br />
ECE<br />
308<br />
ECE<br />
311<br />
ECE<br />
312<br />
ECE<br />
319<br />
Table 3-1(a). Relationship <strong>of</strong> program outcomes to ECE 100, 200, and 300 level<br />
courses.<br />
Outcome ECE<br />
401<br />
ECE<br />
403<br />
ECE<br />
404<br />
ECE<br />
406<br />
ECE<br />
407<br />
ECE<br />
408<br />
ECE<br />
411<br />
ECE<br />
412<br />
ECE<br />
419<br />
ECE<br />
420<br />
ECE<br />
421<br />
ECE<br />
423<br />
(a) X X X X X X X X X X X X<br />
(b) X X X<br />
(c) X X X X X X X<br />
(d)<br />
(e) X X X X X X X X X X<br />
(f)<br />
(g) X X X X X<br />
(h)<br />
(i)<br />
(j)<br />
(k) X X X X X X<br />
(l)<br />
(m) X X X X X X X X X X X X<br />
Table 3-1(b). Relationship <strong>of</strong> program outcomes to ECE 400 level courses, part 1 <strong>of</strong> 2.<br />
18
Outcome ECE ECE ECE ECE ECE ECE ECE ECE ECE ECE<br />
425 429 436 437 438 441 446 448 449 481<br />
(a) X X X X X X X X X<br />
(b) X X X<br />
(c) X X X X X X X X<br />
(d)<br />
(e) X X X X X X X X<br />
(f)<br />
(g) X X X X<br />
(h)<br />
(i)<br />
(j)<br />
X<br />
(k) X X X X X X X<br />
(l)<br />
(m) X X X X X X X X X X X<br />
Table 3-1(c). Relationship <strong>of</strong> program outcomes to ECE 400 level courses, part 2 <strong>of</strong> 2.<br />
ECE<br />
485<br />
• Documentation<br />
Samples <strong>of</strong> course materials and samples <strong>of</strong> graded student work have been collected<br />
for ECE courses <strong>of</strong>fered during the 2007-<strong>2008</strong> academic year. These and other display<br />
materials (such as outcome and objectives assessment reports) have been assembled<br />
into electronic (html and pdf) format for review. The samples <strong>of</strong> graded student work<br />
have been organized both by course and also by program outcome. Browsing the<br />
material under a program outcome heading will enable review <strong>of</strong> course work<br />
associated with that outcome. Course syllabi include a listing <strong>of</strong> course learning<br />
objectives, with associated program outcomes noted for each such learning objective;<br />
thus, an examiner will be able to see what program outcomes are targeted by work in a<br />
particular course.<br />
• Achievement <strong>of</strong> Program Outcomes<br />
The ECE Undergraduate Program Committee has primary responsibility for evaluating<br />
the success <strong>of</strong> the <strong>BSCPE</strong> undergraduate program in meeting its stated outcomes. The<br />
Committee periodically<br />
1. assembles outcomes assessment data from various sources;<br />
2. conducts a review <strong>of</strong> the success <strong>of</strong> the undergraduate programs in meeting their<br />
stated outcomes;<br />
3. makes recommendations to the ECE faculty for improvements in the <strong>BSCPE</strong><br />
program and courses based on this review;<br />
4. follows up on program changes recommended previously to ensure that they are<br />
meeting their goals; and<br />
19
5. advises <strong>IIT</strong>’s Associate Dean for Accreditation and Assessment <strong>of</strong> issues<br />
relating to program components external to the ECE Department.<br />
The results <strong>of</strong> the assessment review are summarized in a report that documents the<br />
committee’s findings and makes recommendations for program and course<br />
improvements. Reports have been issued to the ECE Faculty on 4 November 2002, 28<br />
March 2005, and 6 May <strong>2008</strong>.<br />
Materials that are regularly used in the assessment reviews are the following.<br />
a) Faculty Course Assessment Forms. For each ECE course in the program, the<br />
course instructor completes at the end <strong>of</strong> the semester a faculty course<br />
assessment form. The instructor indicates for each course objective whether it<br />
was or was not met in that semester’s <strong>of</strong>fering. If an objective was not met, the<br />
instructor provides commentary on proposed changes in order to better meet that<br />
objective. The instructor also provides additional commentary as to whether<br />
students were adequately prepared in mathematics, in basic sciences, and in<br />
prerequisite course work. Assessment forms for each course are available in the<br />
display materials.<br />
b) IPRO Program Assessment Reports. These reports provide information from<br />
four assessment measures employed by the Interpr<strong>of</strong>essional (IPRO) Program:<br />
(1) IPRO Day judging <strong>of</strong> presentations and presentation materials; (2) self<br />
assessment by students; (3) a student learning objectives cognitive test; and (4) a<br />
student teamwork survey.<br />
c) EIT Examination Results (FE and PE). Tabulated scores <strong>of</strong> results from<br />
examinations taken by current students in the program and graduates <strong>of</strong> the<br />
program are available for the review. Though the number <strong>of</strong> examinees<br />
associated with the program is small, some useful information is available in<br />
these results.<br />
d) Graduating Senior Exit Surveys. Graduating seniors are requested to complete a<br />
survey form. This survey focuses on a student assessment <strong>of</strong> how well they feel<br />
the program has prepared them to achieve the program outcomes, and provides<br />
an opportunity for the students to comment on whether or not the program’s<br />
objectives meet their needs. A sample survey form is available in the display<br />
materials.<br />
e) Alumni Surveys. These annual surveys are sent to alumni <strong>of</strong> <strong>IIT</strong>’s engineering<br />
programs who graduated two or five years prior to the year <strong>of</strong> the survey. These<br />
surveys ask a range <strong>of</strong> questions; including amongst these is a number <strong>of</strong><br />
questions that directly target the basic program outcomes.<br />
f) Sample Graded Senior Design Project Reports. Beginning with the 2007/<strong>2008</strong><br />
outcomes assessment review, the ECE Undergraduate Program Committee<br />
incorporated graded samples <strong>of</strong> student design project reports into the outcomes<br />
assessment process.<br />
g) Assessment Materials from <strong>IIT</strong>’s Communications Assessment. The assessment<br />
protocol developed for the Communications Across the Curriculum (CAC)<br />
Program specifies that the CAC Program Director writes a report to each<br />
20
department giving the results <strong>of</strong> the communications assessment, and also<br />
making recommendations. The assessment includes collection and evaluation <strong>of</strong><br />
random samples <strong>of</strong> final written documents for each communications-intensive<br />
course, evaluation <strong>of</strong> IPRO presentations, and evaluation <strong>of</strong> oral presentations in<br />
communications-intensive courses.<br />
As discussed in the most recent outcomes assessment report (issued 6 May <strong>2008</strong>), the<br />
ECE Undergraduate Program Committee determined that <strong>BSCPE</strong> graduates have the<br />
abilities and the various other attributes described in the program outcomes. Supporting<br />
evidence from the above listed materials is described in the report, which is available as<br />
part <strong>of</strong> the display materials.<br />
CRITERION 4. CONTINUOUS IMPROVEMENT<br />
• Information Used for Program Improvement<br />
The assessments <strong>of</strong> the <strong>BSCPE</strong> program’s success in achieving program objectives and<br />
program outcomes result in reports to the ECE Faculty detailing the assessment<br />
methodologies, the results <strong>of</strong> the assessment, and recommendations for actions to<br />
improve the program. These reports also follow up on previous recommendations to<br />
ensure that they are meeting their goals. There have been three sets <strong>of</strong> such reports since<br />
the adoption <strong>of</strong> program outcomes and objectives in February 2002. The first<br />
assessment report was issued 4 November 2002. The second report was issued 28<br />
March 2005. The most recent and third assessment produced separate reports, one for<br />
objectives assessment and one for outcomes assessment. These reports were issued 2<br />
May <strong>2008</strong>.<br />
• Actions to Improve the Program<br />
In the 4 November 2002 reports, a recommendation was made to the ECE Faculty to<br />
include explicit linkages between individual course objectives and program outcomes.<br />
The ECE Faculty adopted this recommendation at its 17 December 2003 meeting.<br />
Previously, linkages to program outcomes were at the course level and not the level <strong>of</strong><br />
course objectives. The intent <strong>of</strong> this recommendation was to strengthen the connection<br />
between course activities and achievement <strong>of</strong> program outcomes. Actions to implement<br />
this recommendation were completed by the end <strong>of</strong> the Spring 2004 semester.<br />
Subsequent to these actions, a better evaluation <strong>of</strong> achievement <strong>of</strong> program outcomes<br />
resulted via feedback from the faculty course assessments that are conducted each<br />
semester. (See the material regarding Criterion 3 for a description <strong>of</strong> these course<br />
assessments.) Furthermore, a stronger awareness <strong>of</strong> the linkages between program<br />
outcomes and course activities was engendered.<br />
Also in the 4 November 2002 report, a recommendation was made to include in each<br />
communications-intensive course (communications-intensive courses are denoted with<br />
a bold (C) in the Undergraduate Bulletin) a course objective explicitly targeting<br />
communication skills. The ECE Faculty adopted this recommendation at its 17<br />
December 2003 meeting. The actions to implement this recommendation were<br />
completed by the end <strong>of</strong> the Spring 2004 semester.<br />
21
Concurrent with those actions, the ECE Department developed during the 2003/2004<br />
academic year the “ECE Guide to Laboratory Report Writing.” The Guide was<br />
developed with cooperation from <strong>IIT</strong>’s Communication Across the Curriculum<br />
program. The ECE Faculty at its 5 May 2004 meeting voted to approve the guide and to<br />
mandate its use in laboratory courses beginning in Fall 2004. The goal <strong>of</strong> adopting the<br />
Guide is to provide a framework in which the writing skills in major coursework can be<br />
improved over the four-year program. The Guide states to students the need for clear<br />
writing, defines the audience, provides a structure, and stresses the importance <strong>of</strong><br />
language and style. A Grader’s Checklist is included to ensure the evaluation <strong>of</strong> the<br />
communication component <strong>of</strong> the laboratory report grade. A component <strong>of</strong> the report<br />
grade in all ECE laboratory courses is based on the communications component. The<br />
laboratory reports, together with the Guide, are also available for use as writing samples<br />
provided to the Communication Across the Curriculum program for their assessment <strong>of</strong><br />
communication skills <strong>of</strong> the students.<br />
The impact <strong>of</strong> the adoption <strong>of</strong> the report writing guide is not yet clear. The 2 May <strong>2008</strong><br />
outcomes assessment report noted that 20 <strong>of</strong> 21 faculty course assessments <strong>of</strong><br />
communications related objectives indicated satisfactory achievement in<br />
communications skills. However, there is no comparable data from the 28 March 2005<br />
report. Though the Communications Across the Curriculum (CAC) program had<br />
provided evaluations <strong>of</strong> writing samples (predominantly laboratory reports) in May<br />
2002, no further reports from the CAC program have been provided to the ECE<br />
Department.<br />
Also in regard to further strengthening success in achieving program outcomes relating<br />
to communications skills, the outcomes assessment report dated 2 May <strong>2008</strong> proposed a<br />
formal definition for the design project included in 400-level pr<strong>of</strong>essional electives with<br />
laboratory component. This proposal formalized the characteristics <strong>of</strong> the design<br />
experience that were already in place, but it also added requirements regarding written<br />
and oral project reports. The proposal was debated an amended at the ECE Faculty<br />
meeting on 6 May <strong>2008</strong>, where the following communications skills characteristics<br />
were adopted for inclusion in each such course:<br />
• The project requires a written report that clearly describes the design process,<br />
the procedures used to measure performance, and the design results and their<br />
interpretation. The report must be a stand-alone document that is readable by an<br />
informed person without reference to other materials (including but not limited<br />
to the document that defines the project assignment and the course's laboratory<br />
manual).<br />
• The project requires a presentation or demonstration <strong>of</strong> the project results. (This<br />
is an oral communication component to the project assignment.)<br />
• The project grade must include component evaluating performance on the<br />
written and oral communication aspects.<br />
In the 28 March 2005 assessment report, a recommendation was made to the ECE<br />
Faculty that a plan be developed to use the student branches <strong>of</strong> HKN and IEEE as a<br />
formal, structured means to encourage in BSEE students the recognition <strong>of</strong> the need for,<br />
and an ability to engage in, life-long learning. The ECE Faculty adopted this<br />
22
ecommendation at its 30 January <strong>2008</strong> meeting. The plan will be developed during the<br />
Fall <strong>2008</strong> semester.<br />
In the 28 March 2005 assessment report, a recommendation was made to the ECE<br />
Faculty that course coordinators review their course’s objectives and add, if appropriate,<br />
a course objective that links specifically to the outcome <strong>of</strong> an ability to design and<br />
conduct experiments, and to add, if appropriate, a course objective to analyze and<br />
interpret data. The ECE Faculty adopted this recommendation at its 30 January <strong>2008</strong><br />
meeting. This recommendation was intended to strengthen the component <strong>of</strong> the<br />
curriculum that targets this program outcome. Implementation <strong>of</strong> the recommendation is<br />
in progress.<br />
In addition to efforts stemming directly from the assessment reports, the ECE<br />
Department has taken other actions to improve the program. A major focus <strong>of</strong> these was<br />
the development and improvement <strong>of</strong> instructional laboratories. Rooms 311 and 001 <strong>of</strong><br />
Siegel Hall now host undergraduate teaching laboratory facilities (Room 311 is used for<br />
ECE 212, 214, 311, and 312 and Room 001 is used for ECE 411 and 412); this lab<br />
space was not present at the time <strong>of</strong> the last general review. The Introduction to the<br />
Pr<strong>of</strong>ession (ECE 100) lab has moved to new facilities in Room 333 <strong>of</strong> Siegel Hall. The<br />
undergraduate teaching laboratories in Rooms 310 A – D <strong>of</strong> Siegel Hall (used for ECE<br />
406, 407, 423, 429, 436, 441, 446, 448, and 449) have been fully renovated since the<br />
last general review.<br />
The department has acquired new <strong>of</strong>fice and research lab space in the north end <strong>of</strong><br />
Siegel Hall on the first floor and in the basement.<br />
At the time <strong>of</strong> the last general review there were 21 full-time faculty in the ECE<br />
Department. This number has increased to 24 full-time faculty (one having his primary<br />
appointment in another department) at the time <strong>of</strong> preparation <strong>of</strong> this self-study, with an<br />
additional 3 assistant pr<strong>of</strong>essors having been hired who will join the department in Fall<br />
<strong>2008</strong>. The number <strong>of</strong> full-time faculty has thus increased to 27 from 21, a 28.6%<br />
increase in faculty strength. This increased faculty size improves the program by<br />
reducing the student-to-faculty ratio and by expanding the range <strong>of</strong> expertise<br />
represented within the faculty.<br />
CRITERION 5. CURRICULUM<br />
• Program Curriculum<br />
Preparation for a pr<strong>of</strong>essional career and further study in the discipline<br />
The curriculum prepares students for engineering practice by providing an appropriate<br />
mix <strong>of</strong> breadth and depth in engineering science and computer engineering design.<br />
Breadth in engineering science is important for computer engineers, who will work in a<br />
number <strong>of</strong> different areas during their careers. The computer engineering curriculum<br />
provides depth in the fundamentals <strong>of</strong> computer science and engineering and allows<br />
flexibility to take a wide range <strong>of</strong> advanced courses.<br />
Breadth in computer engineering is provided by courses in circuit analysis; digital<br />
systems; engineering electronics; a course chosen from among electrodynamics, signals<br />
23
and systems, electronic circuits, and power engineering; and a suite <strong>of</strong> computer science<br />
courses including programming, data structures and algorithms, systems programming,<br />
and discrete structures. Students in the program have exposure to engineering science<br />
outside the area <strong>of</strong> computer engineering through the curricular requirement either <strong>of</strong> a<br />
course in thermodynamics (MMAE 320) or in mechanics (MMAE 200), and also<br />
through two required interpr<strong>of</strong>essional projects (IPRO). These components support<br />
program outcomes (a), (k), and (l).<br />
Depth is provided by advanced courses at the senior level. Four courses are required:<br />
operating systems, microcomputers, computer organization and design, and s<strong>of</strong>tware<br />
engineering. These courses combine a rigorous theoretical base that provides an<br />
understanding <strong>of</strong> the fundamentals <strong>of</strong> computer hardware and the relationship between<br />
hardware and s<strong>of</strong>tware in both design and implementation. Adding to the laboratory<br />
experience in the microcomputers course is the requirement for another design-oriented<br />
laboratory via the hardware-design elective, chosen from courses in VLSI design,<br />
advanced logic design, or the design <strong>of</strong> computer processors. Two more pr<strong>of</strong>essional<br />
elective courses are chosen from a range <strong>of</strong> advanced topics in electrical engineering or<br />
computer science. These curricular components support outcomes (a), (b), (c), (e), (g),<br />
(k), (l), and (m).<br />
Engineering design and engineering science are distributed throughout the curriculum<br />
under the rationale that students can perform in-depth engineering design only after they<br />
have learned the engineering science fundamentals <strong>of</strong> their field. Thus, the curriculum<br />
includes its most meaningful major design experience in the senior year, after the<br />
student has completed the suite <strong>of</strong> engineering science electives in the curriculum.<br />
However, there is value in exposing students to engineering design before the senior<br />
year. First <strong>of</strong> all, previous exposure to engineering design serves to motivate and<br />
interest students in the technical problems <strong>of</strong> their field. Second, exposure to<br />
engineering design provides a context for engineering science courses. For example,<br />
coverage <strong>of</strong> a theoretical topic such as circuit analysis will have more meaning if<br />
students have designed, built, and debugged simple circuits in the laboratory. For this<br />
reason, the curriculum includes exposure to engineering design starting in the freshman<br />
year, increasing in the sophomore and junior years, and culminating in a design-oriented<br />
senior year.<br />
As noted above, the curriculum requires two three credit hour Interpr<strong>of</strong>essional Project<br />
(IPRO) courses. Nominally the two IPRO courses are taken in the junior and senior<br />
years. An IPRO project course is a team-based learning environment in which students<br />
from various concentrations and disciplines work together to solve a real-world<br />
problem. Through the experience <strong>of</strong> working on this problem, students have the<br />
opportunity to apply and develop their teamwork, project management, communication,<br />
and ethical behavior skills. There is a wide range <strong>of</strong> topics proposed by sponsors,<br />
faculty and students that includes all <strong>of</strong> <strong>IIT</strong>’s disciplines and pr<strong>of</strong>essional programs. The<br />
IPRO projects <strong>of</strong>fered each semester are constantly changing to reflect emerging trends<br />
in technology and the needs <strong>of</strong> society.<br />
Each IPRO course is organized as a team <strong>of</strong> 5-15 students from sophomore to graduate<br />
level. All projects are designed with goals that can be completed in one semester.<br />
However, many projects continue over multiple semesters and years, with continuing<br />
24
areas <strong>of</strong> investigation. An Entrepreneurial IPRO (EnPRO) has the added dimension <strong>of</strong><br />
business planning and new venture analysis.<br />
The IPRO experience supports the program outcomes (a), (c), (d), (e), (f), (g), and (h),<br />
and in some cases also supports (b), (k), and (l).<br />
The technical component <strong>of</strong> the curriculum begins during the freshman year, with the<br />
primary emphasis is on basic science, mathematics and programming skills (supporting<br />
program outcome (a)). However, the ECE 100 (Introduction to the Pr<strong>of</strong>ession I) course<br />
provides some initial exposure to engineering design (supporting program outcomes (b),<br />
(c), and (e)). In this course, students investigate complex engineering problems,<br />
generate alternative solutions to them, and determine the optimal solution based on a<br />
quantitative comparison <strong>of</strong> design criteria. Students in this course also design an<br />
autonomous robot to solve an engineering challenge, and they test and analyze the<br />
robot’s performance. Emphasis is also placed on communications through technical<br />
reports and oral presentations (support program outcome (g)).<br />
During the sophomore year, the primary emphasis is on physics, mathematics and the<br />
fundamentals <strong>of</strong> programming and engineering science (further developing knowledge<br />
and skills toward program outcomes (a), (e), and (k)). Specific topics include physics,<br />
multivariate and vector calculus, differential equations, circuit analysis, digital logic,<br />
discrete mathematics, data structures and algorithms, and computer organization.<br />
Students take a two-semester laboratory sequence, ECE 212 and 214 (Analog and<br />
Digital Laboratory I, II). The primary emphasis <strong>of</strong> this laboratory sequence is on<br />
instrumentation skills, analysis, and debugging <strong>of</strong> analog and digital circuits. However,<br />
students are also exposed to engineering design as part <strong>of</strong> this sequence (supporting<br />
program outcome (c)). For example, in ECE 214 students are given a partial<br />
specification for a finite-state machine based “ping-pong” game. Students must refine<br />
and complete this specification and come up with a design that meets the specification<br />
under the constraints <strong>of</strong> the number <strong>of</strong> parts available to them. The discrete mathematics<br />
course (CS 330) covers fundamental topics in discrete structures and methodologies,<br />
with special emphasis on structures applicable to computer science. The data structures<br />
class (CS 331) provides practical skills for implementing and applying the essential data<br />
structures used in computer science. In particular, this course focuses on data<br />
abstraction and object-oriented design/programming. This course provides the<br />
foundation for more advanced and specialized senior level course topics such as<br />
algorithms (CS 430), object oriented programming (CS 445), and s<strong>of</strong>tware engineering<br />
(CS 487).<br />
During the junior year, the primary emphasis is on advanced mathematics (probability<br />
and statistics and either matrices or numerical methods) and major-specific engineering<br />
science courses and a non-major engineering science course (to enhance breadth). The<br />
major–specific engineering science courses are engineering electronics and an elective<br />
electrical engineering course. The elective is selected from a set <strong>of</strong> junior-level<br />
electrical engineering courses (either electromagnetics (ECE 307), signals and systems<br />
(ECE 308), electronic circuits (ECE 312), or the fundamentals <strong>of</strong> power engineering<br />
(ECE 319)). This base provides students with the prerequisite material needed to take<br />
senior-level ECE courses in topics such as electronics, communications, digital signal<br />
processing, image processing, and others. In the junior year, students are also required<br />
25
to take s<strong>of</strong>tware engineering courses including systems programming (CS 351) and<br />
operating systems (CS450). CS 351 examines the components <strong>of</strong> sophisticated multilayer<br />
s<strong>of</strong>tware systems-including device drivers, systems s<strong>of</strong>tware, applications<br />
interfaces, and user interfaces. It also explores the design and development <strong>of</strong> interruptdriven<br />
and event-driven s<strong>of</strong>tware. CS 450 covers topics in the design <strong>of</strong> the operating<br />
system concepts including system organization for uniprocessors and multiprocessors,<br />
scheduling algorithms, process management, deadlocks, paging and segmentation, files<br />
and protection, and process coordination and communication. Additional courses are in<br />
humanities and social science courses that partially satisfy the general education<br />
requirement. The first interpr<strong>of</strong>essional (IPRO I) project course is taken in the junior<br />
year. Engineering knowledge, skills, and techniques continue to mature during this year<br />
(supporting program outcomes (a), (b), (c), (e), (k), and (l)).<br />
The senior year is intended to provide the student with an in-depth design experience in<br />
both hardware and s<strong>of</strong>tware based on the accumulated knowledge and skills acquired in<br />
the first three years <strong>of</strong> the curriculum. (This design experience is described below.)<br />
Hardware courses available to senior students emphasize engineering design while<br />
providing opportunities for advanced study in engineering science. Senior year course<br />
requirements combine s<strong>of</strong>tware design experience in CS 487 (s<strong>of</strong>tware engineering)<br />
with hardware design experience in ECE 441 (microcomputers) and ECE 485<br />
(computer organization and design). CS 487 (s<strong>of</strong>tware engineering) is a particularly<br />
important course in the programming sequence since it emphasizes the development <strong>of</strong><br />
large s<strong>of</strong>tware systems in teams using detailed specifications. Additional hardware<br />
design experience is emphasized in the hardware elective, <strong>of</strong>fering in-depth study <strong>of</strong> an<br />
advanced concept combined with a design-oriented laboratory. Hardware electives<br />
available to students include ECE 429 (VLSI design) and ECE 446 (advanced logic<br />
design and implementation). ECE 429 and ECE 446 feature design experiences in the<br />
laboratory through open-ended design projects utilizing s<strong>of</strong>tware tools such as ABEL,<br />
VHDL, and PSPICE for developing hardware. Senior year s<strong>of</strong>tware electives are<br />
planned for students whose primary career goals are in the area <strong>of</strong> computer systems<br />
design (hardware and s<strong>of</strong>tware) and/or engineering applications <strong>of</strong> computer systems<br />
with an emphasis on s<strong>of</strong>tware design and development. The Computer Science<br />
Department <strong>of</strong>fers a significant number <strong>of</strong> courses that are designated as pr<strong>of</strong>essional<br />
CPE electives (for example: database organization (CS 425), introduction to algorithms<br />
(CS 430), programming languages and translators (CS 440), object-oriented design and<br />
programming (CS 445), distributed objects (CS 447), data communications (CS 455),<br />
and artificial intelligence (CS 480). Students may also choose senior level ECE courses<br />
with laboratories as their pr<strong>of</strong>essional electives, many <strong>of</strong> which have a laboratory<br />
segment that includes an open-ended design project as a meaningful design experience.<br />
Example courses are ECE 406 (Digital and Data Communications), ECE 411 (Power<br />
Electronics), ECE 412 (Electric Motor Drives), and ECE 436 (Digital Signal Processing<br />
I), among others. This year supports continued development toward program outcomes<br />
(a), (b), (e), and (k), provides a significant experience toward outcome (c), and is meant<br />
to achieve outcome (m).<br />
Throughout the four year curriculum, students complete humanities and social science<br />
electives that help fulfill the general education requirements. Through these courses<br />
26
students develop and enhance their reasoning and communication skills and broaden<br />
their education. These courses support achievement <strong>of</strong> outcomes (g), (h), and (j).<br />
The <strong>BSCPE</strong> program, as for all undergraduate programs at <strong>IIT</strong>, requires curricular<br />
components to develop strong communication skills for success in college and the<br />
workplace: (i) a basic writing pr<strong>of</strong>iciency requirement satisfied either by completion <strong>of</strong><br />
a university writing course (COM 101 at <strong>IIT</strong>) or passing <strong>IIT</strong>’s English Pr<strong>of</strong>iciency<br />
Examination; (ii) communication-intensive courses (“C-courses”). At least 42 credit<br />
hours <strong>of</strong> C-courses are required, with at least 15 credit hours in the major and at least 15<br />
credit hours outside the major. C-courses outside the major in the <strong>BSCPE</strong> program<br />
include the IPRO, humanities, and social science course (supporting program outcome<br />
(g) as noted above). Within the CPE major, C-courses include ECE 100 (Introduction to<br />
the Pr<strong>of</strong>ession) and courses with a laboratory segment. Thus, outcome (g) is wellsupported<br />
by curricular components.<br />
The curriculum as a whole thus embodies the skills and knowledge necessary to enter<br />
the electrical engineering pr<strong>of</strong>ession and contribute to it, and also to continue to build<br />
on the knowledge <strong>of</strong> advance topics in electrical engineering by taking course at the<br />
graduate level.<br />
Distribution <strong>of</strong> credit hours in the curriculum<br />
The curriculum includes 24 credit hours (six courses) <strong>of</strong> required, college-level<br />
mathematics (MATH 151, 152, 251, 252, 474, and either 333 or 350). These courses<br />
provide instruction in single-variable and multivariable calculus (151, 152, 251),<br />
differential equations (252), probability and statistics (474), and either matrix algebra<br />
and complex variables (333) or computational mathematics.<br />
The science component <strong>of</strong> the curriculum requires 11 credit hours (three courses) <strong>of</strong><br />
college level physics (PHYS 123, 221, 224) and three credit hours (one course) <strong>of</strong><br />
college level chemistry (CHEM 122). Additionally, students must take three credits<br />
hours (one course) chosen from among a set <strong>of</strong> biology, chemistry, and materials<br />
science courses (BIOL 107, BIOL 115, CHEM 126, or MS 201).<br />
The mathematics and basic sciences component, taken together, amounts to 41 credit<br />
hours. Using 32 credit hours as equivalent to a year <strong>of</strong> full-time study, this is 1.28 years<br />
<strong>of</strong> study.<br />
Engineering topics in the curriculum include 25 credit hours (nine courses) <strong>of</strong> required<br />
ECE coursework at the 100 through 400 level, 22 credit hours <strong>of</strong> computer coursework<br />
central to computer engineering, 3 or 4 credit hours from the junior computer<br />
engineering elective, and between 10 and 12 credit hours <strong>of</strong> pr<strong>of</strong>essional electives (one<br />
course at 4 credits and two courses at 3 credits hours each, with the possibility <strong>of</strong> one<br />
additional credit hour for each <strong>of</strong> the last two courses if the course includes a laboratory<br />
component), and 3 credit hours (one course) <strong>of</strong> mechanical engineering (MMAE 200 or<br />
MMAE 320), for a total <strong>of</strong> between 63 and 66 credit hours. The engineering component<br />
therefore provides a minimum <strong>of</strong> 1.94 years <strong>of</strong> study.<br />
Four credit hours (two courses) <strong>of</strong> basic computer science are also required.<br />
Complementing the technical component <strong>of</strong> the program are 21 credit hours (seven<br />
courses) <strong>of</strong> humanities and social sciences as part <strong>of</strong> the general education component<br />
27
<strong>of</strong> the curriculum. The six credit hours (two courses) <strong>of</strong> interpr<strong>of</strong>essional projects may<br />
or may not be technical in nature.<br />
Courses in the curriculum and their contribution to the various components are listed in<br />
Table 5-1.<br />
Major Design Experience<br />
The major design experience within the curriculum is built around the open-ended<br />
design projects in the required course ECE 441 and in the required hardware design<br />
elective ECE 429 or ECE 446. This core hardware design experience is supplemented<br />
with s<strong>of</strong>tware design in the required course CS 487. Some students may also select for<br />
their pr<strong>of</strong>essional electives (two courses in the senior year) one or two 400-level ECE<br />
courses with a laboratory component. The laboratory segment <strong>of</strong> each such courses<br />
includes an open-ended design project that provides a meaningful design experience.<br />
The following are descriptions <strong>of</strong> the major design experience included in the above<br />
mentioned courses.<br />
ECE 429 (Introduction to VLSI Design) – Students must complete a design project with<br />
an open-ended specification for a system (a RISC type CPU design with additional<br />
components such as SRAM memory units) and a set <strong>of</strong> constraints such as timing (clock<br />
frequency) and area (chip size). This must be transformed into specifications for<br />
synthesis tools that result in a circuit with proper functionality that meets the design<br />
constraints. Lecture material in this course stresses the importance <strong>of</strong> design correctness<br />
and reliability, the economic considerations <strong>of</strong> integrated circuit design, and several<br />
other “real-world” considerations. The design project tests the students’ understanding<br />
<strong>of</strong> CMOS circuits and their pr<strong>of</strong>iciency <strong>of</strong> using engineering CAD tools for high-level<br />
synthesis. They have to make appropriate engineering judgments to achieve the design<br />
constraints. For the evaluation <strong>of</strong> the projects, the students have to demonstrate the<br />
circuit functionality in the lab environment. They also submit a technical report with<br />
descriptions <strong>of</strong> the individual architectural components and a comprehensive discussion<br />
<strong>of</strong> their design decisions and the circuit performance.<br />
ECE 441 (Microcomputers) – The major design project focuses on designing and<br />
implementing a Resident Monitor Firmware that monitors/debugs and allows exception<br />
handling and other specialized functions. The students incorporate into the design the<br />
ability to handle a variety <strong>of</strong> exceptions; they load, test, and execute a number <strong>of</strong><br />
programs; and they develop memory monitoring programs. Various logical and<br />
arithmetic operations are also implemented in the course <strong>of</strong> the project.<br />
ECE 446 (Advanced Logic Design) – The major design project includes the designing<br />
<strong>of</strong> a serial transmitter, the design <strong>of</strong> a serial receiver, and the creation <strong>of</strong> a serial<br />
communication system between transmitter and receiver. During this project, students<br />
are provided the input-output requirements <strong>of</strong> the two systems and a general description<br />
<strong>of</strong> their operation. This initial specification must be refined into a working<br />
implementation that is feasible under the constraints <strong>of</strong> a relatively small set <strong>of</strong> parts<br />
and a fixed communication rate. The circuits must be designed for conservative, reliable<br />
operation using a fully synchronous design methodology.<br />
28
CS 487 (S<strong>of</strong>tware Engineering I) – Students build a s<strong>of</strong>tware system using the waterfall<br />
life cycle model. Students working in teams develop all life cycle deliverables:<br />
requirements document, specification and design documents, system code, test plan, and<br />
user manuals.<br />
The available laboratory courses from which students may choose their additional<br />
pr<strong>of</strong>essional electives are<br />
ECE 406: Digital Data Communications with Laboratory<br />
ECE 407: Introduction to Computer Networks with Laboratory<br />
ECE 411: Power Electronics<br />
ECE 412: Electric Motor Drives<br />
ECE 419: Power Systems Analysis<br />
ECE 423: Microwave Circuits and Systems with Laboratory<br />
ECE 436: Digital Signal Processing I with Laboratory<br />
The major design experiences for these courses are described below.<br />
ECE 406 (Digital and Data Communication with Laboratory) – Students propose a<br />
project subject to the instructor's approval. After their initial proposal has been<br />
improved, students must develop a preliminary specification, create a design, construct<br />
the design and test it for proper operation. Students write a formal report about the<br />
project and make an oral presentation at the end <strong>of</strong> the semester. Example projects<br />
include a Binary Frequency Shift Keying Modulator & Demodulator with additive<br />
noise, a Linear Delta Modulator to encode audio signals, an Error Detection/Correction<br />
system for binary data, Frequency Division Multiple Access, and Direct Sequence<br />
Spread Spectrum. System stability (reliability with time and temperature variation) is an<br />
important design consideration, as is the number and cost <strong>of</strong> components used in the<br />
design. They must also take realistic power and spectral requirements into<br />
consideration.<br />
ECE 407 (Introduction to Computer Networks with Laboratory) –After six laboratory<br />
experiments in which students learn about fundamental concepts related to network<br />
design and operation and are exposed to different network architectures and protocols,<br />
the students are given a design project with an open-ended specification for a network.<br />
The objective <strong>of</strong> this project is to identify a small business with a certain number <strong>of</strong><br />
employees, and to set up a network for that business. After the design <strong>of</strong> the LAN and<br />
WAN connections, the students need to evaluate and select different computing,<br />
telecommunication and networking systems and application/system s<strong>of</strong>tware and lastly<br />
perform a cost analysis <strong>of</strong> their solution. The design project tests the students’<br />
understanding <strong>of</strong> “real-world” computer networks. They have to make appropriate<br />
engineering judgments to achieve the design constraints. At the end, they submit a<br />
technical report with descriptions <strong>of</strong> their design as if they will be submitting a quote<br />
for a "tender" from a company. They have to give justification for why their design<br />
should be selected. Beyond networking features, students will learn some <strong>of</strong> the<br />
business aspects <strong>of</strong> networking through this project.<br />
29
ECE 411 (Power Electronics) – The major design project concerns the design <strong>of</strong> a<br />
switched-mode power supply (SMPS) according to specifications provided to the<br />
students. At the beginning <strong>of</strong> this project, each student selects and studies an application<br />
environment for the power supply to define the market potential, load requirements, and<br />
necessary system ratings. A basic SMPS conversion configuration is selected and must<br />
be justified based on the application requirements and cost competitiveness. The<br />
designed system is then modeled using one <strong>of</strong> a variety <strong>of</strong> s<strong>of</strong>tware packages available<br />
in the laboratory. Comprehensive simulations with different practical load conditions<br />
defined by the selected application are conducted, and based on the simulation results<br />
the design may be modified. In the next stage, a gate driver circuit for each power<br />
electronic switch must be designed, and students must use available components and<br />
datasheets from companies and vendors to provide the practical design for the SMPS<br />
system. Here, students comprehensively address realistic constraints and<br />
implementation issues such as cost, packaging, manufacturability, reliability, thermal<br />
management, sustainability, and safety. Advantages <strong>of</strong> the final design are be presented<br />
in the final report.<br />
ECE 412 (Electric Motor Drives) – In the design project, an electric motor drive is<br />
designed. At the beginning <strong>of</strong> this project, each student must select a motion control<br />
application together with an appropriate electric motor technology for the selected<br />
application. The students investigate the market potential for the selected application,<br />
define the load requirements for the motor drive, select appropriate ratings <strong>of</strong> the system<br />
in keeping with commercially available practical models, and choose parameters and<br />
equivalent circuits conforming to constraints in the selected application. The students<br />
then design the system based on the application requirements and cost competitiveness,<br />
with the design including a power electronic driver for the machine. Using s<strong>of</strong>tware<br />
packages available in the lab, students model the entire system (including the electrical<br />
source and mechanical load) and conduct comprehensive simulations that test the<br />
designed systems under different practical load conditions defined by the selected<br />
application. Based on the simulation results, the design may be modified. At this stage,<br />
students look at the available components and datasheets from companies and vendors<br />
and provide the practical design <strong>of</strong> the system, comprehensively addressing realistic<br />
constraints and implementation issues such as cost, packaging, manufacturability,<br />
reliability, thermal management, sustainability, and safety. A final report includes<br />
selected application parameters, simulation results, design steps, and advantages <strong>of</strong> the<br />
final design.<br />
ECE 419 (Power Systems Analysis) – Students complete two design projects in this<br />
course. In the first, students are required to make an electrical design <strong>of</strong> a transmission<br />
line considering such realistic constraints as transfer distance, available voltage levels,<br />
conductor sizes, and transmission tower structures. In the second, students design <strong>of</strong> an<br />
over-current protection system considering such realistic constraints as CT ratio choice,<br />
relay settings, coordination, and evaluation.<br />
ECE 423 (Microwave Circuits and Systems with Laboratory) – In the major design<br />
project, students design and fabricate a microstrip circuit to meet a set <strong>of</strong> specifications.<br />
Consideration is given to selecting a design that can be fabricated within the tolerance<br />
<strong>of</strong> the printed circuit machining equipment and that reasonable repeatability <strong>of</strong> both the<br />
30
circuit pattern and the realized performance can be expected. A comparison <strong>of</strong> two<br />
possible designs is made in terms <strong>of</strong> their performance and ease <strong>of</strong> fabrication. An<br />
assessment <strong>of</strong> the ease <strong>of</strong> integration <strong>of</strong> the circuit with other circuits and devices needs<br />
to be given. It is also <strong>of</strong> interest to estimate the cost <strong>of</strong> manufacturing for the circuit in<br />
quantities <strong>of</strong> 1, 10, and 1,000 to see the economic implication <strong>of</strong> integrating the<br />
functional circuit in a microwave system.<br />
ECE 436 (Digital Signal Processing I with Laboratory) – The major design experience<br />
is a project in which students research a technical area, design and build a working<br />
system, submit a written report, and make an oral presentation and demonstration to the<br />
laboratory section about the project. While a list <strong>of</strong> sample project topics is suggested,<br />
the students are encouraged to propose and explore additional topics <strong>of</strong> their own that<br />
are consistent with the course material and approved by the laboratory instructor. The<br />
design must address realistic constraints such as cost and time factors; the trade-<strong>of</strong>fs <strong>of</strong><br />
performance versus complexity and cost; and ethical, social, and pr<strong>of</strong>essional issues<br />
such as safety, security, and privacy.<br />
Time and Attention to Each Curricular Component<br />
Adequate time and attention are given to each curricular component as described in the<br />
sections above that detail how the curriculum prepares students for a pr<strong>of</strong>essional career<br />
and how the credit hours distribute in the program.<br />
Cooperative education<br />
Cooperative education is not used to satisfy any curricular requirements.<br />
Materials Available for Review<br />
The ECE Department has assembled for review the following materials for each<br />
undergraduate ECE course that was taught in academic year 2007/<strong>2008</strong>. These are<br />
organized by course.<br />
• Course information materials such as syllabus, policies, and objectives.<br />
• Tests, quizzes, and examinations.<br />
• Homework and other assignments.<br />
• Samples <strong>of</strong> graded work, including<br />
o tests, quizzes, and examinations;<br />
o homework assignments;<br />
o laboratory reports;<br />
o project reports.<br />
• Textbooks and lab manuals<br />
Samples <strong>of</strong> graded student work are also separately organized by program outcome. In<br />
this way, the samples <strong>of</strong> graded student work more readily illustrate abilities in science,<br />
engineering, and mathematics; writing skills; and design skills.<br />
31
• Prerequisite Flow Chart<br />
Figure 5-1 presents a flow chart showing the progression <strong>of</strong> courses through the<br />
curriculum. In Figure 5-1, each row represents a semester <strong>of</strong> study, with eight semesters<br />
comprising the four years <strong>of</strong> study in the program. Solid arrows show a prerequisite<br />
dependence (with the course at the head <strong>of</strong> the arrow requiring completion <strong>of</strong> the course<br />
at the tail); dashed arrows indicate a co-requisite dependence (with the course at the<br />
head <strong>of</strong> the arrow requiring co-registration in the course at the tail).<br />
32
MATH<br />
151<br />
CHEM<br />
122<br />
CS<br />
115<br />
ECE<br />
100<br />
Soc Sci<br />
elective<br />
(Prereq link for MS 201 and CHEM 126 only)<br />
MATH<br />
152<br />
PHYS<br />
123<br />
CS<br />
116<br />
BIOL 107,<br />
BIOL 115,<br />
CHEM<br />
126, or MS<br />
201<br />
HUM<br />
1xx<br />
MATH<br />
252<br />
PHYS<br />
221<br />
ECE<br />
211<br />
ECE<br />
212<br />
ECE<br />
218<br />
CS<br />
331<br />
MATH<br />
251<br />
PHYS<br />
224<br />
ECE<br />
213<br />
ECE<br />
214<br />
CS<br />
350<br />
CS<br />
330<br />
(see caption)<br />
Jr. Math<br />
Elective<br />
MMAE<br />
200 or<br />
320<br />
Hum<br />
elective<br />
ECE<br />
311<br />
CS<br />
351<br />
(see caption)<br />
MATH<br />
474<br />
IPRO<br />
I<br />
Soc Sci<br />
elective<br />
Jr. CPE<br />
Elective<br />
CS<br />
450<br />
Hum or<br />
Soc Sci<br />
elective<br />
Pr<strong>of</strong><br />
elective<br />
ECE<br />
441<br />
ECE<br />
485<br />
CS<br />
487<br />
Pr<strong>of</strong><br />
elective<br />
ECE 429<br />
or<br />
ECE 446<br />
IPRO<br />
II<br />
Hum<br />
elective<br />
Soc Sci<br />
elective<br />
Figure 5-1: BSEE program prerequisite flowchart. Solid arrow = prerequisite; dashed arrow =<br />
co-requisite. (Notes: MMAE 200 and 320 have courses from semesters 1 to 4 as prerequisites;<br />
the Jr. CPE Elective options have courses from semesters 1 to 5 as prerequisites.)<br />
33
Course Syllabi<br />
Course syllabi are provided in Appendix A for each course used to satisfy the<br />
mathematics, science, and discipline-specific requirements required by Criterion 5 and<br />
by Program Criteria specific to electrical engineering.<br />
34
Semester<br />
Table 5-1 Curriculum, part 1 <strong>of</strong> 2<br />
Course<br />
(Department, Number, Title)<br />
Computer Engineering<br />
Math & Basic<br />
Sciences<br />
Category (Credit Hours)<br />
Engineering<br />
Topics<br />
Check if<br />
Contains<br />
Significant General<br />
Design () Education<br />
1 MATH 151 Calculus I 5 ( )<br />
1 CHEM 122 Principles <strong>of</strong> Chemistry I 3 ( )<br />
1 CS 115 Object-oriented Programming I 2 ( )<br />
1 ECE 100 Introduction to the Pr<strong>of</strong>ession 3 ()<br />
1 Social Science Elective ( ) 3<br />
2 MATH 152 Calculus II 5 ( )<br />
2 PHYS 123 Mechanics 4 ( )<br />
2 Science Elective (BIOL 107, BIOL 115, 3 ( )<br />
CHEM 126, or MS 201)<br />
2 CS 116 Object-oriented Programming II 2 ( )<br />
2 Humanities 100-level Course ( ) 3<br />
3 MATH 252 Introduction to Differential 4 ( )<br />
Equations<br />
3 PHYS 221 Electromagnetism & Optics 4 ( )<br />
3 ECE 211 Circuit Analysis I 3 ( )<br />
3 ECE 212 Analog and Digital<br />
1 ( )<br />
Laboratory I<br />
3 ECE 218 Digital Systems 3 ( )<br />
3 CS 331 Data Structures and Algorithms 3 ( )<br />
4 MATH 251 Multivariate and Vector<br />
4 ( )<br />
Calculus<br />
4 PHYS 224 Thermal & Modern Physics 3 ( )<br />
4 ECE 213 Circuit Analysis II 3 ( )<br />
4 ECE 214 Analog and Digital<br />
1 ( )<br />
Laboratory II<br />
4 CS 350 Computer Organization and<br />
3 ( )<br />
Assembly Language Programming<br />
4 CS 330 Discrete Structures 3 ( )<br />
5 Engineering Science Elective (MMAE<br />
3 ( )<br />
200 or MMAE 320)<br />
5 ECE 311 Engineering Electronics 4 ( )<br />
5 CS 351 Systems Programming 3 ( )<br />
5 Junior mathematics elective (MATH<br />
3 ( ) 0<br />
333 or 350)<br />
5 Humanities Elective ( ) 3<br />
6 Junior computer engineering elective<br />
3 or 4 ( )<br />
(ECE 307, 308, 312, or 319)<br />
6 CS 450 Operating Systems I 3 ( )<br />
6 MATH 474 Probability & Statistics 3 ( )<br />
6 IPRO I Interpr<strong>of</strong>essional Project ( ) 3<br />
6 Social Science Elective ( ) 3<br />
Other<br />
35
Semester<br />
Table 5-1 Curriculum, part 2 <strong>of</strong> 2<br />
Course<br />
(Department, Number, Title)<br />
Computer Engineering<br />
Math & Basic<br />
Sciences<br />
Category (Credit Hours)<br />
Engineering<br />
Topics<br />
Check if<br />
Contains<br />
Significant General<br />
Design () Education<br />
7 ECE 441 Microcomputers 4 ()<br />
7 ECE 485 Computer Organization and<br />
3 ( )<br />
Design<br />
7 CS 487 S<strong>of</strong>tware Engineering I 3 ()<br />
7 Pr<strong>of</strong>essional Elective [ECE or CS 4xx] 3 or 4 ( )<br />
7 Humanities or Social Science Elective ( ) 3<br />
8 Pr<strong>of</strong>essional Elective [ECE or CS 4xx] 3 or 4 ( )<br />
8 Hardware-design Elective [ECE 429 or<br />
4 ()<br />
ECE 446]<br />
8 IPRO II Interpr<strong>of</strong>essional Project ( ) 3<br />
8 Humanities Elective ( ) 3<br />
8 Social Science Elective ( ) 3<br />
( )<br />
( )<br />
( )<br />
Add rows as needed to show all courses in the curriculum.<br />
Other<br />
TOTALS-ABET BASIC-LEVEL REQUIREMENTS 41 hrs 63 to 66 hrs 21 hrs 6 hrs<br />
OVERALL TOTAL<br />
131 hrs<br />
FOR DEGREE<br />
PERCENT OF TOTAL 30.6 to 31.3% 47.0 to 51.1% 15.7 to 16.0% 4.5 to<br />
4.6%<br />
Totals must Minimum semester credit hours 32 hrs 48 hrs<br />
satisfy one set Minimum percentage 25% 37.5 %<br />
Note that instructional material and student work verifying course compliance with ABET criteria for the<br />
categories indicated above will be required during the campus visit..<br />
36
Course No.<br />
Title<br />
Table 5-2. Course and Section Size Summary, part 1 <strong>of</strong> 3<br />
Responsible<br />
Faculty<br />
Member<br />
Computer Engineering<br />
No. <strong>of</strong><br />
Sections<br />
Offered in<br />
Current Year<br />
Avg. Section<br />
Enrollment Lecture 1 Laboratory 1 Other 1<br />
ECE 100 Introduction to the Pr<strong>of</strong>ession I D.R. Ucci 5 17 67% 33%<br />
ECE 211 Circuit Analysis I J.L. LoCicero 2 78 100%<br />
ECE 212 Analog and Digital Laboratory I A. Khaligh 6 17 100%<br />
ECE 213 Circuit Analysis II T.T.Y. Wong 2 44 100%<br />
ECE 214 Analog and Digital Laboratory II A. Khaligh 5 16 100%<br />
ECE 218 Digital Systems S. Borkar 2 65 100%<br />
ECE 242 Digital Computers and Computing S. Borkar 2 26 100%<br />
ECE 307 Electrodynamics T.T.Y. Wong 2 25 75% 25% (recitation)<br />
ECE 308 Signals and Systems D.R. Ucci 2 33 100%<br />
ECE 311 (lecture) Engineering Electronics G. Saletta 2 33 100%<br />
ECE 311 (lab) Engineering Electronic G. Saletta 4 16 100%<br />
ECE 312 (lecture) Electronic Circuits T.T.Y. Wong 2 29 100%<br />
ECE 312 (lab) Electronic Circuits T.T.Y. Wong 4 14 100%<br />
ECE 319 (lecture) Fundamentals <strong>of</strong> Power Engineering A. Flueck 2 27 100%<br />
ECE 319 (lab) Fundamentals <strong>of</strong> Power Engineering A. Flueck 7 8 100%<br />
1<br />
Enter the appropriate percent for each type <strong>of</strong> class for each course (e.g., 75% lecture, 25% laboratory).<br />
37
Course No.<br />
Title<br />
Table 5-2. Course and Section Size Summary, part 2 <strong>of</strong> 3<br />
Computer Engineering<br />
Responsible<br />
Faculty<br />
Member<br />
No. <strong>of</strong><br />
Sections<br />
Offered in<br />
Current Year<br />
ECE 401 Communication Electronics K. Choi 1 13 100%<br />
ECE 403 Communication Systems J.L. LoCicero 1 41 100%<br />
ECE 404/406 (lecture) Digital and Data Communication J.L. LoCicero 1 34 100%<br />
Avg. Section<br />
Enrollment Lecture 1 Laboratory 1 Other 1<br />
ECE 406 (lab) Digital and Data Communications with Lab. J.L. LoCicero 1 7 100%<br />
ECE 407/408 (lecture) Introduction to Computer Networks with Lab. T. Anjali 2 60 100%<br />
ECE 407 (lab) Introduction to Computer Networks T. Anjali 5 14 100%<br />
ECE 411 (lecture) Power Electronics A. Emadi 1 41 100%<br />
ECE 411 (lab) Power Electronics A. Emadi 4 10 100%<br />
ECE 412 (lecture) Electric Motor Drives A. Emadi 1 38 100%<br />
ECE 412 (lab) Electric Motor Drives A. Emadi 4 10 100%<br />
ECE 419 (lecture) Power Systems Analysis Z. Li 1 34 100%<br />
ECE 419 (lab) Power Systems Analysis Z. Li 3 11 100%<br />
ECE 420 Analytical Methods in Power Systems S.M. Shahidehpour 1 28 100%<br />
ECE 421/423 (lecture) Microwave Circuits and Systems T.T.Y. Wong 1 26 100%<br />
ECE 423 (lab) Microwave Circuits and Systems with Lab. T.T.Y. Wong 1 7 100%<br />
ECE 425 Analysis and Design <strong>of</strong> Integrated Circuits Y. Xu 1 27 100%<br />
ECE 436/437 (lecture) Digital Signal Processing I Y. Yang 1 49 100%<br />
ECE 436 (lab) Digital Signal Processing I with Lab. Y. Yang 1 13 100%<br />
ECE 438 Control Systems D.R. Ucci 1 40 100%<br />
ECE 441 (lecture) Microcomputers J. Saniie 2 25 100%<br />
ECE 441 (lab) Microcomputers J. Saniie 4 13 100%<br />
ECE 446 (lecture) Advanced Logic Design J. Saniie 1 34 100%<br />
ECE 446 (lab) Advanced Logic Design J. Saniie 2 17 100%<br />
ECE 448 Mini/Micro Computer Programming E. Oruklu 0 0 100%<br />
ECE 449<br />
Object-oriented Programming and Computer<br />
E. Oruklu 0 0 100%<br />
Simulation<br />
ECE 481 Image Processing J. Brankov 1 21 100%<br />
ECE 485 Computer Organization and Design S. Borkar 1 49 100%<br />
1<br />
Enter the appropriate percent for each type <strong>of</strong> class for each course (e.g., 75% lecture, 25% laboratory).<br />
38
Course No.<br />
Title<br />
Table 5-2. Course and Section Size Summary, part 3 <strong>of</strong> 3<br />
Computer Engineering<br />
Responsible<br />
Faculty<br />
Member<br />
No. <strong>of</strong><br />
Sections<br />
Offered in<br />
Current Year<br />
ECE 425 Analysis and Design <strong>of</strong> Integrated Circuits Y. Xu 1 27 100%<br />
ECE 429 (lecture) Introduction to VLSI Design K. Choi 2 66 100%<br />
Avg. Section<br />
Enrollment Lecture 1 Laboratory 1 Other 1<br />
ECE 429 (lab) Introduction to VLSI Design K. Choi 6 22 100%<br />
ECE 436/437 (lecture) Digital Signal Processing I Y. Yang 1 49 100%<br />
ECE 436 (lab) Digital Signal Processing I with Lab. Y. Yang 1 13 100%<br />
ECE 438 Control Systems D.R. Ucci 1 40 100%<br />
ECE 441 (lecture) Microcomputers J. Saniie 2 25 100%<br />
ECE 441 (lab) Microcomputers J. Saniie 4 13 100%<br />
ECE 446 (lecture) Advanced Logic Design J. Saniie 1 34 100%<br />
ECE 446 (lab) Advanced Logic Design J. Saniie 2 17 100%<br />
ECE 448 Mini/Micro Computer Programming E. Oruklu 0 0 100%<br />
Object-oriented Programming and Computer<br />
ECE 449<br />
E. Oruklu 0 0 100%<br />
Simulation<br />
ECE 481 Image Processing J. Brankov 1 21 100%<br />
ECE 485 Computer Organization and Design S. Borkar 1 49 100%<br />
1<br />
Enter the appropriate percent for each type <strong>of</strong> class for each course (e.g., 75% lecture, 25% laboratory).<br />
39
CRITERION 6. FACULTY<br />
• Leadership Responsibilities<br />
The Chair <strong>of</strong> the ECE Department has leadership responsibility for the <strong>BSCPE</strong><br />
program, with the assistance <strong>of</strong> the Associate Chair. The chair is responsible for<br />
fundraising activities, interfacing with the upper administration, budget, teaching<br />
assignments (full-time faculty, part-time faculty, teaching assistants), evaluating and<br />
monitoring teaching performance <strong>of</strong> full-time faculty, supervising part-time faculty,<br />
faculty hiring, involvement in promotion and tenure, salaries and raises, staff<br />
supervision, and oversight <strong>of</strong> facilities.<br />
The Associate Chair also has responsibilities as Director <strong>of</strong> ECE Undergraduate<br />
Programs, including the <strong>BSCPE</strong> Program. The Associate Chair oversees the Office <strong>of</strong><br />
the ECE Advisor and thereby manages faculty advising <strong>of</strong> students, handles matters<br />
pertaining to undergraduate probation and reinstatement, assists the Office <strong>of</strong><br />
Educational Services regarding graduation checkout and regarding transfer credit, and<br />
assists the Office <strong>of</strong> Admission regarding any admission matters. The Associate Chair is<br />
the program’s liaison to the university Undergraduate Studies Committee<br />
The ECE Undergraduate Program Committee, chaired by a faculty member, oversees<br />
all curricular matters associated with the undergraduate programs, and assists with<br />
policies regarding undergraduate advising and matters pertaining to undergraduate<br />
probation and reinstatement.<br />
• Authority and Responsibility <strong>of</strong> Faculty<br />
Proposals for new courses, for modifications to existing courses, and for the elimination<br />
<strong>of</strong> courses originate with the faculty <strong>of</strong> the department <strong>of</strong>fering the course. In the ECE<br />
Department, the ECE Undergraduate Program Committee (or for graduate level courses,<br />
the ECE Graduate Program Committee) reviews the course change proposal and either<br />
approves or rejects the proposal. If the Undergraduate Program Committee approves the<br />
course change, this fact is reported to the ECE Faculty and the course proposal is<br />
forwarded to the ECE Chair for approval. If approved by the ECE Chair, the course<br />
proposal is then passed to the Dean <strong>of</strong> Armour College for approval, and if approved is<br />
then sent to the university Registrar.<br />
To ensure consistency <strong>of</strong> the courses taught, instructors must follow the catalog<br />
description and provide instruction leading to the achievement <strong>of</strong> the course objectives.<br />
Feedback regarding whether this happens is obtained via student evaluations <strong>of</strong><br />
teaching. These student evaluations are conducted university-wide in all courses. They<br />
include a question in which students rate to what degree “The course covered the<br />
announced objectives.”<br />
The monitoring <strong>of</strong> course quality is also achieved using the student evaluations <strong>of</strong><br />
teaching. A range <strong>of</strong> questions regarding the quality <strong>of</strong> instruction and the quality <strong>of</strong> the<br />
course are posed to the students in the evaluation questionnaire, and the students also<br />
have the opportunity to provide additional comments. The results <strong>of</strong> the student<br />
evaluations are provided to the department chair, who can then take corrective or<br />
40
supportive actions as appropriate. Results are also provided as feedback to the<br />
instructors, taking care to protect student anonymity in the process.<br />
The department chair supervises part-time faculty and evaluates them on a semester-bysemester<br />
basis. Student evaluations <strong>of</strong> teaching apply to part-time faculty just as to fulltime.<br />
• Faculty<br />
The ECE Department includes 24 full-time faculty members. One <strong>of</strong> these, Dr. M.<br />
Anastasio, has his primary academic appointment in the Department <strong>of</strong> Biomedical<br />
Engineering. There are also five adjunct (part-time) faculty members who have recently<br />
been engaged in undergraduate instruction. All <strong>of</strong> the full-time faculty hold Ph.D.<br />
degrees. The highest degree <strong>of</strong> all three <strong>of</strong> the five part-time faculty is a Ph.D., and two<br />
hold a Master’s degree as their highest degree.<br />
The faculty is internationally recognized for its achievements in education, research,<br />
and service to pr<strong>of</strong>essional organizations. Besides being frequent contributors to<br />
archival journals and authors <strong>of</strong> technical books, faculty members are appointed to<br />
editorial positions in pr<strong>of</strong>essional societies. Faculty members are active in the technical<br />
societies <strong>of</strong> pr<strong>of</strong>essional organizations such as the IEEE, and serve on peer review<br />
panels <strong>of</strong> technical committees <strong>of</strong> various agencies such as the National Science<br />
Foundation. Many faculty members maintain a close working relationship with industry<br />
and are the originators <strong>of</strong> patents issued in the United States and overseas.<br />
All adjunct faculty members have extensive industrial experience. A significant portion<br />
<strong>of</strong> this group has doctoral degrees. They provide valuable industrial input to the<br />
curriculum.<br />
The level <strong>of</strong> activity and pr<strong>of</strong>essional background information <strong>of</strong> the ECE faculty are<br />
presented in Tables 6-1 and 6-2. The faculty curricula vitae are included in Appendix B.<br />
• Faculty Competencies<br />
Within the group <strong>of</strong> 25 full-time faculty, there are seven full-time faculty in the<br />
computers and microelectronics area providing directed expertise in computer<br />
engineering. Dr. Tricha Anjali has expertise in broadband networks, adaptive network<br />
management and optical networks. Dr. Yu Cheng has expertise in service-oriented<br />
networking, autonomic network management, internet performance analysis, quality <strong>of</strong><br />
service provisioning, and resource allocation, wireless networks, and wireless/wireline<br />
interworking. Dr.Ken Choi specializes in DFP (Design For Power) VLSI chip design<br />
and automation for low power; and DFM (Design for Manufacturing) process variation<br />
and thermal effects analysis, and electrical verification for noise margin, IR drop, and<br />
signal EM (electro-migration). Dr. Erdal Oruklu focuses on reconfigurable computing,<br />
advanced computer architectures, hardware/s<strong>of</strong>tware co-design and embedded systems.<br />
Dr. Kui Ren is an expert on network and system security, wireless networks, ubiquitous<br />
computing, internet security, information assurance, and applied cryptography. Dr. Jafar<br />
Saniie provides expertise in digital logic design and pattern recognition, and<br />
additionally in digital signal and image processing, ultrasonic imaging, detection and<br />
estimation, diffraction tomography, and nondestructive testing. Dr. Yang Xu is<br />
knowledgeable in RFIC design for digital communication and wireless medical<br />
41
technology, ultra low-power RFIC designs in digital communication such as<br />
CDMA/WCDMA cellular systems, sensor mesh networks, and satellite navigation<br />
systems, analog IC design automation, RFIC noise/nonlinearity macromodel and analog<br />
IC design for manufacturability. These competencies cover a broad range <strong>of</strong> topics<br />
within computer engineering.<br />
Further, there are 11 faculty members in the communications and signal processing<br />
area. In addition to general competencies in communications and signal processing, a<br />
partial list <strong>of</strong> specific areas <strong>of</strong> expertise <strong>of</strong> these faculty is adaptive systems, biomedical<br />
signal processing, data compression, digital mobile and wireless communications,<br />
medical imaging, pattern recognition, speech recognition and processing, and ultrasonic<br />
signal processing.<br />
Another group <strong>of</strong> seven full-time faculty are in the power and control area. Areas <strong>of</strong><br />
pr<strong>of</strong>iciency within this group include, among other topics, biomechanical energy<br />
scavenging, computational methods in power systems, large-scale power systems,<br />
market operation <strong>of</strong> electric power systems, power electronics, and vehicular power and<br />
electronics systems.<br />
These faculty collectively provide core competencies across a broad range <strong>of</strong> advanced<br />
topics within computer and electrical engineering, including the core engineering<br />
science within the discipline.<br />
• Faculty Size<br />
The full-time faculty <strong>of</strong> the ECE Department number 23 (excluding Pr<strong>of</strong>. Anastasio,<br />
whose primary faculty appointment and teaching responsibilities are in the Department<br />
<strong>of</strong> Biomedical Engineering). The <strong>BSCPE</strong> Program enrolls approximately 145 students<br />
on average (in full-time-equivalents). The BSEE Program, for which the ECE Faculty<br />
also has responsibility, enrolls approximately 190 students on average. Thus, the<br />
student-to-faculty ratio for the ECE Department is approximately 14.6. The average<br />
lecture size for all undergraduate level ECE courses in academic year 2007/<strong>2008</strong> was<br />
39.8 students, and in 400-level ECE courses it was 38.0 students. These levels enable a<br />
strong quality <strong>of</strong> faculty/student interaction during course instruction.<br />
The average teaching load for full-time faculty in calendar year 2007 was three courses<br />
per year. This reflects a reduction from the average <strong>of</strong> 3.5 courses per year during the<br />
period from 2000 to 2005. This load enables adequate faculty time for service activities<br />
and pr<strong>of</strong>essional development.<br />
Abbreviated resumes for each program faculty member with the rank <strong>of</strong> instructor or<br />
above are provided in Appendix B.<br />
• Faculty Development<br />
The activities relevant to faculty pr<strong>of</strong>essional development are listed in the following.<br />
• Research efforts in the faculty’s area <strong>of</strong> specialization (funded both externally<br />
and internally).<br />
• Service to pr<strong>of</strong>essional organizations.<br />
• Technical conference and workshop attendance.<br />
42
• Teaching workshops <strong>of</strong>fered by <strong>IIT</strong>.<br />
• Research proposal preparation workshops <strong>of</strong>fered by <strong>IIT</strong>.<br />
• Editorial activities in technical societies.<br />
• Publishing journal articles and authoring books.<br />
• Peer review <strong>of</strong> journal submissions and grant proposals.<br />
• Patents.<br />
• Invited lectures and seminars.<br />
• Collaboration with industry and government laboratories.<br />
• Exchange and visiting faculty programs.<br />
For each faculty member, the majority <strong>of</strong> these activities are detailed in the abbreviated<br />
resumes provided in Appendix B.<br />
For new faculty, start-up packages provide funding for the purposes <strong>of</strong> establishing<br />
research laboratories, supporting research assistants, attending pr<strong>of</strong>essional conferences,<br />
visiting funding agencies, and for other support <strong>of</strong> research activities.<br />
All untenured faculty must attend at least one <strong>of</strong> the teaching workshops regularly<br />
<strong>of</strong>fered by <strong>IIT</strong>, and other faculty are encouraged to attend these. Proposal writing<br />
workshops, fund searching workshops, workshops on budgeting basics, compliance<br />
workshops, and Small Business Innovation Research (SBIR) and Small Business<br />
<strong>Technology</strong> Transfer (STTR) workshops are <strong>of</strong>fered on a regular basis by the Office <strong>of</strong><br />
Sponsored Research and Programs, and program faculty are encouraged to attend these.<br />
The Office <strong>of</strong> the Graduate Dean oversees a program for Educational Research<br />
Initiative Fund (ERIF). The objective <strong>of</strong> the ERIF program is to provide seed funding to<br />
initiate innovative research and education programs that will use the results obtained<br />
during the project period for developing proposals seeking external funding.<br />
There is a limited amount <strong>of</strong> funding in the department budget for senior faculty to<br />
attend pr<strong>of</strong>essional society meetings or to visit funding agencies. Support for junior<br />
faculty for these activities comes from their start-up packages.<br />
The Office <strong>of</strong> Undergraduate Research promotes undergraduate research participation<br />
through undergraduate research stipends, with matching funds from the department.<br />
These funds assist faculty in their research programs.<br />
43
Table 6-1. Faculty Workload Summary, part 1 <strong>of</strong> 3<br />
Computer Engineering<br />
Faculty Member<br />
(name)<br />
FT<br />
or<br />
PT 4<br />
Classes Taught (Course No./Credit Hrs.)<br />
Fall 2007 and Spring <strong>2008</strong><br />
Teaching<br />
Total Activity Distribution 2<br />
Research/Scholarly<br />
Activity Other 3<br />
M.A. Anastasio FT BME 330 (3 cr), BME 540 (3 cr) 33% 67% 0%<br />
T. Anjali FT ECE 542 (3 cr), ECE 544 (3 cr) 33% 67% 0%<br />
G. Atkin FT<br />
S. Borkar FT<br />
ECE 511 (3 cr), ECE 513 (3 cr), ECE 514<br />
(3 cr), ECE 519 (3 cr)<br />
ECE 218 (3 cr), ECE 242 (3 cr) [2 semesters],<br />
ECE 485 (3 cr), ECE 585 (3 cr), ECE 586 (3 cr)<br />
67% 33% 0%<br />
100% 0% 0%<br />
J. Brankov FT ECE 481 (3 cr), ECE 568 (3 cr) 33% 67% 0%<br />
Y. Cheng FT ECE 541 (3 cr), ECE 545 (3 cr) 33% 67% 0%<br />
K. Choi FT ECE 429 (4 cr) [2 semesters] 33% 67% 0%<br />
A. Emadi FT ECE 412 (4 cr), ECE 497 (1 cr) [2 semesters] 33% 62%<br />
5% (research center<br />
director<br />
A. Flueck FT<br />
ECE 319 (4 cr) [2 semesters], ECE 558 (3 cr),<br />
ECE 562 (3 cr)<br />
67% 33% 0%<br />
A. Khaligh FT ECE 411 (4 cr), ECE 548 (3 cr) 33% 67% 0%<br />
Z. Li FT ECE 419 (4 cr), ECE 555 (3 cr) 33% 67% 0%<br />
J. LoCicero FT ECE 403 (3 cr), ECE 404/406 (4 cr) 33% 67%<br />
5% (research center<br />
director<br />
E. Oruklu FT ECE 529 (3 cr) 17% 83% 0%<br />
K. Ren FT ECE 543 (3 cr) [2 semesters] 33% 67% 0%<br />
1<br />
Indicate Term and Year for which data apply (the academic year preceding the visit).<br />
2<br />
Activity distribution should be in percent <strong>of</strong> effort. Members' activities should total 100%.<br />
3<br />
Indicate sabbatical leave, etc., under "Other."<br />
4<br />
FT = Full Time Faculty PT = Part Time Faculty<br />
44
Table 6-1. Faculty Workload Summary, part 2 <strong>of</strong> 3<br />
Computer Engineering<br />
Faculty Member<br />
(name)<br />
FT<br />
or<br />
PT 4<br />
Classes Taught (Course No./Credit Hrs.)<br />
Fall 2007 and Spring <strong>2008</strong><br />
Teaching<br />
Total Activity Distribution 2<br />
Research/Scholarly<br />
Activity Other 3<br />
J. Saniie FT ECE 441 (4 cr) [2 semesters], ECE 446 (4 cr) 50% 33% 17% (administration)<br />
S.M. Shahidehpour FT ECE 650 (3 cr) 17% 33% 50% (administration)<br />
H. Shanechi FT<br />
ECE 213 (3 cr), ECE 506 (3 cr), ECE 531<br />
(3 cr), ECE 560 (3 cr)<br />
67% 33% 0%<br />
D.R. Ucci<br />
FT<br />
ECE 100 (2 cr), ECE 308 (3 cr) [2 semesters],<br />
ECE 438 (3 cr)<br />
67% 33% 0%<br />
M. Wernick FT none 0% 95%<br />
5% (research center<br />
director<br />
G.A. Williamson FT ECE 537 (3 cr), ECE 567 (3 cr), ECE 569 (3 cr) 50% 50% 0%<br />
T.T.Y. Wong<br />
FT<br />
ECE 213 (3 cr), ECE 311 (4 cr), ECE 312<br />
(4 cr), ECE 421/423 (4 cr), ECE 578 (3 cr)<br />
83% 17% 0%<br />
Y. Xu FT ECE 527 (3 cr) [2 semesters] 33% 67% 0%<br />
Y. Yang FT ECE 436/437 (4 cr) 17% 33% 50% (sabbatical)<br />
I.S. Yetik FT ECE 565 (3 cr) 17% 83% 0%<br />
C. Zhou FT ECE 504 (3 cr) 17% 83% 0%<br />
1<br />
Indicate Term and Year for which data apply (the academic year preceding the visit).<br />
2<br />
Activity distribution should be in percent <strong>of</strong> effort. Members' activities should total 100%.<br />
3<br />
Indicate sabbatical leave, etc., under "Other."<br />
4<br />
FT = Full Time Faculty PT = Part Time Faculty<br />
45
Table 6-1. Faculty Workload Summary, part 3 <strong>of</strong> 3<br />
Computer Engineering<br />
Faculty Member<br />
(name)<br />
FT<br />
or<br />
PT 4<br />
Classes Taught (Course No./Credit Hrs.)<br />
Fall 2007 and Spring <strong>2008</strong><br />
Teaching<br />
Total Activity Distribution 2<br />
Research/Scholarly<br />
Activity Other 3<br />
B. Briley PT ECE 407/408 (4 cr) [2 semesters] 100% 0% 0%<br />
K.P. Ivanov PT ECE 307 (4 cr) [2 semesters] 100% 0% 0%<br />
R. Nordin PT ECE 401 (3 cr), ECE 425 (3 cr) 100% 0% 0%<br />
J.A. Pinnello PT ECE 211 (3 cr) [2 semesters] 100% 0% 0%<br />
P. Simko PT ECE 218 (3 cr) 17% 83% 0%<br />
1<br />
Indicate Term and Year for which data apply (the academic year preceding the visit).<br />
2<br />
Activity distribution should be in percent <strong>of</strong> effort. Members' activities should total 100%.<br />
3<br />
Indicate sabbatical leave, etc., under "Other."<br />
4<br />
FT = Full Time Faculty PT = Part Time Faculty<br />
46
Table 6-2. Faculty Analysis, part 1 <strong>of</strong> 3<br />
Computer Engineering<br />
Name<br />
M.A. Anastasio<br />
T. Anjali<br />
G. Atkin<br />
S. Borkar<br />
J. Brankov<br />
Y. Cheng<br />
K. Choi<br />
Rank<br />
Assoc<br />
Pr<strong>of</strong><br />
Asst<br />
Pr<strong>of</strong><br />
Assoc<br />
Pr<strong>of</strong><br />
Sr.<br />
Lect.<br />
Asst<br />
Pr<strong>of</strong><br />
Asst<br />
Pr<strong>of</strong><br />
Asst<br />
Pr<strong>of</strong><br />
Type <strong>of</strong><br />
Academic<br />
Appointment<br />
TT, T, NTT<br />
FT<br />
or<br />
PT<br />
Highest Degree and<br />
Field<br />
Institution from<br />
which Highest<br />
Degree Earned &<br />
Year<br />
Years <strong>of</strong> Experience<br />
Govt./Industry<br />
Practice<br />
Total Faculty<br />
This Institution<br />
Pr<strong>of</strong>essional<br />
Registration/<br />
Certification<br />
Level <strong>of</strong> Activity (high, med, low,<br />
none) in:<br />
Pr<strong>of</strong>essional<br />
Society<br />
Research<br />
Consulting<br />
/Summer<br />
Work in<br />
Industry<br />
T FT PhD University <strong>of</strong> Chicago, 2001 2 7 7 none low high none<br />
TT FT PhD Georgia Inst <strong>of</strong> Tech, 2004 0 4 4 none high high low<br />
T FT PhD University <strong>of</strong> Waterloo, 1986 0 27 22 none med high low<br />
NT FT PhD <strong>Illinois</strong> Inst <strong>of</strong> Tech, 1972 23 29 29 none med low high<br />
TT FT PhD <strong>Illinois</strong> Inst <strong>of</strong> Tech, 2002 3 2 2 none low high med<br />
TT FT PhD University <strong>of</strong> Waterloo, 2003 0 2 2 none med high none<br />
TT FT PhD Georgia Inst <strong>of</strong> Tech, 2003 2 1 1 none low high none<br />
A. Emadi Pr<strong>of</strong> T FT PhD Texas A&M University, 2000 3 8 8 none high high none<br />
A. Flueck<br />
A. Khaligh<br />
Z. Li<br />
Assoc<br />
Pr<strong>of</strong><br />
Asst<br />
Pr<strong>of</strong><br />
Asst<br />
Pr<strong>of</strong><br />
T FT PhD Cornell University, 1996 0 12 12 none med high low<br />
TT FT PhD <strong>Illinois</strong> Inst <strong>of</strong> Tech, 2006 0 1 1 none med high none<br />
TT FT PhD <strong>Illinois</strong> Inst <strong>of</strong> Tech, 2002 0 4 4 none low high low<br />
Instructions: Complete table for each member <strong>of</strong> the faculty <strong>of</strong> the program. Use additional sheets if necessary. Updated information is to be provided at<br />
the time <strong>of</strong> the visit. The level <strong>of</strong> activity should reflect an average over the year prior to visit plus the two previous years.<br />
Column 3 Code: TT = Tenure Track T = Tenured NTT = Non Tenure Track<br />
47
Table 6-2. Faculty Analysis, part 2 <strong>of</strong> 3<br />
Computer Engineering<br />
Name<br />
Rank<br />
Type <strong>of</strong><br />
Academic<br />
Appointment<br />
TT, T, NTT<br />
FT<br />
or<br />
PT<br />
Highest Degree and<br />
Field<br />
Institution from<br />
which Highest<br />
Degree Earned &<br />
Year<br />
Years <strong>of</strong> Experience<br />
Govt./Industry<br />
Practice<br />
Total Faculty<br />
This Institution<br />
Pr<strong>of</strong>essional<br />
Registration/<br />
Certification<br />
Level <strong>of</strong> Activity (high, med, low,<br />
none) in:<br />
J. LoCicero P T FT PhD City Univ <strong>of</strong> New York, 1976 0 32 32 none high high low<br />
Pr<strong>of</strong>essional<br />
Society<br />
Research<br />
Consulting<br />
/Summer<br />
Work in<br />
Industry<br />
E. Oruklu<br />
K. Ren<br />
Asst<br />
Pr<strong>of</strong><br />
Asst<br />
Pr<strong>of</strong><br />
TT FT PhD <strong>Illinois</strong> Inst <strong>of</strong> Tech, 2005 0 3 3 none low high none<br />
TT FT PhD Worcester Poly Inst, 2007 0 1 1 none med high none<br />
J. Saniie P T FT PhD Purdue University, 1981 0 25 25 none med med none<br />
H. Shanechi Sr Lect NTT FT PhD Michigan State Univ, 1980 2 28 1 Ontario med high med<br />
S.M. Shahidehpour P T FT PhD University <strong>of</strong> Missouri, 1081 1 28 26 none high high med<br />
D.R. Ucci<br />
Assoc<br />
Pr<strong>of</strong><br />
T FT PhD City Univ <strong>of</strong> New York, 1979 1 29 21 none none high none<br />
M. Wernick P T FT PhD University <strong>of</strong> Rochester, 1990 7 14 14 none high high high<br />
G.A. Williamson P T FT PhD Cornell University, 1989 0 19 19 none low high none<br />
T.T.Y. Wong P T FT PhD Northwestern University, 1980 6 26 26 none low high med<br />
Y. Xu<br />
Asst<br />
Pr<strong>of</strong><br />
TT FT PhD Carnegie Mellon Univ, 2004 5 1 1 none low high med<br />
Instructions: Complete table for each member <strong>of</strong> the faculty <strong>of</strong> the program. Use additional sheets if necessary. Updated information is to be provided at<br />
the time <strong>of</strong> the visit. The level <strong>of</strong> activity should reflect an average over the year prior to visit plus the two previous years.<br />
Column 3 Code: TT = Tenure Track T = Tenured NTT = Non Tenure Track<br />
48
Table 6-2. Faculty Analysis, part 3 <strong>of</strong> 3<br />
Computer Engineering<br />
Name<br />
Rank<br />
Type <strong>of</strong><br />
Academic<br />
Appointment<br />
TT, T, NTT<br />
FT<br />
or<br />
PT<br />
Highest Degree and<br />
Field<br />
Institution from<br />
which Highest<br />
Degree Earned &<br />
Year<br />
Years <strong>of</strong> Experience<br />
Govt./Industry<br />
Practice<br />
Total Faculty<br />
This Institution<br />
Pr<strong>of</strong>essional<br />
Registration/<br />
Certification<br />
Level <strong>of</strong> Activity (high, med, low,<br />
none) in:<br />
Pr<strong>of</strong>essional<br />
Society<br />
Research<br />
Consulting<br />
/Summer<br />
Work in<br />
Industry<br />
Y. Yang P T FT PhD <strong>Illinois</strong> Inst <strong>of</strong> Tech, 1994 0 11 11 none med high none<br />
I.S. Yetik<br />
C. Zhou<br />
Asst<br />
Pr<strong>of</strong><br />
Asst<br />
Pr<strong>of</strong><br />
TT FT PhD Univ <strong>Illinois</strong> at Chicago, 2004 0 2 2 none low high none<br />
TT FT PhD Northwestern University, 2002 0 6 2 none low high none<br />
B. Briley Lect NTT PT PhD<br />
Univ <strong>Illinois</strong> at Urbana-<br />
Champaign, 1963<br />
44 43 43 none low high high<br />
K.P. Ivanov Lect NTT PT PhD Moscow Engr Inst, 1961 50 7 7 Bulgaria low none low<br />
R. Nordin Lect NTT PT PhD Northwestern University, 1984 25 25 20 IL low low high<br />
J.A. Pinnello Lect NTT PT MS <strong>Illinois</strong> Inst <strong>of</strong> Tech, 1968 40 11 11 IL low none high<br />
P. Simko Lect NTT PT MS <strong>Illinois</strong> Inst <strong>of</strong> Tech, 2005 9 2 2 none low med low<br />
Instructions: Complete table for each member <strong>of</strong> the faculty <strong>of</strong> the program. Use additional sheets if necessary. Updated information is to be provided at<br />
the time <strong>of</strong> the visit. The level <strong>of</strong> activity should reflect an average over the year prior to visit plus the two previous years.<br />
Column 3 Code: TT = Tenure Track T = Tenured NTT = Non Tenure Track<br />
49
CRITERION 7. FACILITIES<br />
• Space<br />
Offices<br />
The administrative suite is located in the north end <strong>of</strong> the first floor <strong>of</strong> Siegel Hall. This<br />
<strong>of</strong>fice suite includes <strong>of</strong>fices <strong>of</strong> the chair, the associate chair, and department staff<br />
including the budget manager, the director <strong>of</strong> communications, and two secretaries. A<br />
conference room and <strong>of</strong>fice equipment room are also contained in the administrative<br />
suite. An <strong>of</strong>fice <strong>of</strong> the department and program coordinator (a staff position) is also<br />
located on the first floor <strong>of</strong> Siegel Hall.<br />
Each full-time faculty member has individual <strong>of</strong>fice space. All full-time faculty <strong>of</strong>fices<br />
are located in Siegel Hall. Additionally, ECE faculty in the Medical Imaging Research<br />
Center have second <strong>of</strong>fices located in the center’s facilities in <strong>IIT</strong>’s Tech Park.<br />
Adjunct (part-time) faculty have available a large room with seven desks, white board,<br />
and shelf space as their <strong>of</strong>fice facilities.<br />
Teaching assistants have <strong>of</strong>fice space in the research laboratories <strong>of</strong> the dissertation<br />
advisors.<br />
Classrooms<br />
The Office <strong>of</strong> the Registrar oversees classroom space. The majority <strong>of</strong> ECE classes are<br />
taught in rooms in Siegel Hall, Wishnick Hall, the E1 Building, the Life Sciences<br />
Building, and in the Stuart Building. There is sufficient classroom space to accommodate<br />
all ECE courses at the current enrollments, with excess capacity to support some<br />
expansion.<br />
<strong>IIT</strong> <strong>of</strong>fers three levels <strong>of</strong> technology-enhanced classrooms:<br />
1. Basic A/V classroom, which is equipped with a network connection, a projector<br />
and screen, an ELMO and a VHS/DVD deck. All components are controlled through a<br />
single Crestron Control Panel on the instructor's desk.<br />
2. Distance Learning Classroom has all the equipment <strong>of</strong> a basic A/V classroom,<br />
plus one or two video cameras, instructor and student microphones, plasma TV monitor,<br />
connections to broadcasting and digitizing devices for TV and/or Internet delivery. These<br />
classrooms also broadcast via television and the Internet.<br />
3. Video Conferencing Classroom, which is similar to Distance Learning Classroom<br />
but also allows for real-time collaboration with a remote classroom location.<br />
Most <strong>of</strong> the senior level ECE courses are taught in technology-enhanced classrooms <strong>of</strong><br />
the type 1, 2, or 3 listed above.<br />
In addition, a PC Classroom is an OTS computer lab that is equipped with a PC and<br />
projector for the instructor and individual computers for each student. This arrangement<br />
provides students with a hands-on learning experience.<br />
The following buildings are equipped with technology-enhanced learning classrooms.<br />
Page 50
Stuart Building:<br />
- 8 basic A/V classrooms<br />
- 8 distance learning classrooms (2 <strong>of</strong> which are videoconferencing classrooms)<br />
- 4 PC classrooms<br />
E1:<br />
- 14 basic A/V classrooms<br />
- 3 distance learning classrooms<br />
- 1 PC classroom<br />
Alumni Hall:<br />
- 2 basic A/V classrooms<br />
- 1 PC classroom<br />
Siegel Hall:<br />
- 1 basic A/V classrooms<br />
- 1 distance learning classroom<br />
- 2 PC classrooms<br />
Laboratories<br />
The laboratory facilities <strong>of</strong> the ECE Department that support the <strong>BSCPE</strong> program are<br />
summarized in Table 7-1. A narrative description <strong>of</strong> these laboratory facilities is also<br />
provided in the following.<br />
Page 51
Table 7-1: ECE Department Laboratory Facilities.<br />
Physical Facility Building and<br />
Room Number<br />
Siegel Hall Room 310A<br />
Siegel Hall Room 310B<br />
Siegel Hall Room 310C<br />
Siegel Hall Room 310D<br />
Siegel Hall Room 311<br />
Purpose <strong>of</strong> Laboratory,<br />
Including Courses Taught<br />
Workstation Lab<br />
(ECE 429, 448, 449)<br />
Electronics Lab<br />
(ECE 441, 446)<br />
Computer Network Lab<br />
(ECE 407)<br />
Communications, Microwave, and<br />
Signal Processing Lab<br />
(ECE 405, 406, 423, 436)<br />
ECE Analog & Digital Lab<br />
(ECE 212, 214, 311, 312)<br />
Condition <strong>of</strong> Laboratory<br />
Adequacy for<br />
Instruction<br />
Number Student<br />
Stations<br />
Area (sq. ft.)<br />
Renovated in 2006 Excellent 27 720<br />
Renovated in 2006 Excellent 10 795<br />
Renovated in 2006 Excellent 8 646<br />
Renovated in 2006 Excellent 8 594<br />
Renovated in 2006 Excellent 12 920<br />
Siegel Hall Room, 022A<br />
Siegel Hall Room 022A, 001<br />
Siegel Hall Room 001A<br />
Siegel Hall Room 001B<br />
Power Engineering Lab<br />
(ECE 419)<br />
Power Engineering<br />
(ECE 319)<br />
Power Electronics<br />
(ECE 411)<br />
Electric Motor Drives<br />
(ECE 412)<br />
Renovated in 2006 Excellent 10 360<br />
Renovated in 2006 Excellent 4, 12, 12 1944<br />
Renovated in 2006 Excellent 6 792*<br />
Renovated in 2006 Excellent 6 792*<br />
* Note: Room 001A Plus 001B is the same as Room 001 TOTAL 4219<br />
Page 52
Assessment <strong>of</strong> Equipment and Instrumentation Available in Each Laboratory to Meet<br />
Instructional Needs.<br />
The following paragraphs discuss the laboratory facilities available to meet the<br />
instructional needs <strong>of</strong> the Electrical Engineering program. These facilities are shared with<br />
the Computer Engineering program; thus the same facilities are also listed in the <strong>Self</strong>-<br />
<strong>Study</strong> for Computer Engineering.<br />
Siegel Hall 001 (A) – Power Electronics Lab<br />
This lab was fully renovated in 2006.<br />
This lab supports ECE 411 (Power Electronics). In order to provide state-<strong>of</strong>-the-art<br />
courses and laboratories in electrical and computer engineering, we have established the<br />
Grainger Power Electronics Laboratory with the support <strong>of</strong> a generous gift from the<br />
Grainger Foundation, which is gratefully acknowledged. In addition, we have recently<br />
improved this laboratory and added three new experiments based on the NSF DUE-<br />
0311169 grant from the National Science Foundation (NSF). The three new experiments<br />
(#12-14) have been adapted and implemented from the exemplary materials, laboratory<br />
experiences, and educational practices that had been developed and proven successful at<br />
the University <strong>of</strong> Minnesota under the NSF CCLI-EMD-9952704 grant, which is<br />
gratefully acknowledged. Facilities <strong>of</strong> this laboratory are advanced specialized<br />
experimental teaching setups for undergraduate power electronic programs. Therefore,<br />
this laboratory is one <strong>of</strong> the best-equipped and most advanced labs for undergraduate<br />
teaching purposes in the nation. In fact, few universities have equipment <strong>of</strong> this<br />
sophistication for their teaching laboratories. This lab consists <strong>of</strong> 14 experiments and one<br />
major design experience. The laboratory experiments give simple practical introduction<br />
to operation and control <strong>of</strong> electronic switching circuits. They are done in groups <strong>of</strong> 2-3<br />
students. Since this lab assumes that students are familiar with general circuit analysis<br />
techniques, it is appropriate for junior- or senior-level undergraduate EE and CPE<br />
students.<br />
Siegel Hall 001 (B) – Electric Motor Drives Laboratory<br />
This lab was fully renovated in 2006.<br />
This lab supports ECE 412 (Electric Motor Drives). Facilities <strong>of</strong> this laboratory are<br />
advanced specialized experimental teaching setups for undergraduate electric machines<br />
and power electronic drives programs. This lab has been established by the support <strong>of</strong> a<br />
generous gift from the Grainger Foundation, which is gratefully acknowledged. In<br />
addition, we have recently improved this laboratory and added three new experiments<br />
based on the NSF DUE-0311169 grant from the National Science Foundation (NSF). The<br />
three new experiments (#12-14) have been adapted and implemented from the exemplary<br />
materials, laboratory experiences, and educational practices that had been developed and<br />
proven successful at the University <strong>of</strong> Minnesota under the NSF CCLI-EMD-9952704<br />
grant, which is gratefully acknowledged. This lab consists <strong>of</strong> 14 experiments and one<br />
major design experience. The laboratory experiments give simple practical introduction<br />
to operation and control <strong>of</strong> electric motor drives. They are done in groups <strong>of</strong> 2-3 students.<br />
Since this lab assumes that students are familiar with general circuit analysis techniques,<br />
53
it is appropriate for junior- or senior-level undergraduate electrical engineering and<br />
computer engineering students.<br />
Siegel Hall 022A, 022B, and 001 - Power Engineering Lab<br />
This lab was fully renovated in 2006.<br />
This lab space supports ECE 319 (Power Engineering). Experiments include review <strong>of</strong><br />
three-phase circuit analysis, principles <strong>of</strong> electromechanical energy conversion,<br />
fundamentals <strong>of</strong> transformer operation, DC machines, synchronous machines, induction<br />
machines, introduction to power network models, the per-unit system, Newton-Raphson<br />
power flow, symmetrical three-phase faults, and renewable energy systems. The<br />
experiments also involve the use <strong>of</strong> PC-based s<strong>of</strong>tware applies to power engineering<br />
analysis and design. The lab spaces together are equipped with new test setups from<br />
Lucas-Nulle, four Hampden lab benches, 16 Pentium III PCs connected to the local area<br />
network (including Internet access), and MATLAB s<strong>of</strong>tware.<br />
Siegel Hall 022A also supports ECE 419 (Power System Analysis). Experiments include<br />
PSS/E s<strong>of</strong>tware introduction, transmission line design, basic power flow analysis, power<br />
flow solution analysis and application, control <strong>of</strong> power flow, symmetrical short circuit<br />
analysis, unsymmetrical short circuit analysis, and application <strong>of</strong> short circuit analysis.<br />
The experiments mainly involve the use <strong>of</strong> the PSS/E s<strong>of</strong>tware to perform power system<br />
analysis. This lab space is equipped with 16 Pentium III PCs connected to the local area<br />
network (including Internet access), and PSS/E s<strong>of</strong>tware.<br />
Siegel Hall 310A – VLSI Design Lab.<br />
This lab was fully renovated in 2006.<br />
The VLSI Design Lab consists <strong>of</strong> a cluster <strong>of</strong> high-performance workstations connected<br />
to a local server and supported by commercial computer-aided design s<strong>of</strong>tware such as<br />
Cadence and Synopsys. The laboratory is used for designing low-power and highly<br />
testable integrated circuits and for developing design automation s<strong>of</strong>tware for fault<br />
diagnosis, testing, simulation, power estimation, and synthesis. This laboratory is also<br />
used for advanced VLSI designs including: High Speed VLSI Design, Clock Generation<br />
and Distribution, Power-Delay-Area Optimized Digital Design Flow, Standard Cell<br />
Design for Regularity, and Transistor-level Sizing.<br />
This lab contains 26 Sun Blade 1500 ultrasparc and 1 Sun Blade 150 workstations that<br />
are connected to ECE Unix Cluster Environment. All Sun Blade 1500 workstations are<br />
equipped with 1GB <strong>of</strong> memory and 1.5GHz Processor. Login Authentication, home<br />
directory access and application access for all students and faculty are provided through<br />
the centralized servers. The VLSI design lab is also supported by additional ECE Servers,<br />
in Siegel Hall 308B. All class students have been given the 80MB <strong>of</strong> quota to save their<br />
work on the UNIX Cluster Environment. This lab is primarily used for the ECE Classes<br />
such as ECE 429 (Introduction to VLSI Design), ECE 448 (Mini/Micro Computer<br />
Programming), ECE 449 (Object-Oriented Programming and Computer Simulation) and<br />
ECE 485 (Computer Organization and Design). It is also used for graduate courses and<br />
research.<br />
54
Siegel Hall 310B - Digital / Microprocessor Lab<br />
This lab was fully renovated in 2006.<br />
This laboratory supports 10 groups <strong>of</strong> students (2 students per group) performing<br />
experiments in ECE 441 (Microprocessors), and ECE 446 (Advanced Logic Design &<br />
Implementation). Each group has a Pentium IV personal computer, a specialized<br />
MC68000 microprocessor system, an oscilloscope, and a combined power<br />
supply/switch/indicator box. Additional equipment includes Field Programmable Gate<br />
Arrays (FPGA) programmers, and logic analyzers. In ECE 441, the PCs are used to<br />
support program editing, cross-assembly, and downloading to the MC68000 system.<br />
Students build interface circuits on breadboards, connect them to the bus <strong>of</strong> the MC68000<br />
microprocessor, and write and download s<strong>of</strong>tware to test the circuits. In ECE 446,<br />
students use the PCs to enter and simulate designs using the VHDL s<strong>of</strong>tware. In both<br />
courses students use the oscilloscope and switch/indicator box to test and debug<br />
breadboarded designs.<br />
Siegel Hall 310C - Computer Network Lab<br />
This is a new undergraduate lab established in 2006.<br />
Computer network facilities allow students to study state-<strong>of</strong>-the-art technology in<br />
computer networks and to perform experiments. These experiments include the<br />
development and performance study <strong>of</strong> network applications, protocols and management<br />
s<strong>of</strong>tware as well as novel physical and data link layer technology. <strong>IIT</strong> is a member <strong>of</strong> the<br />
Planet Lab Consortium. Also, the ECE department has established a fully fledged<br />
networking laboratory equipped with 24 state-<strong>of</strong>-the-art computers and 18 Cisco 3600<br />
family routers The Communication Networks laboratory maintains licenses MATLAB<br />
and compilers for C, C++, and Java. Also, we have multiple licenses <strong>of</strong> OPNET. The<br />
students can also install ns-2 on solaris machines.<br />
Siegel Hall 310D –Signal Processing, Communications and Microwave Lab<br />
This lab was fully renovated in 2006.<br />
This laboratory is used in ECE 405 / ECE 406 (Analog, Digital and Data<br />
Communications) and ECE 423 (Microwave Circuits and Systems), and ECE 436<br />
(Digital Signal Processing). It enables the ECE 405 and ECE 406 students to perform<br />
experiments on modulation, sampling, detection, etc. It enables ECE 423 students to<br />
study the effects <strong>of</strong> microwave frequency on lumped circuit elements, microwave power,<br />
reflection and transmission, and the measurement <strong>of</strong> waveguide properties. It enables<br />
ECE 436 students to use MATLAB and DSP s<strong>of</strong>tware tools to perform experiments<br />
related to signal sampling and reconstruction, FIR filter design and implementation, IIR<br />
filter design and implementation, quantization effects in digital signal processing system,<br />
and real-time signal processing system design. This laboratory is equipped with 12 PCs,<br />
12 sets <strong>of</strong> Agilent test equipments, each set include HP DSO3062A Oscilloscope,<br />
33220A 20MHz function generator, E3630A triple output DC power supply and 34405A<br />
multi-meter. For conducting experiments in Analog/Digital communications and<br />
Microwave this laboratory is also equipped with a SHF oscillator (X band), unit<br />
oscillator, power meter, slotted lines, a signal detector/amplifier, a network analyzer, and<br />
55
a spectrum analyzer along with a collection <strong>of</strong> waveguide and coaxial components,<br />
detectors, mounting devices, word generators, noise generators, 4 HP SR760 Spectrum<br />
analyzer, and 6 TIMS 301Model.<br />
Siegel Hall 311 – Electronics Lab<br />
This lab was fully renovated in 2006.<br />
This laboratory is used in Basic Electronics Circuits Labs, ECE 212 (Analog and Digital<br />
Lab I), ECE 214 (Analog and Digital Lab II), ECE 311 (Engineering Electronics) and<br />
ECE 312 (Electronic Circuits). This lab is equipped with 12 sets <strong>of</strong> Agilent test<br />
equipments, each set include HP DSO3062A Oscilloscope, 33220A 20MHz function<br />
generator, E3630A triple output DC power supply and 34405A multi-meter. This<br />
laboratory is also equipped with twelve dell Inspiron intel Core 2 Duo processor PCs with<br />
19’’ LCD monitors. that are connected to the department local area network. Students use<br />
these equipments to test, debug and analysis the circuits they build in each lab session. It<br />
supports 12 groups <strong>of</strong> students (two students per group). These courses (ECE 212, 214,<br />
311 and 312) use computers for PSpice simulations <strong>of</strong> circuits and for Programmable<br />
Logic Device (PLD) programming.<br />
Library<br />
In addition to the nominal book collection in the field <strong>of</strong> electrical engineering on<br />
campus, books and journals in many other libraries can be obtained through inter-library<br />
loan services. The On-Line Database at the Galvin Library provides access to the<br />
publications <strong>of</strong> many pr<strong>of</strong>essional organizations, such as the IEEE, ACM, SIAM, and<br />
APS. The library also provides support for posting class notes and homework solution on<br />
electronic reserve. The support provided by the library is adequate, owing much to the<br />
recent effort <strong>of</strong> the library staff.<br />
• Resources and Support<br />
The ECE Department has state-<strong>of</strong>-the-art systems to enhance and extend the generally<br />
available university systems. These computing and network systems are located in Siegel<br />
Hall 308B and consist <strong>of</strong> a heterogeneous environment <strong>of</strong> Solaris, Linux and Windows.<br />
We have three application servers installed for remote students to carry out projects. Two<br />
<strong>of</strong> the application servers are Sun Fire V440, which have four (1.5GHz) processors and<br />
8GB <strong>of</strong> memory on them. The other application server is a Sun V420R Enterprise having<br />
four (450MHz) processors and 4GB <strong>of</strong> memory. A Sun Fire V240 server, which has a<br />
capacity <strong>of</strong> 1.4TB, provides file storage for all students, faculty and staff. Email services<br />
and web services for the faculty and research students are provided through a Dell<br />
Poweredge 2850 server. All server backups are done through a Veritas Netbackup 4.5<br />
with a capacity <strong>of</strong> one month <strong>of</strong> storage.<br />
There are many unix applications installed on the ECE Server that serve requirements for<br />
individual courses. Major industrial s<strong>of</strong>tware such as Cadence Designing Tools (used for<br />
electronic design automation), Synopsys Tools (used for synthesis as well as for EDA),<br />
Modelsim Tools (used for complex ASIC and FPGA designs), Synplicity Tools (used for<br />
EDA solutions) are installed on the ECE Cluster Environment. There are some other free<br />
tools installed on the unix environment such as Magic, Irsim, Gemini, Code Compiler.<br />
56
Dedicated laboratories for undergraduate coursework are housed in Siegel Hall, the home<br />
<strong>of</strong> the ECE Department. These teaching laboratories are being constantly updated to stay<br />
current on equipment and measurement instruments to support undergraduate<br />
experiments and design projects in the areas <strong>of</strong> circuits and electronics, digital systems,<br />
energy conversion, control systems, computer organization and applications,<br />
communication systems, integrated circuits, microwave circuits, power electronics, and<br />
signal processing. The server <strong>of</strong> the department computer network is installed with a<br />
variety <strong>of</strong> simulation and CAD tools to support experiments and design projects. These<br />
tools are password protected and may be access remotely by authorized users.<br />
Support for laboratory development and maintenance comes from student laboratory fees,<br />
major gifts by alumni, departmental fund raising activities, and industrial donations.<br />
These resources have been adequate for laboratory renovation, purchase <strong>of</strong> new<br />
equipment, acquisition <strong>of</strong> parts and supply items to run the experiments, and equipment<br />
repair. Most <strong>of</strong> the CAD tools are made available to the department with substantial<br />
discounts from the commercial suppliers.<br />
The Development Office <strong>of</strong> the Armour College has provided staff support to facilitate<br />
fund raising activities. A recent five million dollar gift from the Grainger Foundation to<br />
support a program in power electronics and electric drives enabled the establishment <strong>of</strong><br />
new teaching laboratories in this area.<br />
The laboratory manager, a full-time staff, is responsible for all ECE laboratories. Two<br />
part-time student workers who receive support through the federal work-study program<br />
assist him. The laboratory manager is responsible to install, maintain and manage<br />
laboratory equipment. The administration <strong>of</strong> the computing facility and the network in<br />
the ECE Department is the responsibility <strong>of</strong> the Office <strong>of</strong> <strong>Technology</strong> Services (OTS),<br />
the central organization <strong>of</strong> the university in providing computer and network support for<br />
the campus. A full-time staff from the OTS now manages the facility and works closely<br />
with the ECE Department on all its computing needs such as install, maintain and<br />
manage departmental hardware, s<strong>of</strong>tware and networks.<br />
• Major Instructional and Laboratory Equipment<br />
Since last ABET visit all ECE laboratories have gone through major overhaul including<br />
renovation <strong>of</strong> laboratories, acquisition <strong>of</strong> laboratory furniture, and the acquisition <strong>of</strong> state<strong>of</strong>-the-art<br />
laboratory equipment. The major laboratory equipment are listed in Appendix<br />
C.<br />
CRITERION 8. SUPPORT<br />
• Program Budget Process and Sources <strong>of</strong> Financial Support<br />
The operating budget <strong>of</strong> the Electrical Engineering Program is derived from the ECE<br />
Department budget (please see Table D3 for a summary <strong>of</strong> department expenditures in<br />
the most recent years). The ECE Department receives the budget allocation for the fiscal<br />
year on June 1 prior to the fiscal year. Major budget items include:<br />
• Wages (full-time faculty and staff, adjunct faculty, teaching assistants, and<br />
student work-study support)<br />
57
• Supplies (<strong>of</strong>fice supplies, expendable supplies, computer supplies)<br />
• Travel and Conference<br />
• Interdivisional<br />
• Communications<br />
• Equipment purchases<br />
• Equipment repair<br />
• Building repair and maintenance<br />
• Other expenditures<br />
With the exception <strong>of</strong> the wages <strong>of</strong> full-time faculty and staff, the department has full<br />
discretion over the budget items.<br />
The annual budget is determined from a number <strong>of</strong> factors: (1) The previous year’s<br />
expenditure; (2) adjustments in full-time faculty and staff appointment; and (3) estimates<br />
for the number <strong>of</strong> part-time faculty, teaching assistant positions, supplies, equipment<br />
replacement and repair, travel, printing, special events, etc. for the upcoming fiscal year.<br />
The basis for making these estimates includes enrollment projection, faculty research<br />
activities, and faculty pr<strong>of</strong>essional development. The budget for the Electrical<br />
Engineering Program is derived from the overall budget allocated to the ECE<br />
Department. The chairman submits the estimated budget to the <strong>of</strong>fice <strong>of</strong> the dean <strong>of</strong><br />
Armour College in the spring semester each year and <strong>of</strong>fers explanations to major<br />
adjustment requests. The allocated budget is usually not matched to the actual need <strong>of</strong> the<br />
department. The department has to make use <strong>of</strong> discretionary funds and gifts to cover the<br />
expenses in areas such as travel, supplies, equipment repair and purchase, and facility<br />
maintenance.<br />
• Sources <strong>of</strong> Financial Support<br />
The sources <strong>of</strong> the budget include the department budget allocated by the Armour<br />
College to the ECE Department, as well as discretionary funds and gifts. The ECE<br />
Department has raised over $8M <strong>of</strong> discretionary funds and gifts since 2005 which are<br />
used for developing undergraduate laboratories, undergraduate student scholarships and<br />
fellowships, faculty research and development, graduate research assistantship, <strong>of</strong>fice<br />
furniture purchases, and facility maintenance. The list <strong>of</strong> recent philanthropic donors are<br />
given as follows:<br />
• David Grainger<br />
• Alex Tseng<br />
• Robert Reiter<br />
• Roy Salhstrom<br />
• Jim Klouda<br />
• Ed Kaplan<br />
• Peter Cherry<br />
• Michael Polsky<br />
• Tim Hannemann<br />
• Roy Gignac<br />
58
• Paul McCoy<br />
• Atul Thakkar<br />
• Anthony Baroud<br />
• Adequacy <strong>of</strong> Budget<br />
The lab supply and maintenance budget, covered by the student laboratory fees, is<br />
generally adequate to cover the daily lab supply requirements. The building maintenance,<br />
<strong>of</strong>fice supply expenses, and faculty travel <strong>of</strong>ten exceed the budget allocation. The<br />
available resource from the institution is sufficient to support only 50% <strong>of</strong> the teaching<br />
assistants required by the instructional activities. The department needs at least a 50%<br />
increase in the teaching assistant budget, additional funds for supplementing annual raises<br />
for faculty and staff, and a realistic allocation <strong>of</strong> budget for new laboratory development.<br />
• Support <strong>of</strong> Faculty Pr<strong>of</strong>essional Development<br />
The majority <strong>of</strong> supports for pr<strong>of</strong>essional development have been derived from research<br />
grants. A limited amount <strong>of</strong> support is provided by the following sources:<br />
• ECE Department budget<br />
• ECE Department discretionary funds<br />
• Gifts from industry<br />
• New faculty start-up funds<br />
• Internal funding by the University<br />
The resources provided by the University for faculty travel are insufficient to support one<br />
conference per year for each faculty. Discretionary funds are utilized to supplement the<br />
travel budget. In addition, a number <strong>of</strong> faculty members have received travel support<br />
from pr<strong>of</strong>essional societies and government agencies when they participated in technical<br />
conference and review panel activities. A number <strong>of</strong> faculty members have participated<br />
in exchange programs with universities overseas to deliver lectures and engage in<br />
collaborative research, with travel supports provided by the host institutions and travel<br />
grants from pr<strong>of</strong>essional societies and private foundations.<br />
For assistant pr<strong>of</strong>essors, start-up funds are provided by the University to initiate their<br />
research. The funds are applied towards the acquisition <strong>of</strong> computing equipment and<br />
s<strong>of</strong>tware, summer salaries, conference travel, and graduate student support.<br />
In the past five years, eight ECE faculty members have received internal funds for<br />
research development. Additional salary is provided by the University to the ECE faculty<br />
who <strong>of</strong>fer courses in India via the Internet.<br />
• Support <strong>of</strong> Facilities and Equipment<br />
The following resources contribute to the acquisition and maintenance <strong>of</strong> equipment and<br />
to upkeep <strong>of</strong> the facilities in the department:<br />
59
• University support<br />
• ECE Department budget<br />
• Technical support within the department<br />
• Fund raising<br />
• Equipment donation<br />
The primary source <strong>of</strong> support for laboratory development and maintenance are the<br />
laboratory fees charged to undergraduate students and private gifts and philanthropic<br />
support. Lab fees are inadequate for acquisition <strong>of</strong> parts and supply items to run the<br />
experiments, equipment repair, and purchase <strong>of</strong> new equipment.<br />
The Office <strong>of</strong> Institutional Advancement at <strong>IIT</strong> has provided staff support to facilitate<br />
fund raising activities. Out <strong>of</strong> the effort, a significant gift in the amount <strong>of</strong> $5M has<br />
recently been contributed by the Grainger Foundation to maintain a program in electric<br />
power and power electronics, with equipment acquired for establishing new teaching<br />
laboratories in this area. The new facility, inaugurated in April 2007, is one <strong>of</strong> a kind in<br />
the United States, and has been appraised by experts in the field to be at the forefront <strong>of</strong><br />
power engineering education. The list <strong>of</strong> ECE laboratories that have been renovated since<br />
2005 using the discretionary funds is given as follows:<br />
• ECE 212: Analog and Digital Laboratory I<br />
• ECE 214: Analog and Digital Laboratory II<br />
• ECE 311: Engineering Electronics<br />
• ECE 312: Electronic Circuits<br />
• ECE 319: Fundamentals <strong>of</strong> Power Engineering<br />
• ECE 407: Computer Networks<br />
• ECE 405: Digital Communications<br />
• ECE 419: Power System Analysis<br />
• ECE 411: Power Electronics<br />
• ECE 412: Electric Motor Drives<br />
• ECE 429: Introduction to VLSI Design<br />
• ECE 437: Digital Signal Processing<br />
• ECE 441: Microcomputers<br />
• ECE 446: Advanced Logic Design<br />
Support for maintaining heating and ventilation in classrooms, laboratories, and <strong>of</strong>fices is<br />
provided by the Facilities Department, which attends to the general needs <strong>of</strong> the physical<br />
plant <strong>of</strong> the university. Expenses for maintenance work on building facilities are charged<br />
to the department budget. In general, the support received has been adequate for regular<br />
60
maintenance, but alternative resources are <strong>of</strong>ten needed for renovation or implementation<br />
<strong>of</strong> new facilities.<br />
The technical support staff in the department, consisting <strong>of</strong> a laboratory manager and two<br />
part-time student workers, performs regular laboratory and <strong>of</strong>fice equipment repair and<br />
upkeep. The equipment manufacturers conduct major calibrations <strong>of</strong> measurement<br />
instruments.<br />
Operation <strong>of</strong> the computer network and maintenance <strong>of</strong> the clusters <strong>of</strong> personal<br />
computers in the department were carried out by two part-time personnel and a computer<br />
system manager (employee <strong>of</strong> Computer and Networking Services at <strong>IIT</strong>). Graduate<br />
Assistants helped the system manager on routine maintenance tasks. Resources for<br />
network and computing facility upgrade are derived from the gifts and department<br />
budget. Substantial support for s<strong>of</strong>tware and CAD tools are obtained through donation<br />
and university programs <strong>of</strong> vendors.<br />
• Adequacy <strong>of</strong> Support Personnel and Institutional Services<br />
The administrative support in the department consists <strong>of</strong> the following positions:<br />
Chair (responsible for the overall management <strong>of</strong> the department including the hiring<br />
<strong>of</strong> the new faculty, promotion and tenure, new and interdisciplinary degree program<br />
development, space and budgetary issues, and philanthropic fundraising activities)<br />
Associate Chair (responsible for the management <strong>of</strong> academic programs in the ECE<br />
Department, responsible for the admission and approval <strong>of</strong> student academic<br />
programs and activities)<br />
Graduate and Undergraduate Program Coordinator (responsible for the review and<br />
approval <strong>of</strong> student forms and coop programs)<br />
Budget Manager (responsible for processing all ECE financial transactions)<br />
Director <strong>of</strong> Communication (responsible for marketing and advertising activities,<br />
student orientations, student awards programs, coordination <strong>of</strong> philanthropic<br />
activities)<br />
Laboratory manager (responsible for managing all undergraduate and granulate<br />
research laboratories and facilities)<br />
Computer Systems manager, staffed by CNS (Responsible for the acquisition and<br />
operation <strong>of</strong> computing facilities in undergraduate and granulate research<br />
laboratories)<br />
Office Manager (responsible for the daily appointments and operation <strong>of</strong> the ECE<br />
Office)<br />
Student workers are available through the Federal work-study program to provide support<br />
on routine <strong>of</strong>fice duties.<br />
The current staff is adequately supported by the institutional budget. The work-study<br />
program provides support for hiring student workers to help with routine <strong>of</strong>fice duties<br />
and laboratory attendance.<br />
61
CRITERION 9. PROGRAM CRITERIA<br />
• Curriculum<br />
Breadth and Depth Across Computer Engineering Topics<br />
The program provides breadth and depth across computer engineering as described in the<br />
“Program Curriculum” section under Criterion 5. In particular, breadth is obtained via<br />
required courses in the curriculum covering circuit analysis, digital systems, data<br />
structures, computer organization, discrete structures, electronics, and systems<br />
programming. Depth is provided by required courses at the advanced, senior level whose<br />
topics include operating systems, microcomputers, computer design, hardware design,<br />
and s<strong>of</strong>tware engineering. Additional depth comes from two pr<strong>of</strong>essional electives that<br />
are available to students in the areas <strong>of</strong> computer graphics, data mining, database<br />
organization, information retrieval, algorithms, advanced programming, data<br />
communications, information security, artificial intelligence, communications systems,<br />
computer networks, power electronics, motor drives, power systems, electronics,<br />
microwaves, control, and signal and image processing.<br />
Knowledge <strong>of</strong> Probability and Statistics<br />
Knowledge <strong>of</strong> probability and statistics is ensured by the requirement for students to take<br />
a course on these topics (MATH 474 – Probability and Statistics).<br />
Knowledge <strong>of</strong> Mathematics<br />
The required mathematics courses in the <strong>BSCPE</strong> program include a three-semester<br />
calculus sequence (MATH 151, 152, 251) including multivariate calculus (MATH 251 –<br />
Multivariate and Vector Calculus), a course in differential equations (MATH 252 –<br />
Introduction to Differential Equations), and either a course in linear algebra and complex<br />
variables (MATH 333 – Matrix Algebra and Complex Variables) or a course in<br />
computational mathematics (MATH 350 – Introduction to Computational Mathematics).<br />
A required electrical and computer engineering course at the sophomore level (ECE 218<br />
– Digital Systems) includes significant content in discrete mathematics, including<br />
Boolean algebra and logic. As noted previously, students are also required to take a<br />
course on probability and statistics (MATH 474 – Probability and Statistics).<br />
Knowledge <strong>of</strong> Basic Sciences<br />
Knowledge <strong>of</strong> basic sciences is ensured by the requirement <strong>of</strong> one semester <strong>of</strong> chemistry<br />
(CHEM 122), a three-semester course sequence in physics (PHYS 123, 221, 224), and an<br />
additional science elective chosen among biology, chemistry, or materials science (BIOL<br />
107, BIOL 115, CHEM 126, or MS 201).<br />
Knowledge <strong>of</strong> Computer Science<br />
Familiarity and knowledge <strong>of</strong> computer science is provided by a two-course, four-credit<br />
sequence during the freshman year (CS 115 – Object-oriented Programming I, CS 116 –<br />
Object-oriented Programming II) that uses a high-level programming language as a<br />
problem-solving tool, covering basic data structures and algorithms, structured<br />
62
programming techniques, and s<strong>of</strong>tware documentation. All students in the <strong>BSCPE</strong><br />
program are also required to take ECE 100. This course utilizes Interactive C for<br />
programming <strong>of</strong> autonomous robots.<br />
Further knowledge in computer science is established via the required courses CS 331<br />
(Data Structures and Algorithms), CS 350 (Computer Organization and Assembly<br />
Language Programming), and CS 351 (Systems Programming). In addition, required<br />
upper division courses CS 450 (Operating Systems I), CS 487 (S<strong>of</strong>tware Engineering I),<br />
ECE 441 (Microprocessors), and ECE 485 (Computer Organization and Design) provide<br />
in-depth knowledge <strong>of</strong> computer science.<br />
Computers are used as an analytical tool in many engineering courses taken by <strong>BSCPE</strong><br />
students. For example, the PSpice circuit simulator is used in the required courses ECE<br />
212 (Analog and Digital Lab I), ECE 214 (Analog and Digital Lab II), and ECE 311<br />
(Electronics), as well as the elective course ECE 312 (Electronic Circuits).<br />
Digital lab experiments in the required courses ECE 212 and ECE 214, and one <strong>of</strong> the<br />
two hardware-design elective courses ECE 446 (Logic Design & Implementation) use<br />
PLD programming s<strong>of</strong>tware to design PLD-based digital systems by specifying logic<br />
equations, simulating the results, and programming erasable PLDs for lab use.<br />
Knowledge <strong>of</strong> Engineering Science<br />
Engineering design and engineering science are distributed throughout the four-year<br />
curriculum. During the freshman year, the ECE 100 (Introduction to the Pr<strong>of</strong>ession I)<br />
course provides some initial exposure to engineering design. In the sophomore year,<br />
engineering science topics include circuit analysis, digital logic, and computer<br />
organization. Students take a two-semester laboratory sequence, ECE 212 and 214<br />
(Analog and Digital Laboratory I, II). The primary emphasis <strong>of</strong> this laboratory sequence<br />
is on instrumentation skills, analysis, and debugging <strong>of</strong> analog and digital circuits.<br />
However, students are also exposed to engineering design as part <strong>of</strong> this sequence.<br />
During the junior year, the primary emphasis is on major-specific engineering science<br />
courses, including Systems Programming, Engineering Electronics, and Operating<br />
Systems, each <strong>of</strong> which includes some design components. The senior year is intended to<br />
provide a student with depth in a chosen area and exposure to a meaningful design<br />
experience. The heart <strong>of</strong> this experience is ECE 441 (Microcomputers) and the hardwaredesign<br />
elective choice <strong>of</strong> ECE 429 (Introduction to VLSI Design) or ECE 446 (Advanced<br />
Logic Design). The laboratory segment <strong>of</strong> these courses includes an open-ended design<br />
project that forms the basis for a meaningful design experience. These are coupled with<br />
s<strong>of</strong>tware design experience in CS 487 (S<strong>of</strong>tware Engineering I).<br />
Included within the engineering science component in the curriculum is study <strong>of</strong><br />
electrical and electronic devices, s<strong>of</strong>tware, and systems containing s<strong>of</strong>tware and hardware<br />
elements. The lab sequence in the <strong>BSCPE</strong> curriculum combines theory and practice in<br />
electrical and electronic devices, and in both s<strong>of</strong>tware and hardware. Starting with ECE<br />
212 and 214, students gain competence to conduct experimental work with analog and<br />
digital hardware. Laboratory experience is solidified during the junior year in one<br />
required electronics courses (ECE 311) and a computer engineering elective course<br />
giving options to take a second electronics course (ECE 312) or a course in Power<br />
Systems (ECE 319).<br />
63
Hardware and s<strong>of</strong>tware tools are used in several laboratory courses. Hardware tools<br />
include digital voltmeters, oscilloscopes, function generators, curve tracers, logic<br />
analyzers, and PLD and FPGA logic programmers. S<strong>of</strong>tware tools include circuit<br />
simulators, PLD compilation and simulation programs, logic synthesis and simulation<br />
tools, MATLAB, a microwave CAD package, and others.<br />
Knowledge <strong>of</strong> Discrete Mathematics<br />
Student in the <strong>BSCPE</strong> program acquire knowledge <strong>of</strong> discrete mathematics through the<br />
courses ECE 218 (Digital Systems), which includes significant content in Boolean<br />
algebra and logic, and in CS 330 (Discrete Structures) which includes topics in formal<br />
methods <strong>of</strong> propositional and predicate logic.<br />
64
Electrical and Computer Engineering Courses<br />
APPENDIX A – COURSE SYLLABI<br />
ECE 100 ........................................................................... 68<br />
ECE 211 ........................................................................... 70<br />
ECE 212 ........................................................................... 72<br />
ECE 213 ........................................................................... 74<br />
ECE 214 ........................................................................... 76<br />
ECE 218 ........................................................................... 78<br />
ECE 242 ........................................................................... 80<br />
ECE 307 ........................................................................... 82<br />
ECE 308 ........................................................................... 84<br />
ECE 311 ........................................................................... 86<br />
ECE 312 ........................................................................... 88<br />
ECE 319 ........................................................................... 90<br />
ECE 401 ........................................................................... 92<br />
ECE 403 ........................................................................... 94<br />
ECE 404/406 .................................................................... 96<br />
ECE 407 ........................................................................... 98<br />
ECE 408 ......................................................................... 100<br />
ECE 411 ......................................................................... 102<br />
ECE 412 ......................................................................... 104<br />
ECE 419 ......................................................................... 106<br />
ECE 420 ......................................................................... 108<br />
ECE 421/423 .................................................................. 110<br />
ECE 425 ......................................................................... 112<br />
ECE 429 ......................................................................... 114<br />
ECE 436/437 .................................................................. 116<br />
ECE 438 ......................................................................... 118<br />
ECE 441 ......................................................................... 120<br />
ECE 446 ......................................................................... 122<br />
ECE 448 ......................................................................... 124<br />
ECE 449 ......................................................................... 126<br />
ECE 481 ......................................................................... 128<br />
ECE 485 ......................................................................... 130<br />
Computer Science Courses<br />
CS 115 ............................................................................ 132<br />
CS 116 ............................................................................ 134<br />
65
CS 330 ............................................................................ 136<br />
CS 331 ............................................................................ 138<br />
CS 350 ............................................................................ 140<br />
CS 351 ............................................................................ 142<br />
CS 411 ............................................................................ 144<br />
CS 422 ............................................................................ 146<br />
CS 425 ............................................................................ 148<br />
CS 429 ............................................................................ 149<br />
CS 430 ............................................................................ 151<br />
CS 440 ............................................................................ 153<br />
CS 441 ............................................................................ 155<br />
CS 445 ............................................................................ 156<br />
CS 447 ............................................................................ 158<br />
CS 450 ............................................................................ 160<br />
CS 455 ............................................................................ 162<br />
CS 458 ............................................................................ 164<br />
CS 470 ............................................................................ 165<br />
CS 480 ............................................................................ 167<br />
CS 481 ............................................................................ 169<br />
CS 487 ............................................................................ 171<br />
Materials, Mechanical, and Aerospace Engineering Courses<br />
MMAE 200 .................................................................... 173<br />
MMAE 320 .................................................................... 174<br />
Mathematics Courses<br />
MATH 151 ..................................................................... 175<br />
MATH 152 ..................................................................... 176<br />
MATH 251 ..................................................................... 177<br />
MATH 252 ..................................................................... 179<br />
MATH 333 ..................................................................... 181<br />
MATH 350 ..................................................................... 183<br />
MATH 474 ..................................................................... 185<br />
Science Courses<br />
BIOL 107 ....................................................................... 186<br />
CHEM 122 ..................................................................... 188<br />
CHEM 126 ..................................................................... 189<br />
MS 201 ........................................................................... 190<br />
PHYS 123 ...................................................................... 191<br />
66
PHYS 221 ...................................................................... 192<br />
PHYS 224 ...................................................................... 193<br />
67
ECE 100 – Introduction to the Pr<strong>of</strong>ession I<br />
Fall Semester 2007<br />
Catalog Data: ECE 100: Introduction to the Pr<strong>of</strong>ession I. Credit 2.<br />
Introduces the student to the scope <strong>of</strong> the engineering pr<strong>of</strong>ession and its role in<br />
society and develops a sense <strong>of</strong> pr<strong>of</strong>essionalism in the student. Provides an overview<br />
<strong>of</strong> electrical engineering through a series <strong>of</strong> hands-on projects and computer<br />
exercises. Develops pr<strong>of</strong>essional communication and teamwork skills. (2-3-3) (C)<br />
Enrollment:<br />
Textbook:<br />
Coordinator:<br />
Required course for CPE and EE majors.<br />
F.G. Martin, MIT Media Labs, Robotic Explorations, Prentice-Hall, 1 st Edition.<br />
D. Ucci, Associate Pr<strong>of</strong>essor <strong>of</strong> ECE<br />
Course objectives:<br />
Given a complex electrical and computer engineering challenge (e.g., navigate a maze, follow a line, win “Mint<br />
Shuffle”), each student should be able to perform the following tasks by the end <strong>of</strong> the course.<br />
1. Investigate typical solutions to a complex engineering problem via print and online resources.<br />
2. Generate alternative solutions to a complex engineering problem.<br />
3. Determine an optimal solution to a complex problem via quantitative comparison with respect to the given<br />
design criteria.<br />
4. Construct an autonomous robot with LEGO pieces, DC motors, touch sensors, light sensors, HandyBoard, and<br />
Interactive C to solve an engineering challenge.<br />
5. Test and analyze the performance <strong>of</strong> an autonomous robot with respect to the given design criteria.<br />
6. Evaluate the adequacy <strong>of</strong> the implemented solution with respect to the given design criteria.<br />
7. Prepare a persuasive technical report describing the methodologies employed and results obtained in objectives<br />
1-6.<br />
8. Deliver a persuasive oral presentation describing the methodologies employed and results obtained in objectives<br />
1-6.<br />
Prerequisites by topic:<br />
Entering freshman status<br />
Lecture schedule:<br />
Laboratory schedule:<br />
One 75-minute session per week.<br />
One 105-minute session per week.<br />
Topics:<br />
1. Introduction and history <strong>of</strong> electrical and computer engineering (1 week)<br />
2. Robots—overview (2 weeks)<br />
3. DC motors and gears (1week)<br />
4. Control systems and feedback (1 week)<br />
5. Advanced topics in robotics (1 week)<br />
6. Ethics in engineering (1 week)<br />
7. Industry presentations—power, computers, electronics, communications (3 weeks)<br />
8. Robot competitions (3 weeks)<br />
Computer usage:<br />
1. Interactive C is utilized by students to program their robots.<br />
2. Word processing and presentation s<strong>of</strong>tware tools are used for written and oral presentations.<br />
68
Laboratory topics:<br />
1. HandyBoard and Interactive C (1 week)<br />
2. LEGO construction and simple movement <strong>of</strong> robots (1 week)<br />
3. Obstacle avoidance for robots (1 week)<br />
4. Competition preparation (3 weeks)<br />
5. Robot competitions (4 weeks)<br />
6. Team preparations (3 weeks)<br />
Pr<strong>of</strong>essional components as estimated by faculty member who prepared this course description:<br />
Engineering Science: 1.5 credits or 50%<br />
Engineering Design: 1.5 credits or 50%<br />
Relationship <strong>of</strong> ECE 100 Course to ABET Outcomes:<br />
Course<br />
OUTCOME:<br />
Objective(s)<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1,2,3,4,5,6<br />
3b Design and conduct experiments / Analyze and Interpret Data 5<br />
3c Design system, component, or process to meet needs 4,6<br />
3d Function on multi-disciplinary teams<br />
3e Identify, formulate, and solve engineering problems 1,2,3<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility<br />
3g Communicate effectively 7,8<br />
3h Broad education<br />
3i Recognize need for life-long learning<br />
3j Knowledge <strong>of</strong> contemporary issues<br />
3k Use techniques, skills, and tools in engineering practice 4<br />
4 Major design experience<br />
Prepared by: D. Ucci Date: May 5, <strong>2008</strong><br />
69
ECE 211 – Circuit Analysis I<br />
Fall Semester 2007<br />
Catalog Data: ECE 211: Circuit Analysis I. Credit 3.<br />
Ohm’s Law, Kirchh<strong>of</strong>f’s laws, and network element voltage-current relations.<br />
Application <strong>of</strong> mesh and nodal analysis to circuits, superposition, Thevenin’s and<br />
Norton’s theorems, maximum power transfer theorem. Transient circuit analysis or<br />
RC, RL, and RLC circuits. Introduction to Laplace transforms. Concurrent<br />
registration in ECE 212 and ECE 218 is strongly encouraged. Corequisite: MATH<br />
252. (3-0-3)<br />
Enrollment:<br />
Required course for CPE and EE majors.<br />
Textbook: J. D. Irwin, Basic Electric Circuit Analysis, John Wiley and Sons, 7 th Edition, 2002.<br />
Coordinator:<br />
J. Pinnello, Lecturer <strong>of</strong> ECE<br />
Course objectives:<br />
After completing this course, the student should be able to do the following:<br />
1. Derive and apply the relevant equations <strong>of</strong> DC circuit analysis.<br />
2. Draw the symbols for active and passive circuit components.<br />
3. Given a resistive network with multiple nodes and loops, containing both independent and dependent<br />
sources, use a variety <strong>of</strong> appropriate methods to find all unknown variables.<br />
4. Given a resistive network with multiple nodes and loops, containing both independent and dependent<br />
sources, determine the load resistance that allows the source to deliver maximum power to the load;<br />
calculate the maximum power that is transferred.<br />
5. Given resistors (or capacitors or inductors) connected in series or in parallel, find the equivalent resistance<br />
(or capacitance or inductance).<br />
6. Given a series or parallel RL (or RC or RLC) circuit excited by a constant voltage or current, write the<br />
response equation, and find the solution.<br />
7. List the possible modes <strong>of</strong> response for a second-order circuit.<br />
8. Given a linear ordinary differential equation with constant coefficients with a “well-behaved” engineering<br />
function as input, apply Laplace transforms to solve for the unknown function <strong>of</strong> time.<br />
Prerequisites by topic:<br />
1. Algebra, trigonometry, integration, differentiation<br />
2. Corequisite: First and second order linear ordinary differential equations<br />
Lecture schedule:<br />
Laboratory schedule:<br />
Two 75-minute sessions per week.<br />
None.<br />
Topics:<br />
1. Introduction and basic concepts—element, circuit, charge, current, voltage, energy, power,<br />
independent sources, active/passive elements (1.5 weeks)<br />
2. Resistive circuits—resistors and the color code, Ohm’s law, KVL, KCL, current and voltage<br />
division (2 weeks)<br />
3. Dependent sources and operational amplifiers (1week)<br />
4. Analysis methods—nodal and mesh analysis (2 weeks)<br />
5. Linear circuit theorems—superposition, Thevenin and Norton equivalent circuits, source<br />
transformation, maximum power transfer (2 weeks)<br />
6. Capacitors and inductors (1week)<br />
7. First order RC and RL circuits (1.5 weeks)<br />
8. Transient analysis <strong>of</strong> second order circuits (1.3 weeks)<br />
9. Introduction to Laplace transforms (2 weeks)<br />
10. Quizzes and tests (1.7 weeks)<br />
70
Computer usage:<br />
Laboratory topics:<br />
None<br />
None<br />
Pr<strong>of</strong>essional components as estimated by faculty member who prepared this course description:<br />
Engineering Science: 3 credits or 100%<br />
Engineering Design: 0 credits or 0%<br />
Relationship <strong>of</strong> ECE 211 Course to ABET Outcomes:<br />
Course<br />
Objective<br />
OUTCOME:<br />
(s)<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1,3,4,5,6,7<br />
3b Design and conduct experiments / Analyze and Interpret Data<br />
3c Design system, component, or process to meet needs<br />
3d Function on multi-disciplinary teams<br />
3e Identify, formulate, and solve engineering problems 1,3,4,5,6,7<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility<br />
3g Communicate effectively<br />
3h Broad education<br />
3i Recognize need for life-long learning<br />
3j Knowledge <strong>of</strong> contemporary issues<br />
3k Use techniques, skills, and tools in engineering practice 2,3,4,5,6,7<br />
4 Major design experience<br />
Prepared by: J. Pinnello Date: May 14, <strong>2008</strong><br />
71
ECE 212 - Analog and Digital Laboratory I<br />
Spring Semester <strong>2008</strong><br />
2001 Catalog Data: ECE 212: Analog and Digital Laboratory I. Credit 1.<br />
Basic experiments with analog and digital circuits. Familiarization with test and<br />
measurement equipment; combinational digital circuits; familiarization with latches, flipflops,<br />
and shift registers; operational amplifiers; and transient effects in first-order and<br />
second-order analog circuits; PSpice s<strong>of</strong>tware applications. Corequisites: ECE 211, ECE<br />
218. (0-3-1) (C)<br />
Enrollment:<br />
Textbook:<br />
Coordinator:<br />
Required course for CPE and EE majors.<br />
ECE 212 Laboratory Manual<br />
S. Wolf and R. F. M. Smith, Student Reference Manual for Electronic Instrumentation<br />
Laboratories, Prentice-Hall, 1990.<br />
T. Wong, Pr<strong>of</strong>essor <strong>of</strong> ECE<br />
Course objectives:<br />
After completing this laboratory course, the student should be able to do the following:<br />
1. Utilize the digital multimeter in making measurements <strong>of</strong> voltage, current, and resistance.<br />
2. Set up the function generator to obtain sinusoidal and square waves <strong>of</strong> required amplitudes.<br />
3. Determine the value and tolerance <strong>of</strong> a resistor by its color code.<br />
4. Understand the principle <strong>of</strong> operation <strong>of</strong> the oscilloscope. Use the oscilloscope to display a waveform<br />
and make measurements on a signal with the oscilloscope.<br />
5. Construct and troubleshoot simple circuits on a breadboard.<br />
6. Implement simple analog functional circuits with the operational amplifier.<br />
7. Implement digital functional circuits using logic gates and programmable logic devices.<br />
8. Measure the time constant <strong>of</strong> a first-order circuit.<br />
Prerequisites by topic:<br />
1. DC and transient linear circuit theory (Co-requisite)<br />
2. Digital circuit analysis (Co-requisite)<br />
Lecture schedule:<br />
Laboratory schedule:<br />
None.<br />
One 150-minute session per week.<br />
Computer usage:<br />
1. Students use PSpice simulation for several pre-laboratory assignments.<br />
2. Students prepare reports using word-processing s<strong>of</strong>tware.<br />
Laboratory topics:<br />
1. Introduction to PSpice (1 week)<br />
2. Digital Meters and Loading Effects (Digital multimeters, power supplies) (1 week)<br />
3. The Oscilloscope (Oscilloscope, function generator) (1 week)<br />
4. Frequency Measurements with the Oscilloscope (Oscilloscope, function generator) (1 week)<br />
5. Introduction to Digital Circuits (Digital manifold) (1 week)<br />
6. The River-Crossing Game (Logic and Digital Circuit Construction) (Digital manifold) (1 week)<br />
7. Operational Amplifiers (Oscilloscope, power supply, function generator)(1 week)<br />
8. Code Conversion (Digital manifold, PAL programmer) (1 week)<br />
9. Seven-Segment Display Drivers (Digital manifold) (1 week)<br />
10. Adders, Subtractors, and Comparators (Digital manifold) (1 week)<br />
11. Transients in First-Order Circuits (Oscilloscope, function generator, power supply) (1 week)<br />
12. Latches, Flip-Flops, and Shift Registers (Digital manifold) (1 week)<br />
13. Practical Midterm and Final Examinations (2 weeks)<br />
72
Pr<strong>of</strong>essional components as estimated by faculty member who prepared this course description:<br />
Engineering Science: 0.25 credits or 25%<br />
Engineering Design: 0.25 credits or 25%<br />
Other (Lab skills): 0.50 credits or 50%<br />
Relationship <strong>of</strong> ECE 212 Course to ABET Outcomes:<br />
OUTCOME:<br />
Course<br />
Objective(s)<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1,2,3,4,5,6,7,8<br />
3b Design and conduct experiments / Analyze and Interpret Data 5,8<br />
3c Design system, component, or process to meet needs<br />
3d Function on multi-disciplinary teams<br />
3e Identify, formulate, and solve engineering problems 5<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility<br />
3g Communicate effectively 9<br />
3h Broad education<br />
3i Recognize need for life-long learning<br />
3j Knowledge <strong>of</strong> contemporary issues<br />
3k Use techniques, skills, and tools in engineering practice 1,2,3,4,5,6,7,8<br />
4 Major design experience<br />
Prepared by: T. Wong Date: April 26, 2002<br />
(Modified by A. Khaligh on Jan. <strong>2008</strong>)<br />
73
ECE 213 – Circuit Analysis II<br />
Spring Semester <strong>2008</strong><br />
Catalog Data: ECE 213: Circuit Analysis II. Credit 3.<br />
Sinusoidal excitation and phasors. AC steady-state circuit analysis using phasors.<br />
Complex frequency, network functions, pole-zero analysis, frequency response, and<br />
resonance. Two-port networks, transformers, mutual inductance, AC steady-state power,<br />
RMS values, introduction to three-phase systems and Fourier series. Concurrent<br />
registration in ECE 214 is strongly encouraged. Prerequisite: Grade <strong>of</strong> “C” or better in<br />
ECE 211. (3-0-3)<br />
Enrollment:<br />
Textbook:<br />
Coordinator:<br />
Required course for CPE and EE majors.<br />
J. D. Irwin and R. M. Nelms, Basic Engineering Circuit Analysis, John Wiley and Sons,<br />
8 th Edition, 2005.<br />
T. Wong, Pr<strong>of</strong>essor <strong>of</strong> ECE<br />
Course objectives:<br />
After completing this course, the student should be able to do the following:<br />
1. Demonstrate ability to analyze circuits using both phasor notation and sinusoidal functions <strong>of</strong> time.<br />
2. Demonstrate ability to apply all essential circuit analysis techniques to the analysis <strong>of</strong> AC circuits.<br />
3. Demonstrate ability to calculate instantaneous power, average power, and complex power in AC circuits; to<br />
determine RMS values <strong>of</strong> voltage and current; to apply the maximum power transfer theorem; and to correct the<br />
power factor in a circuit.<br />
4. Demonstrate ability to work with three-phase circuits.<br />
5. Demonstrate ability to analyze circuits containing mutual inductances and transformers.<br />
6. Demonstrate ability to use Laplace transforms to solve AC circuits in the time and frequency domains.<br />
7. Given a two-port network, calculate its admittance, impedance, hybrid, and transmission parameters.<br />
Prerequisites by topic:<br />
1. Calculus<br />
2. Differential equations<br />
3. DC time-domain circuit analysis techniques<br />
4. Complex algebra<br />
Lecture schedule:<br />
Laboratory schedule:<br />
Two 75-minute sessions per week.<br />
None.<br />
Topics:<br />
1. Sinusoidal excitation and phasors (1.5 weeks)<br />
2. AC steady-state analysis using phasors (2 weeks)<br />
3. AC steady-state power (1.5 weeks)<br />
4. Three-phase circuits (1 week)<br />
5. Mutual inductance and linear transformers (1 week)<br />
6. Complex frequency and network functions (1 week)<br />
7. Frequency response and filters (2 weeks)<br />
8. Laplace transform applications (1.5 weeks)<br />
9. Introduction to Fourier series applied to circuit analysis (1 week)<br />
10. Two-port networks (1.5 weeks)<br />
Computer usage:<br />
Laboratory topics:<br />
None<br />
None<br />
74
Pr<strong>of</strong>essional components as estimated by faculty member who prepared this course description:<br />
Engineering Science: 3 credits or 100%<br />
Engineering Design: 0 credits or 0%<br />
Relationship <strong>of</strong> ECE 213 Course to ABET Outcomes :<br />
OUTCOME:<br />
Course<br />
Objective (s)<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1,2,3,4,5,6,7<br />
3b Design and conduct experiments /Analyze and Interpret Data<br />
3c Design system, component, or process to meet needs<br />
3d Function on multi-disciplinary teams<br />
3e Identify, formulate, and solve engineering problems 1,2,3,4,5,6,7<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility<br />
3g Communicate effectively<br />
3h Broad education<br />
3i Recognize need for life-long learning<br />
3j Knowledge <strong>of</strong> contemporary issues<br />
3k Use techniques, skills, and tools in engineering practice<br />
4 Major design experience<br />
Prepared by: T. Wong Date: February 29, <strong>2008</strong><br />
75
ECE 214 - Analog & Digital Lab II<br />
Spring Semester <strong>2008</strong><br />
2001 Catalog Data: ECE 214: Analog & Digital Lab II. Credit 1.<br />
Design-oriented experiments including counters, finite state machines, sequential<br />
logic design, impedances in AC steady-state, resonant circuits, two-port networks,<br />
and filters. A final project incorporating concepts from analog and digital circuit<br />
design will be required. Prerequisite: ECE 212. Corequisite: ECE 213. (0-3-1) (C)<br />
Enrollment:<br />
Textbook:<br />
Reference:<br />
Coordinator:<br />
Required course for CPE and EE majors.<br />
ECE 214 Laboratory Manual<br />
W. Banshaf, Computer-Aided Circuit Analysis using Spice, Prentice Hall, 1989.<br />
Wolf & Smith, Student Reference Manual for Electronic Instrumentation<br />
Laboratories, Prentice Hall, 1990.<br />
A. Wang, Assistant Pr<strong>of</strong>essor <strong>of</strong> ECE<br />
Course objectives:<br />
After completing this laboratory course, the student should be able to do the following:<br />
1. Design and implement basic analog and digital circuits.<br />
2. Construct and troubleshoot basic analog and digital electronic experiments.<br />
3. Utilize the logic analyzer and oscilloscope to test and debug digital circuits.<br />
4. Use various s<strong>of</strong>tware tools (PSpice, Excel) for analysis and simulation.<br />
Prerequisites by topic:<br />
1. Boolean Algebra, Combinational Logic Design<br />
2. Sequential Logic Design: Latches, Flip-Flops, Finite State Machines<br />
3. Basic Circuit and Network Theory<br />
Lecture schedule:<br />
Laboratory schedule:<br />
None.<br />
One 150-minute session per week.<br />
Computer usage:<br />
1. Students use PALASM s<strong>of</strong>tware to program and simulate Programmable Logic Devices in several<br />
lab assignments.<br />
2. Students use PSPICE to simulate analog circuits.<br />
Laboratory topics:<br />
1. Oscilloscope review (1 week)<br />
2. Counters (1 week)<br />
3. Logic Analyzer Familiarization (1 week)<br />
4. Finite State Machines (1 week)<br />
5. Sinusoidal Steady State Analysis (2 weeks)<br />
6. Power and Power Factor Correction (1 week)<br />
7. Sequential Logic Design with PLDs (1 week)<br />
8. Frequency Response <strong>of</strong> Active Networks (1 week)<br />
9. Transformers (1 week)<br />
10. Practical Final Exam (2 weeks)<br />
Pr<strong>of</strong>essional components as estimated by faculty member who prepared this course description:<br />
Engineering Science: 0.50 credit or 50%<br />
Engineering Design: 0.25 credit or 25%<br />
76
Other (Lab Skills): 0.25 credit or 25%<br />
Relationship <strong>of</strong> ECE 214 Course to ABET Outcomes:<br />
Course<br />
OUTCOME:<br />
Objective (s)<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1,2,3,4<br />
3b Design and conduct experiments /Analyze and Interpret Data 2,3<br />
3c Design system, component, or process to meet needs<br />
3d Function on multi-disciplinary teams<br />
3e Identify, formulate, and solve engineering problems 2,3,4<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility<br />
3g Communicate effectively 5<br />
3h Broad education<br />
3i Recognize need for life-long learning<br />
3j Knowledge <strong>of</strong> contemporary issues<br />
3k Use techniques, skills, and tools in engineering practice 1,3,4<br />
4 Major design experience<br />
Prepared by: A. Wang Date: April 26, 2002<br />
(modified by A. Khaligh on Jan. <strong>2008</strong>)<br />
77
ECE 218 - Digital Systems<br />
Spring Semester <strong>2008</strong><br />
Catalog Data: ECE 218: Digital Systems. Prerequisites: Sophomore standing, Credit 3.<br />
Number systems and conversions, binary codes, and Boolean algebra. Switching devices,<br />
discrete and integrated digital circuits, analysis and design <strong>of</strong> combinational logic<br />
circuits. Karnaugh maps and minimization techniques. Counters and registers. Analysis<br />
and design <strong>of</strong> synchronous sequential circuits. Concurrent registration in ECE 211 and<br />
ECE 212 is strongly encouraged.<br />
(3-0-3)<br />
Enrollment:<br />
Required course for CPE and EE majors.<br />
Textbook: Digital Design, M.M.Mano and M.D.Ciletti, Pearson Prentice-Hall, 4th Ed., 2007.<br />
Coordinator:<br />
S.R.Borkar, Senior Lecturer <strong>of</strong> ECE<br />
Course objectives:<br />
After completing this course, the student should be able to do the following:<br />
1. Perform arithmetic in bases 2, 8, and 16.<br />
2. Demonstrate the ability to apply Boolean algebra to digital logic problems.<br />
3. Implement Boolean functions using Karnaugh maps.<br />
4. Simplify Boolean functions using Karnaugh maps.<br />
5. Design logic circuits from verbal problem descriptions<br />
6. Describe situations where medium-scale integration circuits are useful.<br />
7. Analyze and design logic circuits containing flip-flops.<br />
8. Design and analyze synchronous sequential circuits.<br />
9. List various types <strong>of</strong> memories and programmable logic devices.<br />
Prerequisites by topic:<br />
Lecture schedule:<br />
Laboratory schedule:<br />
None.<br />
Two 75-minute sessions per week.<br />
None.<br />
Topics:<br />
1. Number Bases, Conversion (1 week)<br />
2. Signed Numbers, Complements, Codes (1 week)<br />
3. Boolean Algebra (1 week)<br />
4. Logic Gates (0.5 week)<br />
5. Karnaugh Map Method (0.5 week)<br />
6. Don't-Care Terms (0.5 week)<br />
7. Two-Level Logic Implementations (0.5 week)<br />
8. Don't-Care Terms (0.5 week)<br />
9. Exclusive OR (0.5 week)<br />
10. Design and Analysis Procedures (1 week)<br />
11. MSI Circuits: Adders, Comparators, Decoders, Encoders, Multiplexers (2 weeks)<br />
12. Flip-Flops, Triggering (1 week)<br />
13. Clocked Sequential Circuits (1 week)<br />
14. State Reduction (0.5 week)<br />
15. Excitation Tables (0.5 week)<br />
16. Design <strong>of</strong> Registers and Counters (1 week)<br />
17. Random Access Memory (1 week)<br />
18. Programmable Logic: ROMs, PLAs, PALs (1 week)<br />
19. Tests (1 week)<br />
Computer usage:<br />
Laboratory topics:<br />
None<br />
None.<br />
78
Pr<strong>of</strong>essional components as estimated by faculty member who prepared this course description:<br />
Engineering Science: 1.5 credits or 50%<br />
Engineering Design: 1.5 credits or 50%<br />
Relationship <strong>of</strong> ECE 218 Course to ABET Outcomes:<br />
OUTCOME:<br />
Course<br />
Objective (s)<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1,2,3,4,5,6,7,8,9<br />
3b Design and conduct experiments /Analyze and Interpret Data<br />
3c Design system, component, or process to meet needs 3,4,5,6,7,8,9<br />
3d Function on multi-disciplinary teams<br />
3e Identify, formulate, and solve engineering problems 2,4,7,8<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility<br />
3g Communicate effectively<br />
3h Broad education<br />
3i Recognize need for life-long learning<br />
3j Knowledge <strong>of</strong> contemporary issues<br />
3k Use techniques, skills, and tools in engineering practice<br />
4 Major design experience<br />
Prepared by: S. R. Borkar Date: Feb 20, <strong>2008</strong><br />
79
ECE 242 - Digital Computers and Computing<br />
Fall Semester 2007<br />
Catalog Data: ECE 242: Digital Computers and Computing Prerequisites: CS 116, ECE 218.<br />
Basic concepts in computer architecture, organization, and programming, including:<br />
integer and floating point number representations, memory organization, computer<br />
processor operation (the fetch/execute cycle), and computer instruction sets.<br />
Programming in machine language and assembly language with an emphasis on practical<br />
problems. Brief survey <strong>of</strong> different computer architectures. (3-0-3)<br />
Enrollment:<br />
Textbook:<br />
Coordinator:<br />
Required course for EE majors.<br />
T. Harman and D. Hein, The Motorola MC68000 Microprocessor Family, Prentice-Hall,<br />
2 nd Edition, 1996.<br />
E. Oruklu, Assistant Pr<strong>of</strong>essor <strong>of</strong> ECE<br />
Course objectives:<br />
After completing this course, the student should be able to do the following:<br />
1. List the essential parts <strong>of</strong> a typical digital computer processor unit.<br />
2. Describe the format <strong>of</strong> a typical digital computer instruction (Machine code).<br />
3. State the process <strong>of</strong> instruction execution.<br />
4. Write programs in assembler language.<br />
5. Use subroutines for repetitive tasks.<br />
6. Utilize indirect addressing in various program applications (pointers, etc.)<br />
7. Describe the importance <strong>of</strong> an operating system.<br />
8. Write programs to convert numbers between bases to prepare for input and output.<br />
9. Use input and output functions <strong>of</strong> a computer operating system.<br />
Prerequisites by topic:<br />
1. Boolean algebra, Combinational logic design<br />
2. Basic programming<br />
Lecture schedule:<br />
Laboratory schedule:<br />
Two 75-minute sessions per week.<br />
None.<br />
Topics:<br />
1. Introduction, Number Systems (1 week)<br />
2. Basic Computer Organization, MC68000 Microprocessor (1 week)<br />
3. MC68000 Registers, Memory, Instructions (1 week)<br />
4. Machine Code (0.5 week)<br />
5. Addressing Modes (0.5 week)<br />
6. Simulator, Machine-code Program (0.5 week)<br />
7. Source-code Program, Assembler (0.5 week)<br />
8. Program Counter (0.5 week)<br />
9. Assembly-language Program, Assembler Directives, .LIS and .H68 Files (0.5 week)<br />
10. Arithmetic and Logic Operations (1 week)<br />
11. Jump and Branch Instructions (0.5 week)<br />
12. Status Register (0.5 week)<br />
13. Conditional Branch Instructions (0.5 week)<br />
14. Compare and Test Instructions (0.5 week)<br />
15. Indirect Addressing, Move and Add Variations (1 week)<br />
16. Stack Pointer (1 week)<br />
17. Subroutines (1 week)<br />
80
18. Operating System and its Subroutines (1 week)<br />
19. Shift and Rotate Instructions (0.5 week)<br />
20. Conversions between Number Bases (0.5 week)<br />
21. Vector Table, Traps, Interrupts (1 week)<br />
22. Test (1 week)<br />
Computer usage:<br />
Students use an assembler and simulator for the MC68000 that runs on PCs.<br />
Laboratory topics: None.<br />
Pr<strong>of</strong>essional components as estimated by faculty member who prepared this course description:<br />
Engineering Science: 1 credit or 33%<br />
Engineering Design: 2 credits or 67%<br />
Relationship <strong>of</strong> ECE 242 Course to ABET Outcomes:<br />
OUTCOME:<br />
Course<br />
Objective (s)<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1,2,3,4,5,6,7<br />
3b Design and conduct experiments /Analyze and Interpret Data<br />
3c Design system, component, or process to meet needs 4,6,8<br />
3d Function on multi-disciplinary teams<br />
3e Identify, formulate, and solve engineering problems<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility<br />
3g Communicate effectively<br />
3h Broad education<br />
3i Recognize need for life-long learning<br />
3j Knowledge <strong>of</strong> contemporary issues<br />
3k Use techniques, skills, and tools in engineering practice 4,5,6,7,8,9<br />
4 Major design experience<br />
Prepared by: S.R.Borkar Date: Feb 20, <strong>2008</strong><br />
81
ECE 307 - Electrodynamics<br />
Spring Semester <strong>2008</strong><br />
Catalog Data: ECE 307: Electrodynamics. Credit 4.<br />
Vector analysis applied to static and time-varying electric and magnetic fields.<br />
Coulomb's law, electric-field intensity, flux density and Gauss's law. Energy and<br />
potential. Biot-Savart and Ampere's Law. Maxwell's equations with applications<br />
including uniform- plane wave propagation. Transmission lines with sinusoidal and<br />
transient excitations. Graphical methods. Prerequisites: PHYS 221, MATH 251. (3-3-4)<br />
Enrollment:<br />
Textbook:<br />
Coordinator:<br />
Required course for EE majors; elective course for CPE majors.<br />
William H. Hayt and John A. Buck, Engineering Electromagnetics, McGraw-Hill, 7th<br />
Edition, 2006.<br />
T. Wong, Pr<strong>of</strong>essor <strong>of</strong> ECE<br />
Course objectives:<br />
After completing this course, the student should be able to do the following:<br />
1. Solve problems involving the concept <strong>of</strong> field (scalar or vector), and <strong>of</strong> flux <strong>of</strong> a vector field from both the<br />
strictly mathematical viewpoint and the physical one.<br />
2. Describe physical situations in terms <strong>of</strong> the appropriate differential operators used in electrodynamics.<br />
3. Solve problems involving the microscopic phenomena that originate from the electromagnetic properties <strong>of</strong><br />
bulk materials.<br />
4. Solve problems involving time variations <strong>of</strong> the flux <strong>of</strong> magnetic field. Discuss the conceptual equivalence<br />
<strong>of</strong> the flux variation due to geometrical factors (generator configuration) and to a time-varying magnetic<br />
field (transformer configuration).<br />
5. Apply Maxwell’s equations in both point and integral form; derive special cases from the general<br />
formulation.<br />
6. Solve problems involving the concept <strong>of</strong> magnetic potentials, with particular emphasis on the vector<br />
magnetic potential, and the mechanism <strong>of</strong> propagation <strong>of</strong> electromagnetic waves in different dielectric<br />
media.<br />
7. Obtain solutions to transmission line equations under sinusoidal and transient excitations; perform<br />
impedance transformation on transmission lines employing the Smith chart.<br />
Prerequisites by topic:<br />
1. Physics (Electromagnetic Fields)<br />
2. Vector Analysis<br />
Lecture schedule:<br />
Recitation schedule:<br />
Two 75-minute sessions per week.<br />
One 75-minute session per week.<br />
Topics:<br />
1. Vector Analysis (1 weeks)<br />
2. Coulomb’s Law and Electric Fields (1 week)<br />
3. Electric Flux and Gauss’ Law (1 weeks)<br />
4. Energy and Potential (1 weeks)<br />
5. Conductors, Dielectrics, Capacitance (1.5 weeks)<br />
6. Mapping (0.25 week)<br />
7. Poisson’s and Laplace Equations (1 weeks)<br />
8. Steady Magnetic Fields (1.25 weeks)<br />
9. Magnetic Forces and Inductance (1.5 weeks)<br />
10. Time-Varying Fields and Maxwell’s Equations (1 week)<br />
11. Uniform Plane Waves (1 weeks)<br />
12. Transmission Line Equations and Solutions (1.5 weeks)<br />
13. Wave Reflection and Standing waves (0.5 week)<br />
82
14. Graphical Methods (1 week)<br />
Computer usage:<br />
Laboratory topics:<br />
None.<br />
None.<br />
Pr<strong>of</strong>essional components as estimated by faculty member who prepared this course description:<br />
Engineering Science: 4 credits or 100%<br />
Engineering Design: 0 credits or 0%<br />
Relationship <strong>of</strong> ECE 307 Course to ABET Outcomes:<br />
OUTCOME:<br />
Course<br />
Objective (s)<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1,2,5<br />
3b Design and conduct experiments<br />
3c Design system, component, or process to meet needs<br />
3d Function on multi-disciplinary teams<br />
3e Identify, formulate, and solve engineering problems 1,3,4,6<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility<br />
3g Communicate effectively<br />
3h Broad education<br />
3i Recognize need for life-long learning<br />
3j Knowledge <strong>of</strong> contemporary issues<br />
3k Use techniques, skills, and tools in engineering practice<br />
4 Major design experience<br />
Prepared by: T. Wong Date: May 14, <strong>2008</strong><br />
83
ECE 308 - Signals and Systems<br />
Fall Semester 2007<br />
Catalog Data: ECE 308: Signals and Systems. Credit 3.<br />
Time and frequency domain representation <strong>of</strong> continuous and discrete time signals.<br />
Introduction to sampling and sampling theorem. Time and frequency domain analysis <strong>of</strong><br />
continuous and discrete linear systems. Fourier series, convolution, transfer functions.<br />
Fourier transforms, Laplace transforms, and Z-transforms. Prerequisite: ECE 213.<br />
Corequisite: MATH 333. (3-0-3)<br />
Enrollment:<br />
Required course for EE majors; elective course for CPE majors.<br />
Textbook: E.W. Kamen and B.S. Heck, Signals and Systems, Prentice Hall, 3 rd Edition, 2007.<br />
Coordinator:<br />
D.R. Ucci, Associate Pr<strong>of</strong>essor <strong>of</strong> ECE<br />
Course objectives:<br />
After completion <strong>of</strong> this course, the student should be able to do the following:<br />
1. Define a signal and system in broad terms.<br />
2. Determine the response <strong>of</strong> a linear system to a given signal using time, frequency, and transform domain<br />
techniques.<br />
3. Use spectral methods in problem solving.<br />
4. Be prepared to take graduate courses in the systems area.<br />
5. Be able to apply the new concepts learned in subsequent courses for which this course is a pre-requisite.<br />
Prerequisites by topic:<br />
1. Basic principles <strong>of</strong> physics<br />
2. Fundamentals <strong>of</strong> calculus<br />
3. Linear ordinary differential equations<br />
4. Fundamentals <strong>of</strong> electrical components and circuits<br />
5. Introduction to Laplace transforms<br />
6. Complex variable analysis<br />
7. Linear algebra principles<br />
Lecture schedule:<br />
Laboratory schedule:<br />
Two 75-minute sessions per week.<br />
None.<br />
Topics:<br />
1. Continuous and Discrete Time Signal Fundamentals (1.5 weeks)<br />
2. Continuous and Discrete Time System Fundamentals (1.5 weeks)<br />
3. Differential and Difference Equation Representation <strong>of</strong> Systems (1 week)<br />
4. Discrete and Continuous Convolution (1.5 weeks)<br />
5. Fourier Theory and its Application to Signals and Systems (2 weeks)<br />
6. Frequency Response <strong>of</strong> Continuous Systems (1.5 weeks)<br />
7. Laplace Transform and its application to Signals and Systems (2 weeks)<br />
8. Stability <strong>of</strong> Continuous and Discrete Systems (1 week)<br />
9. The Z-Transform and its Application to Signals and Systems (1 week)<br />
10. Exams (1.5 weeks)<br />
Computer usage:<br />
Students use MATLAB, MathCAD, MAPLE, or other program to check solutions to homework and other<br />
problems.<br />
Laboratory topics: None.<br />
Pr<strong>of</strong>essional components as estimated by faculty member who prepared this course description:<br />
84
Engineering Science: 2.4 credits or 80%<br />
Engineering Design 0.6 credits or 20%<br />
Relationship <strong>of</strong> ECE 308 Course to ABET Outcomes:<br />
OUTCOME:<br />
Course<br />
Objective (s)<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1,2,3<br />
3b Design and conduct experiments<br />
3c Design system, component, or process to meet needs<br />
3d Function on multi-disciplinary teams<br />
3e Identify, formulate, and solve engineering problems 3<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility<br />
3g Communicate effectively<br />
3h Broad education<br />
3i Recognize need for life-long learning<br />
3j Knowledge <strong>of</strong> contemporary issues<br />
3k Use techniques, skills, and tools in engineering practice 3<br />
4 Major design experience<br />
Prepared by: D. R. Ucci Date: March 18, 2007<br />
85
ECE 311 - Engineering Electronics<br />
Fall Semester 2007<br />
Catalog Data: ECE 311: Engineering Electronics. Credit 4.<br />
Physics <strong>of</strong> semiconductor devices. Diode operation and circuit applications. Regulated<br />
power supplies. Bipolar and field-effect transistor operating principles. Biasing<br />
techniques and stabilization. Linear equivalent circuit analysis <strong>of</strong> bipolar and field-effect<br />
transistor amplifiers. Laboratory experiments reinforce concepts. Prerequisites: ECE 213,<br />
ECE 214. (3-3-4) (C)<br />
Enrollment: Required course for CPE and EE majors.<br />
Textbook:<br />
Coordinator:<br />
A. Sedra and K. Smith, Microelectronic Circuits, Oxford University Press, 4 th Edition,<br />
1998.<br />
ECE 311 Laboratory Manual<br />
T. Wong, Pr<strong>of</strong>essor <strong>of</strong> ECE<br />
Course objectives:<br />
After completing this course, the student should be able to do the following:<br />
1. Apply diode device models to the analysis <strong>of</strong> diode circuits, including Zener regulating circuits.<br />
2. Model OP Amp operation as a black box electronic element and to apply the model to the analysis <strong>of</strong><br />
typical op amp functional circuit blocks.<br />
3. Apply BJT device models (DC and small signal AC) to analyze the performance <strong>of</strong> BJT amplifying<br />
circuits.<br />
4. Apply MOSFET device models (DC and small signal AC) to analyze the performance <strong>of</strong> MOSFET<br />
amplifying circuits.<br />
5. Conduct laboratory experiments to confirm the analysis done in the class.<br />
Prerequisites by topic:<br />
1. Calculus including Differential Equations.<br />
2. Circuit Analysis (AC, DC, transients, pole-zero and frequency response).<br />
3. Familiarity with laboratory components, equipment, and s<strong>of</strong>tware tools.<br />
Lecture schedule: Two 75-minute sessions per week.<br />
Laboratory schedule: One 150-minute session per week.<br />
Topics:<br />
1. Ideal Diodes With Applications (1 week)<br />
2. Small Signal Analysis (1 week)<br />
3. Zener Diodes and Power Supplies (1.5 weeks)<br />
4. Discussion <strong>of</strong> Power Supply Design Lab (0.5 week)<br />
5. Introduction to Electronic Amplifiers (1 week)<br />
6. BJT Operation (1 week)<br />
7. DC Q-Point Analysis & Design (1 week)<br />
8. Q-Point Stability, AC Analysis (1 week)<br />
9. Circuits With Capacitors (1 week)<br />
10. BJT Small Signal Models & Small Signal Equivalent Circuits (1 week)<br />
11. BJT Design Considerations (1 week)<br />
12. JFET Theory and Q-Point Analysis (1 week)<br />
13. MOS Theory, Models & Small Signal Analysis (2 weeks)<br />
14. FET Design Considerations (1 week)<br />
Computer usage:<br />
Students can use PSpice to check homework results and are required to use it in the laboratory.<br />
86
1. Operational amplifiers (2 weeks)<br />
2. Diodes with applications (1 week)<br />
3. Power supplies (1 week)<br />
4. BJTs (2 weeks)<br />
5. MOSFETs (1 week)<br />
6. JFETs (1 week)<br />
7. PSpice (2 weeks)<br />
Laboratory topics:<br />
Pr<strong>of</strong>essional components as estimated by faculty member who prepared this course description:<br />
Engineering Science: 3 credits or 75%<br />
Engineering Design : 1 credit or 25%<br />
Relationship <strong>of</strong> ECE 311 Course to ABET Outcomes:<br />
OUTCOME:<br />
Course<br />
Objective (s)<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1,2,3,4<br />
3b Design and conduct experiments/ Analyze and Interpret Data 5<br />
3c Design system, component, or process to meet needs<br />
3d Function on multi-disciplinary teams<br />
3e Identify, formulate, and solve engineering problems 1,2,3,4<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility<br />
3g Communicate effectively 6<br />
3h Broad education<br />
3i Recognize need for life-long learning<br />
3j Knowledge <strong>of</strong> contemporary issues<br />
3k Use techniques, skills, and tools in engineering practice 1,2<br />
4 Major design experience<br />
Prepared by: T. Wong Date: May 14, <strong>2008</strong><br />
87
ECE 312 -Electronic Circuits<br />
Spring Semester <strong>2008</strong><br />
Catalog Data: ECE 312: Electronic Circuits. Credit 4.<br />
Analysis and design <strong>of</strong> amplifier circuits. Frequency response <strong>of</strong> transistor amplifiers.<br />
Feedback amplifiers. Operational amplifiers: internal structure, characteristics, and<br />
applications. Stability and compensation. Laboratory experiments reinforce concepts.<br />
Prerequisite: ECE 311. (3-3-4) (C).<br />
Enrollment:<br />
Required course for EE majors; elective course for CPE majors.<br />
Textbook: A. Sedra and K. Smith, Microelectronic Circuits, Oxford University Press, 5 th Edition, 204 .<br />
ECE 312 Laboratory Manual<br />
Coordinator: T. Wong, Pr<strong>of</strong>essor <strong>of</strong> ECE<br />
Course objectives:<br />
After completing this course, the student should be able to do the following:<br />
1. Determine the frequency response (low, mid, high) <strong>of</strong> a discrete FET/BJT single/multi-stage amplifier circuit<br />
using analysis techniques as well as using laboratory equipment.<br />
2. Describe the frequency response <strong>of</strong> an amplifier circuit mathematically (transfer function) and graphically<br />
(Bode plots).<br />
3. Design an amplifier circuit with required frequency response.<br />
4. Determine the gain, input and output resistances, and bandwidth <strong>of</strong> a feedback amplifier circuit.<br />
5. Identify, analyze, and design the internal stages <strong>of</strong> integrated circuits including differential amplifiers with<br />
active loads and de level shifters.<br />
6. Determine the stability (stable, unstable, oscillating) <strong>of</strong> an amplifier using Bode magnitude and phase plots.<br />
7. Determine the output frequency <strong>of</strong> LC-tuned and RC oscillators<br />
8. Estimate the power output and efficiency <strong>of</strong> class-A and class-B power amplifiers<br />
Prerequisites by topic:<br />
1. DC and AC circuit analysis<br />
2. Transistor biasing<br />
3. Small-signal analysis <strong>of</strong> single-stage transistor amplifiers<br />
Lecture schedule:<br />
Laboratory schedule:<br />
Topics:<br />
Two 75-minute sessions per week<br />
One I 50-minute session per week<br />
1. Review on semiconductor devices (1 week)<br />
2. Bias arrangement for integrated circuits (0.5 week)<br />
3. High-frequency response <strong>of</strong> MOSFET and BJT amplifiers in rcs (2 week)<br />
4. Cascode amplifiers (1week)<br />
5. Current mirrors(l week)<br />
6. Differential amplifiers ( 1.5 weeks)<br />
7. Multistage amplifiers (0.5 week)<br />
8. Feedback amplifiers and topology (1 week)<br />
9. Stability and Nyquist plots (1 week)<br />
10. Location <strong>of</strong> poles and stability study by Bode plots (1.5 weeks)<br />
11. Oscillation criterion and RC oscillator circuits (I week)<br />
12. LC-tuned, crystal-stabilized, and non-sinusoidal oscillators (1 week)<br />
13. Power amplifiers and large signal considerations (0.5 week)<br />
14. Class-A and class-B amplifiers (1 week)<br />
Computer usage:<br />
Students use PSpice to simulate circuits and check design results for laboratory experiments.<br />
Laboratory topics:<br />
88
1. Amplifier design using PSpice (1 week)<br />
2. Amplifier frequency response (2 weeks)<br />
3. Differential amplifiers (2 weeks)<br />
4. Negative feedback amplifiers (2 weeks)<br />
5. Oscillators (1 week)<br />
7. Power amplifiers (I week)<br />
8. Filter circuits (l week)<br />
Pr<strong>of</strong>essional components as estimated by faculty member who prepared this course description:<br />
Engineering Science: 3 credits or 75%<br />
Engineering Design: 1 credit or 25%<br />
Relationship <strong>of</strong> ECE 312 Course to ABET Outcomes:<br />
OUTCOME:<br />
Course<br />
Objective (s)<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1,2,4,5,6<br />
3b Design and conduct experiments /Analyze and Interpret Data<br />
3c Design system, component, or process to meet needs 3,5<br />
3d Function on multi-disciplinary teams<br />
3e Identify, formulate, and solve engineering problems 4,5,6<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility<br />
3g Communicate effectively 9<br />
3h Broad education<br />
3i Recognize need for life-long learning<br />
3j Knowledge <strong>of</strong> contemporary issues<br />
3k Use techniques, skills, and tools in engineering practice 1,2,6<br />
4 Major design experience<br />
Prepared by: T.Wong Date: February 26, <strong>2008</strong><br />
89
ECE 319 - Fundamentals <strong>of</strong> Power Engineering<br />
Spring Semester <strong>2008</strong><br />
Catalog Data: ECE 319: Fundamentals <strong>of</strong> Power Engineering. Credit 4.<br />
Principles <strong>of</strong> electromechanical energy conversion. Fundamentals <strong>of</strong> the operation <strong>of</strong><br />
transformers, synchronous machines, induction machines, and fractional horsepower<br />
machines. Introduction to power network models, per-unit calculations, and power flow<br />
analysis. Symmetrical three-phase faults. Lossless economic dispatch. Laboratory considers<br />
operation, analysis and performance <strong>of</strong> major three-phase electrical equipment. The<br />
laboratory experiments also involve use <strong>of</strong> PC-based interactive graphical s<strong>of</strong>tware for load<br />
flow, fault analysis, and economic dispatch. Prerequisites: ECE 213, ECE 214, PHYS 221.<br />
(3-3-4) (C)<br />
Enrollment: Required course for EE majors; elective course for CPE majors.<br />
Textbook: S. J. Chapman, Electric Machinery and Power System Fundamentals, McGraw-Hill, 2002.<br />
ECE 319 Laboratory Manual<br />
Coordinator: A. Flueck, Associate Pr<strong>of</strong>essor <strong>of</strong> ECE<br />
Course objectives:<br />
After completing this course, the student should be able to do the following:<br />
1. Analyze balanced three phase circuits in the steady state<br />
2. Use the per unit system in power circuit analysis<br />
3. Explain the basic electromagnetic and electromechanical principles underlying the operation <strong>of</strong><br />
transformers and rotating electric machines.<br />
4. Develop the equivalent circuits for transformers (single phase and three phase) and AC machines<br />
(synchronous and induction). Use these equivalent circuits to analyze transformer and machine<br />
performance.<br />
5. Perform tests to determine the equivalent circuit parameters for transformers and rotating machines.<br />
6. Explain the electrical characteristics <strong>of</strong> transmission lines, develop equivalent circuit models <strong>of</strong><br />
transmission lines, and use the models for analyzing line performance.<br />
7. Represent power systems by one-line diagrams and by per-phase equivalent circuit models for steady state<br />
power flow analysis. Solve the resulting power flow equations iteratively with a computer.<br />
8. Calculate balanced three phase faults on power systems.<br />
Prerequisites by topic:<br />
1. Basic Electrical Circuit Analysis<br />
2. AC steady-state power, RMS values<br />
3. Familiarity with elementary electrical lab apparatus such as ammeters and voltmeters<br />
Lecture schedule: Two 75-minute sessions per week<br />
Laboratory schedule: One 160-minute session per week<br />
Topics:<br />
1. Introduction to Energy, Blackouts and the Grid (1 week)<br />
2. Electromagnetic and Circuit Fundamentals (1 week)<br />
3. Three Phase Circuits (1 week)<br />
4. Transformers (2 weeks)<br />
5. AC Machinery Fundamentals (1 week)<br />
6. Synchronous Generators (1 week)<br />
7. Synchronous Motors (1 week)<br />
8. Induction Motors (1.5 weeks)<br />
9. Transmission Lines (1.5 weeks)<br />
10. Power System Representation & Equations (1 week)<br />
11. Introduction to Power Flow Studies (1 week)<br />
12. Symmetrical Faults (1 week)<br />
13. Tests and Final Exam (1 week)<br />
90
Computer usage:<br />
Students use MATLAB and PowerWorld s<strong>of</strong>tware in several lab assignments.<br />
Laboratory topics:<br />
1. Photovoltaic Arrays and Fuel Cells (1 week)<br />
2. Power Circuit Analysis with Matlab (1 week)<br />
3. Workbench Orientation (1 week)<br />
4. Voltage Control in Radial Circuits with PowerWorld (1 week)<br />
5. Three-phase Transformers (1 week)<br />
6. Synchronous Generators (1 week)<br />
7. Synchronous Motors (1 week)<br />
8. Induction Motors (1 week)<br />
9. Three-phase Transmission Lines (1 week)<br />
10. Power Flow Models using Power World (1 week)<br />
11. Multi-area Operation <strong>of</strong> Power Systems (1 week)<br />
Pr<strong>of</strong>essional components as estimated by faculty member who prepared this course description:<br />
Engineering Science: 3 credits or 75%<br />
Engineering Design: 1 credit or 25%<br />
Relationship <strong>of</strong> ECE 319 Course to ABET Outcomes:<br />
OUTCOME:<br />
Course<br />
Objective (s)<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1,2,3,4,5,6,7,8<br />
3b Design and conduct experiments /Analyze and Interpret Data 1,2,3,4,5,6,7,8<br />
3c Design system, component, or process to meet needs<br />
3d Function on multi-disciplinary teams<br />
3e Identify, formulate, and solve engineering problems 1,2,3,4,5,6,7,8<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility<br />
3g Communicate effectively 1,2,3,4,5,6,7,8<br />
3h Broad education<br />
3i Recognize need for life-long learning<br />
3j Knowledge <strong>of</strong> contemporary issues<br />
3k Use techniques, skills, and tools in engineering practice 1,2,3,4,5,6,7,8<br />
4 Major design experience<br />
Prepared by: A. J. Flueck Date: March 13, <strong>2008</strong><br />
91
ECE 401- Communication Electronics<br />
Fall Semester 2007<br />
Catalog Data: ECE 401: Communication Electronics. Credit 3.<br />
Radio frequency AM, FM, and PM transmitter and receiver principles. Design <strong>of</strong> mixers,<br />
oscillators, impedance matching networks, filters, phase-locked loops, tuned amplifiers,<br />
power amplifiers, and crystal circuits. Nonlinear effects, intermodulation distortion, and<br />
noise. Transmitter and receiver design specifications. Prerequisites: ECE 309,<br />
ECE 312. Corequisite: ECE 403. (3-3-4) (P)<br />
Enrollment:<br />
Elective course for CPE and EE majors.<br />
Textbook:<br />
H. Krauss, C. Bostain, and F. Raab, Solid State Radio Engineering, John Wiley & Sons,<br />
1980.<br />
Coordinator:<br />
Y. Xu, Assistant Pr<strong>of</strong>essor <strong>of</strong> ECE<br />
Course objectives:<br />
After completing this course, students should be able to do the following:<br />
1. Identify the functional blocks for a radio system and specify their performance requirements.<br />
2. Apply circuit analysis principles to the design <strong>of</strong> R.F. resonant circuits for<br />
3. impedance transformation.<br />
4. Perform stability analysis on high-frequency amplifiers and arrive at circuit<br />
5. designs that will meet practical requirements.<br />
6. Specify the circuit configurations for different types <strong>of</strong> oscillators and apply the<br />
7. Working equations to determine their output characteristics.<br />
8. Make selection on mixers to accomplish frequency translation, phase detection and other operations on the<br />
signal spectrum. Determine the performance <strong>of</strong> a mixer in a circuit from the mixer specifications.<br />
9. Specify and design the key functional elements in AM and FM receivers. Interpret the<br />
specifications <strong>of</strong> a receiver.<br />
10. Differentiate among the various classes <strong>of</strong> high-frequency power amplifiers. Make quantitative assessment<br />
<strong>of</strong> their performance in a transmitter to fulfill the requirements <strong>of</strong> a communication link.<br />
11. Arrive at effective circuits for carrier modulation, and make proper estimation on the resulting spectrum.<br />
12. Analyze a phase-locked loop by means <strong>of</strong> linear model and predict the circuit performance. Use the phaselocked<br />
loop to accomplish signal conditioning objectives in a communication system.<br />
Prerequisites by topic:<br />
1. Traveling waves<br />
2. Electronic Circuits<br />
3. Communications and Modulation Theory<br />
4. Signal Spectral Analysis<br />
Lecture schedule: One 150-minute session per week.<br />
Laboratory schedule: None.<br />
Topics:<br />
1. Radio Systems, Modulation, Multiplexing (1 week)<br />
2. Small-Signal Amplifiers (1 week)<br />
3. Amplifier Stability (1.6 weeks)<br />
4. Amplifier Gain (1.6 weeks)<br />
5. Series-Parallel Impedance Transformation (2 weeks)<br />
6. Tapped Coils and Transformers (1 week)<br />
7. Oscillators (1.6 weeks)<br />
8. Mixers: Unbalanced, Single Balanced, Double Balanced (1.3 weeks)<br />
9. Detectors: Envelope and Product (0.6 week)<br />
10. AM Receiver Design (1.3 weeks)<br />
11. Phase-Locked Loops (1.3 weeks)<br />
12. FM Receiver Design (0.6 week)<br />
13. Tests (0.6 week)<br />
Computer usage:<br />
92
Students use PSpice to design the subsystems in their laboratory projects.<br />
Pr<strong>of</strong>essional components as estimated by faculty member who prepared this course description:<br />
ECE 401<br />
Engineering Science: 2 credits or 67%<br />
Engineering Design: 1 credit or 33%<br />
Relationship <strong>of</strong> ECE 401 Course to ABET Outcomes: Course Objective (s)<br />
OUTCOME: ECE 401<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1,2,3,4,7,8,9<br />
3b Design and conduct experiments / Analyze and Interpret Data<br />
3c Design system, component, or process to meet needs 2,3,5,6,8,9<br />
3d Function on multi-disciplinary teams<br />
3e Identify, formulate, and solve engineering problems 2,3,4,7,8,9<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility<br />
3g Communicate effectively<br />
3h Broad education<br />
3i Recognize need for life-long learning<br />
3j Knowledge <strong>of</strong> contemporary issues<br />
3k Use techniques, skills, and tools in engineering practice<br />
4 Major design experience<br />
Prepared by: Y. Xu Date: May 16, <strong>2008</strong><br />
93
ECE 403 - Communication Systems<br />
Fall Semester 2007<br />
Catalog Data: ECE 403: Communication Systems. Credit 3.<br />
Power spectral density. Analysis and design <strong>of</strong> amplitude and frequency modulation<br />
systems. Signal-to-noise ratio analysis. Frequency division multiplexing: spectral<br />
design considerations. The sampling theorem. Analog and digital pulse modulation<br />
systems. Time division multiplexing. Design for spectral efficiency and crosstalk<br />
control. Introduction to information theory. Prerequisite: ECE 308. (3-0-3) (P)<br />
Enrollment:<br />
Textbook:<br />
References:<br />
Coordinator:<br />
Elective course for CPE and EE majors.<br />
F. G. Stremler, Introduction to Communication Systems, Addison-Wesley, 3rd Edition,<br />
1990<br />
H. Taub and D.L. Schilling, Principles <strong>of</strong> Communication Systems, McGraw-Hill Book<br />
Co., 2nd Edition, 1986.<br />
A. B. Carlson, Communication Systems, McGraw-Hill Book Co., 3rd Edition, 1986.<br />
M. S. Roden, Analog and Digital Communication Systems, Prentice-Hall, 4th Edition,<br />
1996.<br />
J. L. LoCicero, Pr<strong>of</strong>essor <strong>of</strong> ECE<br />
Course objectives:<br />
After completing this course, the student should be able to do the following:<br />
1. Determine the frequency spectrum and bandwidth <strong>of</strong> AM and FM signals.<br />
2. Perform noise analysis <strong>of</strong> AM and FM receivers with power spectral densities.<br />
3. Analyze frequency and time division multiplexing systems.<br />
4. Apply the sampling theorem in pulse amplitude modulated systems.<br />
5. Compute channel bit rate and bandwidth needed for pulse code modulated systems.<br />
Prerequisites by topic:<br />
1. Integral and differential calculus<br />
2. Differential equations and system transfer functions<br />
3. Signal and system theory<br />
4. Spectral analysis<br />
Lecture schedule:<br />
Laboratory schedule:<br />
Two 75-minute sessions per week.<br />
None.<br />
Topics:<br />
1. Review <strong>of</strong> linear system theory, Fourier analysis (2 weeks)<br />
2. Random noise, power spectral density, and autocorrelation function (1.5 weeks)<br />
3. Amplitude modulation (without and with additive noise), time division multiplexing (2.5 weeks)<br />
4. Angle modulation (frequency and phase modulation) - without and with additive noise, pre- and de-emphasis,<br />
threshold effect (2.5 weeks)<br />
5. Pulse modulation, sampling theorem, time division multiplexing, pulse shaping (2 weeks)<br />
6. Introduction to digital communications, pulse code modulation, the matched filter (2 weeks)<br />
7. Introduction to information theory, channel capacity (1 week)<br />
8. Exams (1.5 weeks)<br />
Computer usage:<br />
94
Students complete one required and one extra credit computer simulation assignment using a language <strong>of</strong> their<br />
choice. Students are encouraged to use Matlab, and are given sample programs and results. A written mini-report is<br />
required for each assignment.<br />
1. The required computer simulation assignment allows the student to compare the spectrum <strong>of</strong> “experimental”<br />
and theoretical AM and FM signals.<br />
2. The extra credit computer simulation assignment deals with the spectral effects <strong>of</strong> sampling, including sample<br />
and hold, as well as sample, hold, and dump.<br />
Laboratory topics:<br />
None.<br />
Pr<strong>of</strong>essional components as estimated by faculty member who prepared this course description:<br />
Engineering Science: 1.5 credits or 50%<br />
Engineering Design: 1.5 credits or 50%<br />
Relationship <strong>of</strong> ECE 403 Course to ABET Outcomes:<br />
Course<br />
OUTCOME:<br />
Objective(s)<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1,2,3,4,5<br />
3b Design and conduct experiments/Analyze and Interpret Data<br />
3c Design system, component, or process to meet needs 5<br />
3d Function on multi-disciplinary teams<br />
3e Identify, formulate, and solve engineering problems 1,2,3,5<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility<br />
3g Communicate effectively<br />
3h Broad education<br />
3i Recognize need for life-long learning<br />
3j Knowledge <strong>of</strong> contemporary issues<br />
3k Use techniques, skills, and tools in engineering practice<br />
4 Major design experience<br />
Prepared by: J. L. LoCicero Date: March 18, <strong>2008</strong><br />
95
ECE 404(406) - Digital and Data Communications (with Laboratory)<br />
Spring Semester <strong>2008</strong> (Spring Semester <strong>2008</strong>)<br />
Catalog Data: ECE 404: Digital and Data Communications. Credit 3.<br />
Channel capacity, entropy; digital source encoding considering bit rate reduction,<br />
quantization, waveshaping, and intersymbol interference. Analysis and design <strong>of</strong> digital<br />
modulators and detectors. Matched filters. Probability <strong>of</strong> error analysis. Credit will be<br />
given for either ECE 404 or ECE 406, but not for both.<br />
Prerequisites: ECE 308 and ECE 475 or Math 474 or Math 475. (3-0-3) (P)<br />
Enrollment:<br />
Textbook:<br />
References:<br />
ECE 406: Digital and Data Communications with Laboratory. Credit 4.<br />
Channel capacity, entropy; digital source encoding considering bit rate reduction,<br />
quantization, waveshaping, and intersymbol interference. Analysis and design <strong>of</strong> digital<br />
modulators and detectors. Matched filters. Probability <strong>of</strong> error analysis. Laboratory<br />
covers modulation, detection, sampling, analog-to-digital conversion, error detection and<br />
open-ended project. Credit will be given for either ECE 404 or ECE 406, but not for<br />
both. Prerequisites: ECE 308 and ECE 475 or Math 474 or Math 475. (3-3-4) (P)(C)<br />
Elective course for CPE and EE majors.<br />
L. W. Couch, II, Digital and Analog Communication Systems, Prentice-Hall, 7th Edition,<br />
2007.<br />
M. Schwartz, Information Transmission, Modulation and Noise, McGraw-Hill, 4th<br />
Edition, 1980.<br />
M. S. Roden, Digital and Data Communication Systems, Prentice-Hall, 2nd Edition,<br />
1982.<br />
A. B. Carlson, Crilly, and Rutledge, Communication Systems, McGraw-Hill, 4th Edition,<br />
2002.<br />
Coordinator:<br />
J. L. LoCicero, Pr<strong>of</strong>essor <strong>of</strong> ECE<br />
Course objectives:<br />
After completing this course, the student should be able to do the following:<br />
1. Compute the entropy and capacity <strong>of</strong> a digital message.<br />
2. Perform signal-to-quantization noise ratio analysis for a linear PCM system.<br />
3. Determine the minimum sampling rate, bit-rate, and bandwidth needed for a digital communication system.<br />
4. Analyze and design baseband and modulated M-ary communication systems that afford zero ISI.<br />
5. Compute the probability <strong>of</strong> error for binary communication systems with additive noise.<br />
Additional Course Objectives for ECE 406:<br />
6. Design and test simple AM and FM demodulation circuits.<br />
7. Measure signal and filter characteristics in the laboratory.<br />
8. Write a technical project proposal and detailed report.<br />
9. Make an oral project presentation highlighting design and performance.<br />
Prerequisites by topic:<br />
1. Basic probability theory<br />
2. System transfer functions<br />
3. Spectral analysis<br />
4. Analog communication systems<br />
Lecture schedule:<br />
Laboratory schedule:<br />
Two 75-minute sessions per week.<br />
ECE 404: None.<br />
ECE 406: One 150-minute session per week.<br />
Topics:<br />
1. Digital Communications, Information, Entropy, Capacity, Huffman Source Coding (1 week)<br />
2. Review <strong>of</strong> Fourier Analysis, Linear Systems (1 week)<br />
3. Review <strong>of</strong> Probability Theory, pdf, cdf, Statistical Averages (1 week)<br />
96
4. Digital Communication Systems, Sampling Review, Bandpass Sampling, TDM, PCM, Quantization Noise,<br />
DPCM, Companding, DM, ADM, ADPCM, LPC, CELP, Waveshaping, Binary Codes, Parity Channel Coding<br />
(5 weeks)<br />
5. Digital Modulation and Detection, DPSK, Multisymbol, QAM, Modems, MSK (2 weeks)<br />
6. Performance <strong>of</strong> Digital Systems, Bit Error Rate, Random Noise Processes, Matched Filters, Binary Detection (3<br />
weeks)<br />
7. Statistical Communications, Signal Constellations (1 week)<br />
8. Exams (1 week)<br />
Computer usage:<br />
Three computer simulation assignments using the language <strong>of</strong> their choice: a) Sampling and reconstruction, b) Pulse<br />
code modulation, c) Differential encoding. Use <strong>of</strong> MATLAB is encouraged. Assignments (a) and (b) are required;<br />
assignment (c) is for extra credit. Theory is compared to simulated “experimental” results and a written mini-report<br />
is required for each assignment.<br />
Laboratory topics (ECE 406):<br />
1. The balanced modulator and amplitude modulation (2 weeks)<br />
2. Demodulator and detection (2 weeks)<br />
3. Sample and hold (2 weeks)<br />
4. Pulse code modulation (1.5 weeks)<br />
5. Initial project proposal (0.5 week)<br />
6. Eye patterns and intersymbol interference (1 week)<br />
7. Project (5 weeks)<br />
8. Project presentation, demonstration, and report (1 week)<br />
Pr<strong>of</strong>essional components as estimated by faculty member who prepared this course description:<br />
ECE 404 Engineering Science: 1 credit or 33%<br />
Engineering Design: 2 credits or 67%<br />
ECE 406 Engineering Science: 1 credit or 25%<br />
Engineering Design: 3 credits or 75%<br />
Relationship <strong>of</strong> ECE 404/406 Course to ABET Outcomes: Course Objective (s)<br />
OUTCOME: ECE 404 ECE 406<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1,2,3,4,5 1,2,3,4,5,9<br />
3b Design and conduct experiments<br />
3c Design system, component, or process to meet needs 3,4 3,4,6,9<br />
3d Function on multi-disciplinary teams<br />
3e Identify, formulate, and solve engineering problems 1,2,3,4,5 1,2,3,4,5,6<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility<br />
3g Communicate effectively 8,10,11<br />
3h Broad education<br />
3i Recognize need for life-long learning<br />
3j Knowledge <strong>of</strong> contemporary issues<br />
3k Use techniques, skills, and tools in engineering practice 7,9<br />
4 Major design experience 9<br />
Prepared by: J. L. LoCicero Date: March 18, <strong>2008</strong><br />
97
ECE 407 – Introduction to Computer Networks<br />
Spring Semester <strong>2008</strong><br />
2007 Catalog Data: ECE 407: Introduction to Computer Networks with Laboratory<br />
Emphasis on the physical, data link, and medium access layers <strong>of</strong> the OSI architecture.<br />
Different general techniques for networking tasks, such as error control, flow control,<br />
multiplexing, switching, routing, signaling, congestion control, traffic control, scheduling<br />
will be covered along with their experimentation and implementation in a laboratory. (3-<br />
3-4) (P)(C)<br />
Enrollment:<br />
Elective course for CPE and EE majors.<br />
Textbook: A.S. Tanenbaum, Computer Networks, Prentice Hall, 4th Edition, 2003.<br />
Coordinator:<br />
T. Anjali, Assistant Pr<strong>of</strong>essor <strong>of</strong> ECE<br />
Course objectives:<br />
After completing this course, the student should be able to do the following:<br />
1. Gain an understanding <strong>of</strong> the overriding principles <strong>of</strong> computer networking, including protocol design, protocol<br />
layering, algorithm design, and performance evaluation.<br />
2. List the techniques and protocols for communicating between digital computers that were in use historically, are<br />
in use currently, or will be in use in the future.<br />
3. Specify the details associated with computer networks in LAN, MAN, and WAN environments, and the many<br />
tasks performed by Routers/Gateways and Bridges in these networks.<br />
4. Explain protocol stack implementation and verification, traffic considerations, congestion control techniques,<br />
etc.<br />
5. Describe the functionality and significance <strong>of</strong> Circuit and Packet Switching, the Internet, ATM, VoIP, and other<br />
current topics.<br />
6. Understand the specific implemented protocols covering the application layer, transport layer, network layer,<br />
and link layer <strong>of</strong> the Internet (TCP/IP) stack.<br />
7. Prepare an informative and organized design project report.<br />
8. Gain pre-requisite knowledge to study advanced topics in computer networking.<br />
9. Perform experiments in the laboratory to verify the operation <strong>of</strong> protocols.<br />
Prerequisites by topic:<br />
1. Probability and statistics<br />
2. Senior standing<br />
Lecture schedule:<br />
Laboratory schedule:<br />
One 150-minute session per week.<br />
One 150-minute session per week.<br />
Topics:<br />
1. The OSI and TCP/IP Reference Model (1 week)<br />
2. Physical layer media, data transmission (1 week)<br />
3. Analog and digital transmission, Multiplexing and switching (1 week)<br />
4. Data link Layer, Framing (1 week)<br />
5. Error Detection and Correction (1 week)<br />
6. Flow control techniques, ARQ protocols (1 week)<br />
7. Medium Access Control protocols (1 week)<br />
8. TDM/FDM techniques (1 week)<br />
9. Network layer introduction (1 week)<br />
10. IP protocol, switching, routing (1 week)<br />
11. Transport layer protocols, TCP, UDP (1 week)<br />
12. Application layer (1 week)<br />
13. Network Security (1 week)<br />
14. Cryptography, Firewalls (1 week)<br />
98
15. Exams (1 week)<br />
Computer usage:<br />
Laboratory topics:<br />
Students use the UNIX operating system to configure networks and protocols<br />
Students prepare reports using word-processing s<strong>of</strong>tware.<br />
Introduction to the laboratory (1 week)<br />
Single segment networks (1 week)<br />
IP networks with bridges (2 weeks)<br />
Static routing in IP networks (3 weeks)<br />
Dynamic routing in IP networks (3 weeks)<br />
Transport layer protocols (2 week)<br />
Final exam and project (1 week)<br />
Pr<strong>of</strong>essional components as estimated by faculty member who prepared this course description:<br />
Engineering Science: 3 credits or 75%<br />
Engineering Design: 0 credits or 0%<br />
Other (Lab skills): 1 credits or 25%<br />
Relationship <strong>of</strong> ECE 407 Course to ABET Outcomes:<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1,2,3,4,5,6,7,8,9<br />
3b Design and conduct experiments /Analyze and Interpret Data 9<br />
3c Design system, component, or process to meet needs 7<br />
3d Function on multi-disciplinary teams<br />
3e Identify, formulate, and solve engineering problems<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility 7<br />
3g Communicate effectively 7<br />
3h Broad education<br />
3i Recognize need for life-long learning<br />
3j Knowledge <strong>of</strong> contemporary issues<br />
3k Use techniques, skills, and tools in engineering practice<br />
4 Major design experience 7<br />
Prepared by: T. Anjali Date: May 14, <strong>2008</strong><br />
99
ECE 408 – Introduction to Computer Networks<br />
Spring Semester <strong>2008</strong><br />
2007 Catalog Data: ECE 408: Introduction to Computer Networks<br />
Emphasis on the physical, data link, and medium access layers <strong>of</strong> the OSI architecture.<br />
Different general techniques for networking tasks, such as error control, flow control,<br />
multiplexing, switching, routing, signaling, congestion control, traffic control, scheduling<br />
will be covered. (3-0-3) (P)<br />
Enrollment:<br />
Elective course for CPE and EE majors.<br />
Textbook: A.S. Tanenbaum, Computer Networks, Prentice Hall, 4th Edition, 2003.<br />
Coordinator:<br />
T. Anjali, Assistant Pr<strong>of</strong>essor <strong>of</strong> ECE<br />
Course objectives:<br />
After completing this course, the student should be able to do the following:<br />
10. Gain an understanding <strong>of</strong> the overriding principles <strong>of</strong> computer networking, including protocol design, protocol<br />
layering, algorithm design, and performance evaluation.<br />
11. List the techniques and protocols for communicating between digital computers that were in use historically, are<br />
in use currently, or will be in use in the future.<br />
12. Specify the details associated with computer networks in LAN, MAN, and WAN environments, and the many<br />
tasks performed by Routers/Gateways and Bridges in these networks.<br />
13. Explain protocol stack implementation and verification, traffic considerations, congestion control techniques,<br />
etc.<br />
14. Describe the functionality and significance <strong>of</strong> Circuit and Packet Switching, the Internet, ATM, VoIP, and other<br />
current topics.<br />
15. Understand the specific implemented protocols covering the application layer, transport layer, network layer,<br />
and link layer <strong>of</strong> the Internet (TCP/IP) stack.<br />
16. Gain pre-requisite knowledge to study advanced topics in computer networking.<br />
Prerequisites by topic:<br />
3. Probability and statistics<br />
4. Senior standing<br />
Lecture schedule:<br />
One 150-minute session per week.<br />
Topics:<br />
16. The OSI and TCP/IP Reference Model (1 week)<br />
17. Physical layer media, data transmission (1 week)<br />
18. Analog and digital transmission, Multiplexing and switching (1 week)<br />
19. Data link Layer, Framing (1 week)<br />
20. Error Detection and Correction (1 week)<br />
21. Flow control techniques, ARQ protocols (1 week)<br />
22. Medium Access Control protocols (1 week)<br />
23. TDM/FDM techniques (1 week)<br />
24. Network layer introduction (1 week)<br />
25. IP protocol, switching, routing (1 week)<br />
26. Transport layer protocols, TCP, UDP (1 week)<br />
27. Application layer (1 week)<br />
28. Network Security (1 week)<br />
29. Cryptography, Firewalls (1 week)<br />
30. Exams (1 week)<br />
Computer usage:<br />
Students prepare homework solutions and reports using word-processing<br />
100
s<strong>of</strong>tware.<br />
Pr<strong>of</strong>essional components as estimated by faculty member who prepared this course description:<br />
Engineering Science: 3 credits or 100%<br />
Engineering Design: 0 credits or 0%<br />
Relationship <strong>of</strong> ECE 408 Course to ABET Outcomes:<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1,2,3,4,5,6,7<br />
3b Design and conduct experiments /Analyze and Interpret Data<br />
3c Design system, component, or process to meet needs<br />
3d Function on multi-disciplinary teams<br />
3e Identify, formulate, and solve engineering problems<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility<br />
3g Communicate effectively<br />
3h Broad education<br />
3i Recognize need for life-long learning<br />
3j Knowledge <strong>of</strong> contemporary issues<br />
3k Use techniques, skills, and tools in engineering practice<br />
4 Major design experience<br />
Prepared by: T. Anjali Date: May 14, <strong>2008</strong><br />
101
ECE 411 - Power Electronics<br />
Spring Semester <strong>2008</strong><br />
Catalog Data: ECE 411: Power Electronics. Credit 4.<br />
Power electronic circuits and switching devices such as power transistors, MOSFETs,<br />
SCRs, GTOs, IGBTs, and UJTs are studied. Their applications in AC/DC, DC/DC,<br />
DC/AC, and AC/AC converters as well as switching power supplies are explained.<br />
Simulation mini-projects and lab experiments emphasize power electronic circuit<br />
analysis, design, and control. Prerequisite: ECE 311<br />
(3-3-4) (P) (C)<br />
Enrollment:<br />
Elective course for CPE and EE majors.<br />
Textbook: D. Hart, Introduction to Power Electronics, Prentice Hall, 1st Edition, 1997.<br />
Coordinator:<br />
A. Emadi, Pr<strong>of</strong>essor <strong>of</strong> ECE<br />
Course objectives:<br />
After completing this course, the student should be able to do the following:<br />
1. Given a power semiconductor device such as a power diodes, Thyristors, power transistors,<br />
power MOSFETs, Diac, Triac, GTOs, IGBTs, and UJTs, draw the v-i characteristics and analyze<br />
the switching behavior.<br />
2. Given a power electronic circuit including power diodes and Thyristors, determine time intervals<br />
when the semiconductor devices are ON and OFF, draw the equivalent circuits for ON and OFF<br />
time intervals, analyze the circuit, and find RMS, average, harmonics, THD, and CF <strong>of</strong> the current<br />
and voltage signals.<br />
3. Given a half-wave/full-wave controlled/uncontrolled single-phase AC/DC rectifier, find the voltage<br />
and current waveforms and analyze the equivalent circuits.<br />
4. Given a half-wave/full-wave controlled/uncontrolled three-phase AC/DC rectifier, find the voltage<br />
and current waveforms and analyze the equivalent circuits.<br />
5. Derive and apply the relevant equations <strong>of</strong> DC/DC converters: Buck, Boost, and Buck-Boost<br />
converters in continuous-conduction and discontinuous-conduction modes <strong>of</strong> operation.<br />
6. Derive and apply the relevant equations <strong>of</strong> DC Switching Power Supplies: Flyback and Forward<br />
converters in continuous-conduction and discontinuous-conduction modes <strong>of</strong> operation.<br />
7. Given a PWM/square-wave, single-phase/three-phase DC/AC inverter, find the voltage and<br />
current waveforms and analyze the equivalent circuits.<br />
8. Derive and apply the relevant equations <strong>of</strong> single-phase and three-phase AC voltage controllers<br />
including power diodes and Thyristors.<br />
Prerequisites by topic:<br />
1. AC and DC circuit analysis.<br />
2. Theory <strong>of</strong> operation and biasing <strong>of</strong> BJTs and FETs.<br />
Lecture schedule: One 150-minute session per week.<br />
Laboratory schedule: One 150-minute session per week.<br />
Topics:<br />
1. Introduction to power electronics (1 week)<br />
2. Power semiconductor devices, power diodes, Thyristors, commutation techniques, power transistors, power<br />
MOSFETs, Diac, Triac, GTOs, IGBTs, UJTs (1 week)<br />
3. Power computations and definitions, modeling and simulations with PSpice (1 week)<br />
4. Half-wave rectifiers (1 week)<br />
5. Single-phase, full-wave rectifiers (1 week)<br />
6. Three-phase rectifiers (1 week)<br />
7. DC/DC converters (0.5 week)<br />
8. DC/DC Boost and Buck-Boost converters (1 week)<br />
9. Discontinuous mode <strong>of</strong> operation (1 week)<br />
10. DC power supplies (1 week)<br />
11. DC/AC inverters (1 week)<br />
102
12. PWM techniques (0.5 week)<br />
13. Three-phase inverters (1 week)<br />
14. AC voltage controllers (1 week)<br />
15. Applications in industrial electronics, switching power supplies, UPS systems, low-voltage high-current<br />
applications, conclusion (1 week)<br />
16. Exams (1 week)<br />
Computer usage:<br />
PSIM, Simplorer, Pspice, and Matlab/Simulink are used for a modeling and simulation design project in the<br />
laboratory.<br />
Laboratory topics:<br />
1. Laboratory Introduction (1 week)<br />
2. Power Diode and Thyristor (1week)<br />
3. Diac and Triac (1 week)<br />
4. Power Transistor, Power MOSFET, and IGBT (1 week)<br />
5. UJT, Pulse Transformer, and Firing Circuits (1 week)<br />
6. Single-Phase AC/DC Rectifiers (1 week)<br />
7. Single-Phase Full-Wave AC/DC Rectifiers (1 week)<br />
8. Three-Phase AC/DC Rectifiers (1 week)<br />
9. Single-Phase AC Voltage Controllers (1 week)<br />
10. Three-Phase AC Voltage Controllers (1 week)<br />
11. DC/DC Converters and PWM Techniques (1 week)<br />
12. Four-Quadrant DC/DC Converters (Inverters) (1 week)<br />
13. Buck, Boost, and Buck-Boost Converters (1 week)<br />
14. Voltage-Mode and Current-Mode Control Techniques (1 week)<br />
15. Flyback and Forward Converters (1 week)<br />
Pr<strong>of</strong>essional components as estimated by faculty member who prepared this course description:<br />
Engineering Science: 2 credits or 50%<br />
Engineering Design: 2 credits or 50%<br />
Relationship <strong>of</strong> ECE 411 Course to ABET Outcomes:<br />
OUTCOME:<br />
Course Objective (s)<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1,2,3,4,5,6,7,8,9<br />
3b Design and conduct experiments /Analyze and Interpret Data<br />
3c Design system, component, or process to meet needs 9<br />
3d Function on multi-disciplinary teams<br />
3e Identify, formulate, and solve engineering problems 1,2,3,4,5,6,7,8,9<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility<br />
3g Communicate effectively 10<br />
3h Broad education<br />
3i Recognize need for life-long learning<br />
3j Knowledge <strong>of</strong> contemporary issues<br />
3k Use techniques, skills, and tools in engineering practice 9<br />
4 Major design experience 9<br />
Prepared by: A. Emadi Date: May 17, <strong>2008</strong><br />
103
ECE 412 – Electric Motor Devices<br />
Spring Semester <strong>2008</strong><br />
Catalog Data: ECE 412: Electric Motor Devices. Credit 4.<br />
Fundamentals <strong>of</strong> electric motor drives are studied. Applications <strong>of</strong> semiconductor<br />
switching circuits to adjustable speed drives, robotic and traction are explored. Selection<br />
<strong>of</strong> motors and drives, calculating the ratings, speed control, position control, starting and<br />
braking are also covered. Simulation mini-projects and lab experiments are based on the<br />
lectures given. Prerequisites: ECE 308, ECE 311, ECE 319. (3-3-4) (P)(C)<br />
Enrollment:<br />
Textbooks:<br />
Coordinator:<br />
Elective course for CPE and EE majors.<br />
M. A. El-Sharkawi, Fundamentals <strong>of</strong> Electric Drives, PWS Publishing Company, 1 st<br />
Edition, 2000.<br />
R. Krishnan, Electric Motor Drives: Modeling, Analysis, and Control, Prentice Hall, 1 st<br />
Edition, 2001.<br />
A. Emadi, Pr<strong>of</strong>essor <strong>of</strong> ECE<br />
Course objectives:<br />
After completing this course, the student should be able to do the following:<br />
1. Given an electromechanical system including an electric machine and a<br />
mechanical load with different torque-speed characteristics, determine<br />
torque, acceleration, speed, position, and power.<br />
2. Given an energy conversion system, using fundamentals <strong>of</strong> electromagnetism, draw<br />
and analyze the equivalent electric circuit.<br />
3. Derive and apply the relevant equations <strong>of</strong> electric DC machines: motors and<br />
generators, separately-excited, shunt, series, and compound machines as well as<br />
universal motors.<br />
4. Derive and apply the relevant equations <strong>of</strong> three-phase induction machines: motors<br />
and generators. Analyze the fundamental operation and starting <strong>of</strong> single-phase<br />
induction motors.<br />
5. Derive and apply the relevant equations <strong>of</strong> multi-phase permanent-magnet<br />
synchronous motors and three-phase synchronous generators.<br />
6. Given an electric power source, a DC motor, and a mechanical load, design power<br />
electronic drivers using phase-controlled AC/DC rectifiers as well as DC/DC<br />
converters and analyze all operating modes.<br />
7. Given an electric power source, a three-phase induction motor, and a mechanical<br />
load, design power electronic drivers using phase-controlled AC/AC converters as<br />
well as DC/AC inverters and analyze all operating modes.<br />
8. Derive and apply the fundamental equations <strong>of</strong> special motor drives: switched<br />
reluctance, stepper, brush-less DC, and electronic motor drives.<br />
Prerequisites by topic:<br />
1. Fundamentals <strong>of</strong> electromechanical energy conversion<br />
2. Operation and biasing <strong>of</strong> semiconductor devices<br />
Lecture schedule: One 150-minute session per week.<br />
Laboratory schedule: One 150-minute session per week.<br />
Topics:<br />
1. Introduction to electric motor drives and review (0.5 week)<br />
2. Fundamentals <strong>of</strong> electromagnetism, electro-mechanical power transfer systems, mechatronics (1week)<br />
3. DC machines, motors and generators, separately-excited, shunt, series, and compound machines, universal<br />
motors, torque-speed characteristics, equivalent circuits (1 week)<br />
4. Three-phase Induction Machines (IM), motors and generators, torque-speed characteristics, equivalent circuits,<br />
braking (1 week)<br />
5. Synchronous machines, torque-speed characteristics, modeling (1 week)<br />
6. Review <strong>of</strong> solid-state devices, power electronic drivers for electric machines (1 week)<br />
104
7. Speed control <strong>of</strong> DC motors, phase-controlled DC motor drives (1 week)<br />
8. Braking <strong>of</strong> DC motors (0.5 week)<br />
9. Control <strong>of</strong> DC machines using DC/DC converters (1 week)<br />
10. Speed control <strong>of</strong> induction machines, phase-controlled induction motor drives (1 week)<br />
11. Frequency-controlled induction motor drives (1 week)<br />
12. Single-phase induction motors (1 week)<br />
13. Switched Reluctance Motor (SRM) drives, stepper motors (1 week)<br />
14. Permanent-Magnet Synchronous Machines (PMSM), Brush-Less DC (BLDC) motor drives (1 week)<br />
15. Low-power electronic motor drives, conclusion (1 week)<br />
16. Exams (1 week)<br />
Computer usage:<br />
PSIM, Simplorer, and Matlab/Simulink are used for a modeling and simulation design project in the laboratory.<br />
Laboratory topics:<br />
1. Laboratory Introduction (1 week)<br />
2. Characteristics <strong>of</strong> DC Motors: Shunt and Separately-Excited (1 week)<br />
3. Characteristics <strong>of</strong> DC Motors: Series and Compound (1 week)<br />
4. Characteristics <strong>of</strong> DC Generators (1 week)<br />
5. Phase-Controlled DC Motor Drives (1 week)<br />
6. Control <strong>of</strong> DC Motors Using DC/DC Converters (1 week)<br />
7. Three-Phase Induction Machines (1 week)<br />
8. Load Characteristics <strong>of</strong> Three-Phase Induction Motors (1 week)<br />
9. Phase-Controlled Induction Motor Drives (1 week)<br />
10. Inverters to Control Induction Motors (1 week)<br />
11. Synchronous Generators (1 week)<br />
12. Fault Analysis in Electric Machines (1 week)<br />
13. Real-Time dSPACE Implementation <strong>of</strong> DC Motor Drives (1 week)<br />
14. Real-Time Control <strong>of</strong> DC Motor Drives using dSPACE (1 week)<br />
15. Frequency Control <strong>of</strong> Induction Motor Drives (1 week)<br />
Pr<strong>of</strong>essional components as estimated by faculty member who prepared this course description:<br />
Engineering Science: 2 credits or 50%<br />
Engineering Design: 2 credits or 50%<br />
Relationship <strong>of</strong> ECE 412 Course to ABET Outcomes:<br />
OUTCOME:<br />
Course Objective(s)<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1,2,3,4,5,6,7,8,9<br />
3b Design and conduct experiments<br />
3c Design system, component, or process to meet needs 6,7,9<br />
3d Function on multi-disciplinary teams<br />
3e Identify, formulate, and solve engineering problems 1,2,3,4,5,6,7,8,9<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility<br />
3g Communicate effectively<br />
3h Broad education 10<br />
3i Recognize need for life-long learning<br />
3j Knowledge <strong>of</strong> contemporary issues<br />
3k Use techniques, skills, and tools in engineering practice 6,7,9<br />
4 Major design experience 9<br />
Prepared by: A. Emadi Date: February 26, <strong>2008</strong><br />
105
ECE 419 – Power System Analysis<br />
Fall Semester, 2007<br />
Catalog Data:<br />
Enrollment:<br />
Transmission systems analysis and design. Large scale network analysis using Newton-<br />
Raphson load flow. Unsymmetrical short-circuit studies. Detailed consideration <strong>of</strong> the<br />
swing equation and the equal-area criterion for power system stability studies.<br />
Prerequisites: ECE 319. (4-1-3)<br />
Elective course for CPE and EE majors.<br />
Textbook: Hadi Saadat, Power System Analysis, Second Edition, McGraw Hill, 2002.<br />
Coordinator:<br />
Zuyi Li, Assistant Pr<strong>of</strong>essor <strong>of</strong> ECE<br />
Course objectives:<br />
After completing this course, the student should be able to do the following:<br />
1. Derive and calculate the resistance, inductance, and capacitance for single-phase and three-phase transmission<br />
lines.<br />
2. Derive the models for short, medium, and long transmission lines and calculate the line performance indices.<br />
3. Apply Gauss-Seidel method, Newton-Raphson method, and Fast-Decoupled method to obtain a power flow<br />
solutions <strong>of</strong> small power systems (2- or 3-bus systems)<br />
4. Describe the three-phase symmetrical fault and use Thevenin’s equivalent and Z-bus matrix to calculate the<br />
three-phase faults applied to small power systems (2- or 3-bus systems).<br />
5. Apply the concept <strong>of</strong> the symmetrical components in the calculation <strong>of</strong> unsymmetrical faults (single-line-toground,<br />
line-to-line, and line-to-line-to-ground faults).<br />
6. Describe the power swing equations for a single machine to infinite bus system and use them in transient<br />
stability analysis.<br />
7. Derive the swing and power equations for a single machine connected to infinite bus system and use them in the<br />
transient stability calculation. Use the Equal-Area Criterion in calculating the critical clearing time to clear a<br />
fault and in determining whether the machine will remain stable following a disturbance such as three-phase<br />
fault or an increase in the machine mechanical power input.<br />
8. Use Matlab in solving questions related to the above seven objectives.<br />
9. Apply PSS/E to perform transmission line modeling, power flow analysis, and fault analysis.<br />
Prerequisites by topic:<br />
1. AC circuit analysis<br />
2. Matrices<br />
3. Transmission lines<br />
Lecture schedule:<br />
Laboratory schedule:<br />
Two 75-minute sessions per week<br />
One 150-minute session per week<br />
Computer usage:<br />
1. Students use MATLAB to aid in solving assignment problems<br />
2. Students use PSS/E to perform transmission line parameter calculations, power flow analysis, and fault analysis<br />
Topics:<br />
1. Introduction and basic principles (1 week)<br />
2. Power system components modeling (transmission lines, per unit systems, line model and performance, 2<br />
weeks)<br />
3. Power flow analysis (3 weeks)<br />
4. Fault analysis (3 weeks)<br />
5. Stability analysis (2 weeks)<br />
6. Tests (2 weeks)<br />
Laboratory topics:<br />
106
1. Introduction (1 week)<br />
2. Transmission parameter parameters (2 weeks)<br />
3. Power flow analysis (3 weeks)<br />
4. Fault analysis (3 weeks)<br />
Pr<strong>of</strong>essional components by faculty member who prepared this course description:<br />
Engineering Science: 3 credits or 75%<br />
Engineering Design: 1 credit or 25%<br />
Relationship <strong>of</strong> ECE 419 Course to ABET outcomes<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1,2,3,4,5,6,7,8,9<br />
3b Design and conduct experiments 9<br />
3b Analyze and interpret data 9<br />
3c Design system, component, or process to meet needs 9<br />
3d Function on multi-disciplinary teams 9<br />
3e Identify, formulate, and solve engineering problems 1,2,3,4,5,6,7,9<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility<br />
3g Communicate effectively<br />
3h Broad education<br />
3i Recognize need for life-long learning<br />
3j Knowledge <strong>of</strong> contemporary issues 9<br />
3k Use techniques, skills, and tools in engineering practice 8,9<br />
4 Major design experience 9<br />
Prepared by: Z. Li Date: December 5, 2007<br />
107
ECE 420 - Analytical Methods in Power Systems<br />
Spring Semester <strong>2008</strong><br />
Catalog Data:<br />
Enrollment:<br />
Textbook:<br />
ECE 420: Analytical Methods in Power Systems.<br />
Fundamentals <strong>of</strong> power systems operation and planning. Economic<br />
operation <strong>of</strong> power systems with consideration <strong>of</strong> transmission losses.<br />
Design <strong>of</strong> reliable power systems, power systems security analysis,<br />
optimal scheduling <strong>of</strong> power generation, estimation <strong>of</strong> power system<br />
state. Prerequisite: ECE 309. (3-0-3) (P)<br />
Elective course for CPE and EE majors.<br />
Class Notes.<br />
References: Hadi Saadat, Power System Analysis, Second Edition, McGraw Hill, 2002.<br />
Coordinator:<br />
M. Shahidehpour, Pr<strong>of</strong>essor <strong>of</strong> ECE<br />
Course objectives:<br />
After completing this course, the student should be able to do the following:<br />
1. Apply the per unit concept to power systems and draw the per unit diagram <strong>of</strong> a typical power system.<br />
2. Solve the economic dispatch <strong>of</strong> power systems and consider the transmission networks for calculating losses.<br />
3. Apply the concept <strong>of</strong> dynamic programming to real world problems. Solve the generation scheduling problem<br />
in power systems using dynamic programming.<br />
4. Apply the linear programming concept to real world problems. Solve the optimal power flow problem in power<br />
systems using linear programming. Solve the state estimation problem in power systems using linear<br />
programming.<br />
5. Apply the reliability concept to power systems and calculate reliability indices for interconnected power<br />
systems.<br />
6. Understand the restructuring concept in power systems and be able to compare its merits with those <strong>of</strong> vertically<br />
integrated utility companies.<br />
Prerequisites by topic:<br />
1. AC and DC circuit analysis.<br />
2. Electromagnetic energy conversion.<br />
3. Transmission line behavior theory.<br />
4. Transformer, AC and DC machine steady-state analysis.<br />
Lecture schedule:<br />
Laboratory schedule:<br />
One 150-minute session per week.<br />
None.<br />
Topics:<br />
1. Review <strong>of</strong> power network fundamentals (2 weeks)<br />
2. Economic Dispatch (1 week)<br />
3. Unit commitment and power scheduling (2 weeks)<br />
4. Linear programming (2 weeks)<br />
5. Power systems optimal power flow (2 weeks)<br />
6. Power systems state estimation (2 weeks)<br />
7. Introduction to restructuring in electricity markets (1 week)<br />
8. Exams (2 weeks)<br />
Computer usage:<br />
108
Students write programs in a language <strong>of</strong> their choice to implement a generator scheduling algorithm or similar<br />
power systems application.<br />
Laboratory topics:<br />
None.<br />
Pr<strong>of</strong>essional components as estimated by faculty member who prepared this course description:<br />
Engineering Science: 2 credits or 67%<br />
Engineering Design: 1 credit or 33%<br />
Relationship <strong>of</strong> ECE 420 Course to ABET Outcomes :<br />
OUTCOME:<br />
Course<br />
Objective (s)<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1,2,3,4,5,6<br />
3b Design and conduct experiments /Analyze and Interpret Data<br />
3c Design system, component, or process to meet needs<br />
3d Function on multi-disciplinary teams<br />
3e Identify, formulate, and solve engineering problems 2,3,4,5,6<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility<br />
3g Communicate effectively<br />
3h Broad education<br />
3i Recognize need for life-long learning<br />
3j Knowledge <strong>of</strong> contemporary issues<br />
3k Use techniques, skills, and tools in engineering practice 3,4<br />
4 Major design experience<br />
Prepared by: M. Shahidehpour Date: April 26, <strong>2008</strong><br />
109
ECE 421(423) - Microwave Circuits and Systems (with Laboratory)<br />
Spring Semester <strong>2008</strong>(Spring Semester <strong>2008</strong>)<br />
Catalog Data: ECE 421: Microwave Circuits and Systems. Credit 3.<br />
Maxwell's equations, waves in free space, metallic and dielectric waveguides,<br />
microstrips, microwave cavity resonators and components, ultra-high frequency<br />
generation and amplification. Analysis and design <strong>of</strong> microwave circuits and systems.<br />
Credit will be given for either ECE 421 or ECE 423, but not for both. Prerequisites: ECE<br />
307, (3-0-3) (P)<br />
ECE 423: Microwave Circuits and Systems with Laboratory. Credit 4.<br />
Maxwell's equations, waves in free space, metallic and dielectric waveguides,<br />
microstrips, microwave cavity resonators and components, ultra-high frequency<br />
generation and amplification. Analysis and design <strong>of</strong> microwave circuits and systems.<br />
Credit will be given for either ECE 421 or ECE 423, but not for both. Prerequisites: ECE<br />
307, (3-3-4) (P) (C)<br />
Enrollment:<br />
Textbook:<br />
Coordinator:<br />
Elective course for CPE and EE majors.<br />
S. Ramo, J. Whinnery, and T. Van Duzer, Fields and Waves in Communication<br />
Electronics, 3rd Edition, Wiley, 1993.<br />
ECE 423 Laboratory Manual<br />
T. Wong, Pr<strong>of</strong>essor <strong>of</strong> ECE<br />
Course objectives:<br />
After completing this course, the student should be able to do the following:<br />
1. Utilize Maxwell’s equations and the appropriate boundary conditions to solve practical problems.<br />
2. Determine plane wave propagation in homogeneous media and reflection and refraction <strong>of</strong> plane waves.<br />
3. Determine TEM wave propagation in uniform transmission lines; compute characteristic impedance and<br />
wave velocities.<br />
4. Calculate wave impedance, propagation constant, and estimate power dissipation in cylindrical metallic<br />
waveguides.<br />
5. Determine quasi-TEM wave propagation in planar transmission lines and use empirical formulas to<br />
characterize these lines.<br />
6. Determine equivalent voltage and current for guided waves; apply the scattering matrix for representation<br />
and analysis <strong>of</strong> microwave components.<br />
7. Describe the construction <strong>of</strong> passive microwave components and their properties in terms <strong>of</strong> scattering<br />
matrices.<br />
8. Utilize principles <strong>of</strong> active microwave devices.<br />
9. Describe the operation <strong>of</strong> microwave systems and measurement equipment at microwave frequencies.<br />
Additional Course Objectives for ECE 423:<br />
1* Familiarization with microwave sources, wavelength and power measurements<br />
2* Wave transmission and reflection in transmission lines and waveguides<br />
3* Measurements <strong>of</strong> properties <strong>of</strong> passive microwave components<br />
4* Use <strong>of</strong> the network analyzer to measurement S-parameters<br />
5* Design and testing <strong>of</strong> a microstrip circuit with the use <strong>of</strong> a CAD tool<br />
Prerequisites by topic:<br />
1. Basic electromagnetics<br />
2. Circuit analysis<br />
3. Transmission line theory<br />
Lecture schedule:<br />
Laboratory schedule:<br />
Two 75-minute sessions per week.<br />
ECE 421: None.<br />
110
ECE 423: One 150-minute session per week.<br />
Topics:<br />
1. Electromagnetics (2 weeks)<br />
2. Transmission lines (1 week)<br />
3. Plane waves (2 weeks)<br />
4. Guided waves (3 weeks)<br />
5. Circuit theory for waveguiding systems (3 weeks)<br />
6. Microwave components (2 weeks)<br />
7. Active microwave circuits (2 weeks)<br />
Computer usage (ECE 423):<br />
In the design project, students employ a commercial microwave CAD package to design and optimize a<br />
microstrip circuit.<br />
Laboratory topics (ECE 423):<br />
Students conduct microwave experiments on signal generation, power and frequency measurements,<br />
transmission and reflection <strong>of</strong> waves, propagation characteristics <strong>of</strong> guided waves, and microwave<br />
components. The use <strong>of</strong> an automated network analyzer is introduced through scattering parameter<br />
measurement <strong>of</strong> passive elements. In the last six weeks <strong>of</strong> the semester, a design project on a simple<br />
microstrip circuit is implemented by each student. The circuit is first optimized with a commercial CAD<br />
package, followed by fabrication and testing with the network analyzer. A report on the design process<br />
and measured performance <strong>of</strong> the circuit is required.<br />
Pr<strong>of</strong>essional components as estimated by faculty member who prepared this course description:<br />
ECE 421<br />
Engineering Science: 2 credits or 67%<br />
Engineering Design: 1 credit or 33%<br />
ECE 423<br />
Engineering Science: 2 credits or 50%<br />
Engineering Design: 2 credits or 50%<br />
Relationship <strong>of</strong> ECE 421/423 Course to ABET Outcomes:<br />
ECE 421 ECE 423<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1,2,3,4,5 1,2,3,4,5<br />
3b Design and conduct experiments 1*,2*<br />
3b Analyze and interpret data 1,2,3 1,2,3<br />
3c Design system, component, or process to meet needs 5*<br />
3d Function on multi-disciplinary teams<br />
3e Identify, formulate, and solve engineering problems 4,6 4,6<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility<br />
3g Communicate effectively 7 7<br />
3h Broad education<br />
3i Recognize need for life-long learning 1 1<br />
3j Knowledge <strong>of</strong> contemporary issues 7,8 7,8<br />
3k Use techniques, skills, and tools in engineering practice 3*,4*,5*<br />
4 Major design experience 5*<br />
Prepared by: T. Wong Date: February 26, <strong>2008</strong><br />
111
ECE 425 - Analysis & Design <strong>of</strong> Integrated Circuits<br />
Spring Semester <strong>2008</strong><br />
Catalog Data: ECE 425: Analysis and Design <strong>of</strong> Integrated Circuits. Credit 3.<br />
Contemporary analog and digital integrated circuit analysis and design techniques.<br />
Bipolar, CMOS and BICMOS IC fabrication technologies, IC Devices and Modeling,<br />
Analog ICs including multiple-transistor amplifiers, biasing circuits, active loads,<br />
reference circuits, output buffers; their frequency response, stability and feedback<br />
consideration. Digital ICs covering inverters, combinational logic gates, highperformance<br />
logic gates, sequential logics, memory and array structures. CAD<br />
Simulation design projects. Credit will be given for ECE 425. Prerequisites: ECE 309,<br />
ECE 312. Corequisite: ECE 403. (3-3-4) (P)<br />
Enrollment:<br />
Elective course for CPE and EE majors.<br />
Textbook:<br />
“Analysis and Design <strong>of</strong> Analog Integrated Circuits”, 4th edition by Gray, Hurst, Lewis,<br />
and Meyer, John Wiley and Sons, 2001, ISBN 0-471-32168-0.<br />
Coordinator:<br />
Y. Xu, Assistant Pr<strong>of</strong>essor <strong>of</strong> ECE<br />
Course objectives:<br />
After completing this course, students should be able to do the following:<br />
13. Identify the functional blocks for a integrated circuit and system and specify their performance<br />
requirements.<br />
14. Apply circuit analysis principles to the design <strong>of</strong> analog and digital circuits<br />
15. Understanding active and passive device modeling.<br />
16. Device fabrication process and technologies<br />
17. Design single stage amplifier.<br />
18. Design two-stage amplifier<br />
19. Analysis and design <strong>of</strong> current mirror and active loads.<br />
20. Analysis and design <strong>of</strong> output stages<br />
21. Analysis the operational amplifier<br />
22. Apply feedback knowledge in the integrated circuit analysis<br />
Prerequisites by topic:<br />
5. Electronic Circuits<br />
6. Signal Spectral Analysis<br />
7. Communications and Modulation Theory<br />
8. Microelectronics<br />
Lecture schedule: One 150-minute session per week.<br />
Laboratory schedule: ECE 425: One 150-minute session per week.<br />
Topics:<br />
14. Active and Passive Device Modeling (1 week)<br />
15. Device Fabrication Process and Technologies (1 week)<br />
16. One and Two Stage Amplifier Analysis and Design (2 weeks)<br />
17. Current Mirrors and Active Loads (2 weeks)<br />
18. Output Stages (2 weeks)<br />
19. Operational Amplifiers (2 week)<br />
20. Amplifier Frequency Response (2 weeks)<br />
21. Feedback Techniques (2 weeks)<br />
22. Tests (1 week)<br />
Computer usage:<br />
Students use PSpice to design the subsystems in their laboratory projects.<br />
Pr<strong>of</strong>essional components as estimated by faculty member who prepared this course description:<br />
ECE 425<br />
112
Engineering Science: 3 credits or 100%<br />
Relationship <strong>of</strong> ECE 425 Course to ABET Outcomes: Course Objective (s)<br />
OUTCOME: ECE 425<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1,2,3,4,5,6,7,8,9,10<br />
3b Design and conduct experiments / Analyze and Interpret Data 5,6,7,8,9,10<br />
3c Design system, component, or process to meet needs 5,6,7,8,9,10<br />
3d Function on multi-disciplinary teams<br />
3e Identify, formulate, and solve engineering problems 5,6,7,8,9,10<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility<br />
3g Communicate effectively<br />
3h Broad education<br />
3i Recognize need for life-long learning<br />
3j Knowledge <strong>of</strong> contemporary issues<br />
3k Use techniques, skills, and tools in engineering practice 5,6,7,8,9,10<br />
4 Major design experience<br />
Prepared by: Y. Xu Date: May 16, <strong>2008</strong><br />
113
ECE 429 – Introduction to VLSI Design<br />
Fall Semester 2007<br />
Catalog Data: ECE 429: Introduction to VLSI Design Credit 3.<br />
Introduction to VLSI Design Prerequisites: ECE 218 & ECE 311 and senior standing.<br />
Processing, fabrication, and design <strong>of</strong> Very Large Scale Integration (VLSI) circuits. MOS<br />
transistor theory, VLSI processing, circuit layout, layout design rules, layout analysis,<br />
and performance estimation. The use <strong>of</strong> computer aided design (CAD) tools for layout<br />
design, system design in VLSI, and application-specific integrated circuits (ASICs). In<br />
the laboratory, students create, analyze, and simulate a number <strong>of</strong> circuit layouts as<br />
design projects, culminating in a term design project. (3-3-4) (P) (C)<br />
Enrollment:<br />
Textbook:<br />
Coordinator:<br />
One <strong>of</strong> two hardware-design electives \for CPE and an elective course for EE majors.<br />
“CMOS VLSI DESIGN: A Circuits and Systems Perspective” (3rd Ed.) Neil H.E. Weste,<br />
and David Harris, Addison-Wesley, 2005. ISBN: 0321149017<br />
Ken Choi, Assistant Pr<strong>of</strong>essor <strong>of</strong> ECE<br />
Course objectives:<br />
After completing this course, the student should be able to do the following:<br />
9. Design circuits using custom and cell-based approaches, generate layouts, verify the designs, apply<br />
tests to manufactured chips. And understand the algorithmic aspects <strong>of</strong> VLSI CAD tools<br />
10. Discuss the basic attributes <strong>of</strong> CMOS circuits, their impact upon society, and the trade<strong>of</strong>fs between<br />
speed, power, and area considerations<br />
11. Identify the basic parts <strong>of</strong> a normal design flow for VLSI processes and compare/contrast both<br />
custom/standard-cell design methodologies..<br />
12. Explain and analyze dynamic techniques such as charge sharing and current leakage and how it<br />
impacts specific circuits from a dynamic circuits perspective.<br />
13. Complete an engineering design incorporating engineering standards and realistic constraints.<br />
14. Prepare an informative and organized design project report.<br />
15. Complete understanding ASIC large circuit design from system level to layout<br />
16. Conduct nine laboratories and a final project from RTL to layout for ASIC VLSI design, experiencing<br />
several industrial CAD tools<br />
Prerequisites by topic:<br />
3. EE218 Digital Systems<br />
4. ECE311 Engineering Electronics<br />
Lecture schedule:<br />
Laboratory schedule:<br />
Two 75 – minute lectures per week<br />
One 2 - hours and 40 - minute session per week.<br />
Computer usage:<br />
3. Students use Unix, Sue (Schematic), IRSIM (Timing Simulation), HSpice (Circuit Simulation), MAGIC<br />
(Layout), GEMINI (LVS Verification), NC-Verilog (Verilog Simulation), and Design Compiler/PKS<br />
(Synthesis) for nine-laboratory assignments and a final project.<br />
4. Students prepare reports using word-processing s<strong>of</strong>tware.<br />
Course topics:<br />
11. MOS Transistor Theory (1 week)<br />
12. CMOS Fabrication, Layout, Processing <strong>Technology</strong> (1 week)<br />
13. Logical Effort (1 week)<br />
14. Delay and Power Estimation for CMOS (1 week)<br />
15. Interconnect and wire engineering (1 week)<br />
16. Simulation in VLSI, Hspice and Verilog (1 week)<br />
17. Combinational Circuit Design (1 week)<br />
114
18. Sequential Circuit Design (1 week)<br />
19. Adders (1 week)<br />
20. Datapath Functional Units (1 week)<br />
21. Memories (1 week)<br />
22. Midterm and Final Exams (2 weeks)<br />
23. Final Project and Demonstration (2 Weeks)<br />
Pr<strong>of</strong>essional components as estimated by faculty member who prepared this course description:<br />
Engineering Science: 0.30 credits or 30%<br />
Engineering Design: 0.30 credits or 30%<br />
Other (Lab skills): 0.40 credits or 40%<br />
Relationship <strong>of</strong> ECE 429 Course to ABET Outcomes:<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1,2,3,4,5<br />
3b Design and conduct experiments<br />
3b Analyze and interpret data<br />
3c Design system, component, or process to meet needs 1,5<br />
3d Function on multi-disciplinary teams<br />
3e Identify, formulate, and solve engineering problems 1,4,5<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility<br />
3g Communicate effectively 6<br />
3h Broad education 2<br />
3i Recognize need for life-long learning<br />
3j Knowledge <strong>of</strong> contemporary issues<br />
3k Use techniques, skills, and tools in engineering practice 5<br />
4 Major design experience 5<br />
Prepared by: Ken Choi Date: Aug. 19 th , 2007<br />
115
ECE 437(436) - Digital Signal Processing I (with Laboratory)<br />
Fall Semester 2007 (Fall Semester 2007)<br />
Catalog Data: ECE 437: Digital Signal Processing I. Credit 3.<br />
Discrete-time system analysis, discrete convolution and correlation, Z-transforms.<br />
Realization and frequency response <strong>of</strong> discrete-time systems, properties <strong>of</strong> analog filters,<br />
IIR filter design, FIR filter design. Discrete Fourier Transforms. Applications <strong>of</strong> digital<br />
signal processing. Credit will be given for either ECE 436 or ECE 437, but not for both.<br />
Prerequisite: ECE 308. (3-0-3) (P)<br />
ECE 436: Digital Signal Processing I with Laboratory. Credit 4.<br />
Discrete-time system analysis, discrete convolution and correlation, Z-transforms.<br />
Realization and frequency response <strong>of</strong> discrete-time systems, properties <strong>of</strong> analog filters,<br />
IIR filter design, FIR filter design. Discrete Fourier Transforms. Applications <strong>of</strong> digital<br />
signal processing. Credit will be given for either ECE 436 or ECE 437, but not for both.<br />
Prerequisite: ECE 308. (3-3-4) (P)(C)<br />
Enrollment:<br />
Textbook:<br />
Coordinator:<br />
Elective course for CPE and EE majors.<br />
J.G. Proakis, Introduction to Digital Signal Processing, Pearson Education, 4th Edition,<br />
2007.<br />
Y. Yang, Associate Pr<strong>of</strong>essor <strong>of</strong> ECE<br />
Course objectives:<br />
After completing this course, the student should be able to do the following:<br />
1. Conduct fundamental time analyses <strong>of</strong> discrete-time signals and systems.<br />
2. Analyze linear, time-invariant discrete-time system behavior using the Z-transform.<br />
3. Conduct frequency analyses <strong>of</strong> discrete-time signals and systems using the discrete-time Fourier transform.<br />
4. Apply the DFT (Discrete Fourier Transform) in the analysis <strong>of</strong> discrete-time signals.<br />
5. Implement DFTs efficiently via FFT (Fast Fourier Transform) algorithms.<br />
6. Design structures for the implementation <strong>of</strong> discrete-time systems.<br />
7. Design basic digital filters.<br />
8. Use computer-based analysis and design tools (such as MATLAB, TI C6x DSK) in the analysis <strong>of</strong> digital<br />
signals and systems and in the analysis and design <strong>of</strong> DSP systems.<br />
Prerequisites by topic:<br />
1. Engineering mathematics<br />
2. Fourier and Laplace transforms<br />
3. Linear system analysis, including time and frequency domain representation <strong>of</strong> signals and systems<br />
Lecture schedule:<br />
Laboratory schedule:<br />
Two 75-minute sessions per week.<br />
ECE 437: None.<br />
ECE 436: One 150-minute session per week.<br />
Topics:<br />
1. Discrete-Time Signals and systems, Applications, Convolution and correlation (1 week)<br />
2. Fourier Analysis and Sampled Data Signals (2 weeks)<br />
3. Z Transform, Frequency Response and Realization (2 weeks)<br />
4. Design and Properties <strong>of</strong> Analog Filters (2 weeks)<br />
5. IIR Filter Design (2 weeks)<br />
6. FIR Filter Design (2 weeks)<br />
7. Discrete Fourier Transform and Properties (2 weeks)<br />
8. Fast Fourier Transform, FFT Convolution and Correlation (1 week)<br />
9. Exams (1 week)<br />
116
Computer usage:<br />
Students use computers, MATLAB s<strong>of</strong>tware, and TI C6x DSK to implement and test their projects.<br />
Laboratory topics (ECE 436):<br />
1 Introduction to lab tools and digital signals<br />
2 Signal sampling and reconstruction<br />
3 Real-time digital signal processing systems<br />
4 Frequency selectivity <strong>of</strong> LTI systems<br />
5 FIR filter design and implementation<br />
6 IIR filter design and implementation<br />
7 Quantization effects in digital signal processing systems<br />
8 Digital image processing using C6713 DSK<br />
9 Real time spectral analysis <strong>of</strong> signals and systems<br />
10 Design project: Real time signal processing system design<br />
Pr<strong>of</strong>essional components as estimated by faculty member who prepared this course description:<br />
ECE 437<br />
Engineering Science: 2 credits or 67%<br />
Engineering Design: 1 credit or 33%<br />
ECE 436<br />
Engineering Science: 2 credits or 50%<br />
Engineering Design: 2 credits or 50%<br />
Relationship <strong>of</strong> ECE 437/436 Course to ABET outcomes:<br />
Course Objective (s)<br />
OUTCOME: ECE 437 ECE 436<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1,2,3,4,5,6,7,8 1,2,3,4,5,6,7,8,9<br />
3b Design and conduct experiments/ Analyze and Interpret Data<br />
3c Design system, component, or process to meet needs 6,7,8 6,7,8,9<br />
3d Function on multi-disciplinary teams<br />
3e Identify, formulate, and solve engineering problems 1,2,3,4,5,6,7,8 1,2,3,4,5,6,7,8,9<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility<br />
3g Communicate effectively 10<br />
3h Broad education<br />
3i Recognize need for life-long learning<br />
3j Knowledge <strong>of</strong> contemporary issues<br />
3k Use techniques, skills, and tools in engineering practice 8 8,9<br />
4 Major design experience 9<br />
Prepared by: Y. Yang Date: Mar 10, <strong>2008</strong><br />
117
ECE 438 - Control Systems<br />
Spring Semester <strong>2008</strong><br />
Catalog Data: ECE 438: Control Systems. Credit 3.<br />
Signal flow graphs and block diagrams. Types <strong>of</strong> feedback control. Steady state tracking<br />
error. Stability and Routh-Hurwitz criterion. Transient response and time domain design<br />
via root locus methods. Frequency domain analysis and design using Bode and Nyquist<br />
methods. Introduction to state variable descriptions. Credit will be given for either ECE<br />
438 or ECE 434, but not for both. Prerequisite: ECE 308. (3-0-3) (P)<br />
Enrollment:<br />
Elective course for CPE and EE majors.<br />
Textbook: N.S. Nise, Control Systems Engineering, John Wiley & Sons, 5 th Edition, 2002.<br />
ECE 434 Laboratory Manual<br />
Reference:<br />
Coordinator:<br />
The Student Edition <strong>of</strong> MATLAB, Prentice-Hall and The MathWorks.<br />
D. Ucci, Associate Pr<strong>of</strong>essor <strong>of</strong> ECE<br />
Course objectives:<br />
After completing this course, the student should be able to do the following:<br />
1. Articulate the principles and objectives <strong>of</strong> feedback control.<br />
2. Analyze the transient and steady state dynamic response <strong>of</strong> systems, both in the time and frequency domain.<br />
3. Translate control design objectives to dynamic response requirements.<br />
4. Select basic feedback compensation structures and types appropriate to control design objectives.<br />
5. Design feedback controllers using root locus methodologies to meet system objectives.<br />
6. Design feedback controllers using frequency response techniques to meet system objectives.<br />
7. Use computer-based analysis and design tools (such as MATLAB s<strong>of</strong>tware) in the analysis and design <strong>of</strong><br />
control systems.<br />
Prerequisites by topic:<br />
1. Engineering mathematics<br />
2. Fourier and Laplace transforms<br />
3. Linear system analysis, including time and frequency domain representation <strong>of</strong> signals and systems<br />
Lecture schedule:<br />
Laboratory schedule:<br />
Two 75-minute sessions per week.<br />
ECE 438: None.<br />
Topics:<br />
1. Introduction and Laplace transforms (0.5 week)<br />
2. Block diagrams (0.5 week)<br />
3. Mason’s gain formula (0.5 week)<br />
4. Time response and pole locations (2 weeks)<br />
5. Control case study (0.5 week)<br />
6. PID control (0.5 week)<br />
7. Steady state error and system type (0.5 week)<br />
8. Stability and the Routh array (0.5 week)<br />
9. Root locus diagrams (1.5 weeks)<br />
10. Lead compensator design (2 weeks)<br />
11. Lag compensator design (1 week)<br />
12. Lead lag design (0.5 week)<br />
13. Bode plots (0.5 week)<br />
14. Nyquist diagrams (1 week)<br />
15. Stability margins and performance (0.5 week)<br />
16. Introduction to state space methods (0.5 week)<br />
17. Exams (1.5 weeks)<br />
Computer usage:<br />
The homework assignments require use <strong>of</strong> the MATLAB s<strong>of</strong>tware package, equipped with the Control Systems<br />
Toolbox.<br />
118
Pr<strong>of</strong>essional components as estimated by faculty member who prepared this course description:<br />
Engineering Science: 1.5 credits or 50%<br />
Engineering Design: 1.5 credits or 50%<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1 – 6<br />
3b Design and conduct experiments<br />
3b Analyze and interpret data<br />
3c Design system, component, or process to meet needs 5, 6, 7<br />
3d Function on multi-disciplinary teams<br />
3e Identify, formulate, and solve engineering problems 4, 5, 6<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility<br />
3g Communicate effectively<br />
3h Broad education<br />
3i Recognize need for life-long learning<br />
3j Knowledge <strong>of</strong> contemporary issues<br />
3k Use techniques, skills, and tools in engineering practice 7<br />
4 Major design experience<br />
Prepared by: Donald Ucci Date: March 18, <strong>2008</strong><br />
119
ECE 441 - Microcomputers<br />
Fall Semester 2007<br />
Catalog Data: ECE 441: Microcomputers. Credit 4.<br />
Microprocessors and stored program controllers. Memories. Standard and special<br />
interfaces. Hardware design. S<strong>of</strong>tware development. Interrupt systems. Hardware and<br />
s<strong>of</strong>tware design tools. System design and troubleshooting. Emphasis on examples.<br />
Prerequisites: ECE 218 or CS 470, ECE 242 or CS 350, and senior standing. (3-3-4) (P)<br />
(C)<br />
Enrollment:<br />
Textbooks:<br />
Manual<br />
Reference:<br />
Coordinator:<br />
Required course for CPE majors; elective course for EE majors.<br />
Clements, Microprocessor Systems Design,<br />
PWS Publishing Company., 3rd Edition, 1997.<br />
MC68000 Microprocessor Programmer’s Reference<br />
Sanper-1 Lab Manual and Course Notes<br />
MC68000 Educational Computer Board User’s Manual<br />
T. L. Harman and D. T. Hein, The Motorola MC68000 Microprocessor Family:<br />
Assembly Language, Interface Design, and System Design, Prentice Hall, 2nd Edition,<br />
1996.<br />
J. Saniie, Filmer Pr<strong>of</strong>essor <strong>of</strong> ECE<br />
Course objectives:<br />
After completion <strong>of</strong> this course, the student should be able to do the following:<br />
1. Describe the MC68000 microprocessor’s architecture, pin functions, instructions and addressing.<br />
2. Implement exception processing s<strong>of</strong>tware routines and function controls.<br />
3. Design memory hardware and bus timing <strong>of</strong> address, data and control signals.<br />
4. Design input/output interfaces to the microprocessor.<br />
5. Design a system utilizing programmable input/output devices and synchronous bus control signals.<br />
6. Design a system utilizing an asynchronous programmable input/output device and trap handler.<br />
7. Perform hardware design for DTACK logic, reset and interrupts.<br />
8. Design, implement, and test a monitor s<strong>of</strong>tware project.<br />
Prerequisites by topic:<br />
1. Digital logic<br />
2. Basic electronics<br />
3. Assembly language programming<br />
4. Ability to work with assembler and simulator s<strong>of</strong>tware<br />
Lecture schedule:<br />
Laboratory schedule:<br />
Two 75-minute sessions per week.<br />
One 150-minute session per week.<br />
Topics:<br />
1. Importance <strong>of</strong> the microcomputer and recent developments in microprocessor design (1 week)<br />
2. MC68000 architecture, pin functions, instructions and addressing (1 week)<br />
3. Interrupt handling, exception processing, and function controls (2 weeks)<br />
4. Timing <strong>of</strong> address, data and control signals (1 week)<br />
5. Memory design (1 week)<br />
6. Input/output design (1 week)<br />
7. Synchronous bus control signals (1 week)<br />
8. Design with programmable input/output device (2 weeks)<br />
9. Design with asynchronous programmable input/output device (2 weeks)<br />
10. Hardware design for reset, bus timeout logic and interrupts (2 weeks)<br />
120
11. Tests (1 week)<br />
Computer usage:<br />
Students use Sanper Educational Computer, MC68000 assembler and simulator s<strong>of</strong>tware to implement and test their<br />
projects.<br />
Laboratory topics:<br />
1. Introduction to Sanper-1 Microcomputer Architecture and TUTOR Resident Monitor Program<br />
2. Tutor command utilization and program development<br />
3. Interrupts and exception processing<br />
4. Code conversion, bit manipulation, and s<strong>of</strong>tware development<br />
5. Design memory hardware and bus cycle timing<br />
6. Design input/output hardware and interrupt logic<br />
7. Design with the programmable parallel input/output device<br />
8. Design with the programmable asynchronous serial input/output device<br />
9. Design and implement a monitor s<strong>of</strong>tware<br />
Pr<strong>of</strong>essional components as estimated by faculty member who prepared this course description:<br />
Engineering Science: 1 credit or 25%<br />
Engineering Design: 3 credits or 75%<br />
Relationship <strong>of</strong> ECE 441 Course to ABET Outcomes:<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1,2,3,4,5,6,7,8,9<br />
3b Design and conduct experiments<br />
3b Analyze and interpret data<br />
3c Design system, component, or process to meet needs 2,3,4,5,6,7,8,9<br />
3d Function on multi-disciplinary teams<br />
3e Identify, formulate, and solve engineering problems 1,2,3,4,5,6,7,8,9<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility<br />
3g Communicate effectively 10<br />
3h Broad education<br />
3i Recognize need for life-long learning<br />
3j Knowledge <strong>of</strong> contemporary issues<br />
3k Use techniques, skills, and tools in engineering practice 9<br />
4 Major design experience 9<br />
Prepared by: J. Saniie Date: December 10, 2007<br />
121
ECE 446 – Advanced Logic Design<br />
Fall Semester 2007<br />
Catalog Data: ECE 446: Advanced Logic Design. Credit 4.<br />
Design and implementation <strong>of</strong> complex digital systems under practical design constraints.<br />
Timing and electrical considerations in combinational and sequential logic design. Digital<br />
system design using Algorithmic State Machine (ASM) diagrams. Design with modern<br />
logic families and Field Programmable Gate Arrays (FPGA). Design-oriented laboratory<br />
stressing the use <strong>of</strong> FPGA. Prerequisites: ECE 218, ECE 311, and Senior standing. (3-3-<br />
4) (P) (C)<br />
Enrollment:<br />
One <strong>of</strong> two hardware-design electives \for CPE and an elective course for EE majors.<br />
Textbook: J. Wakerly, Digital Design, Principles and Practices, Prentice Hall, 4 th Edition, 2005.<br />
ECE 446 Laboratory Manual<br />
References:<br />
Coordinator:<br />
Texas Instruments, The TTL Data Book.<br />
R. Katz and G. Borriello, Contemporary Logic Design, Benjamin-Cummings,2 nd Edition<br />
2004.<br />
M. Mano, Digital Design, 4 th Edition, Prentice-Hall, 2006.<br />
Additional references and course notes are provided throughout the course.<br />
J. Saniie, Filmer Pr<strong>of</strong>essor <strong>of</strong> ECE<br />
Course objectives:<br />
After completing this course, the student should be able to do the following:<br />
1. Utilize computer-based tools such as VHDL in the design and analysis <strong>of</strong> logic devices.<br />
2. Utilize FPGAs and MSI ICs to design and implement logic devices.<br />
3. Perform testing and troubleshooting <strong>of</strong> logic devices using logic analyzers.<br />
4. Design and analyze basic and complex combinational logic devices.<br />
5. Design and analyze basic and complex sequential logic devices.<br />
6. Analyze electrical properties <strong>of</strong> logic devices (e.g., delay and hazards, power, noise margin, fanout).<br />
7. Design circuits with an array <strong>of</strong> widely used MSI combinational and sequential logic devices.<br />
8. Design and implement error correcting codes, testing and signature analysis, A/D and D/A converters, parallelto-serial<br />
and serial-to-parallel converters.<br />
Prerequisites by topic:<br />
1. Boolean algebra<br />
2. Combinational logic design<br />
3. Sequential logic design<br />
4. Basic electronics<br />
Lecture schedule:<br />
Laboratory schedule:<br />
Two 75-minute sessions per week.<br />
One 150-minute session per week.<br />
Topics:<br />
1. Introduction to Digital Design, Number systems and Codes; Survey Logic Design <strong>Technology</strong> (chip packaging<br />
and manufacturing); Overview <strong>of</strong> Laboratory Assignments; VHDL Programming and FPGAs (2 weeks)<br />
2. Boolean Algebra, Combinational Circuits, Karnaugh Maps, Logic Minimization; Discussion <strong>of</strong> Error Correcting<br />
Codes; Combinational Circuit Analysis and Synthesis; Schematics and Documentation Standards (2 weeks)<br />
3. Operation <strong>of</strong> the Logic Analyzer; Combinational Logic Delay; Hazard Detection and Correction (1 week)<br />
4. Design <strong>of</strong> Parity Generators and Checkers, Comparators, Encoders and Decoders, and Arithmetic Circuits;<br />
Transmission Gates; Schmitt Trigger Inputs; Three-State Outputs, Open-Drain Outputs; Wired Logic;<br />
Multiplexers, Demultiplexers; Buses; Building Block Designs; Barrel Shifter; Simple Floating Point Encoder;<br />
122
Mode-Dependent Comparators; Design <strong>of</strong> D/A and A/D Converters; Design Examples Using VHDL and<br />
FPGAs (5 weeks)<br />
5. Sequential Logic Design Principles (3 weeks)<br />
6. Synchronous Design Methodology; Synchronizer Failure and Metastability; Dynamic Electrical Behavior;<br />
Noise Margin and Fanout (1 week)<br />
7. Tests (1 week)<br />
Computer usage:<br />
Students use VHDL s<strong>of</strong>tware to program and simulate Programmable Logic Devices in all lab assignments.<br />
Laboratory topics:<br />
1. Introduction to FPGAs and VHDL programming.<br />
2. Code Conversion Design using FPGA and VHDL.<br />
3. Four-Bit Ripple-Carry Adder/Subtractor Design using FPGA and VHDL<br />
4. Familiarization with Logic Analyzer and Measurement <strong>of</strong> Delays and Hazards.<br />
5. Design and Implementation <strong>of</strong> Error Correcting Codes<br />
6. Design and Implementation <strong>of</strong> High-Speed Adder/Subtractor<br />
7. Design and Implementation <strong>of</strong> Barrel Shifters<br />
8. Sequential Logic Design and Finite State Machine <strong>of</strong> Turn Signal<br />
9. Design and Implementation <strong>of</strong> Data Encryption Using LFSRs<br />
10. Design and Implementation <strong>of</strong> Traffic Light Controller<br />
11. Design and Implementation <strong>of</strong> D/A and Basic A/D Converters<br />
12. Design and Implementation <strong>of</strong> a Successive Approximation A/D Converter<br />
13. Design and Implementation <strong>of</strong> a Parallel-to-Serial Transmitter<br />
14. Design and Implementation <strong>of</strong> a Serial-to-Parallel Receiver<br />
Pr<strong>of</strong>essional components as estimated by faculty member who prepared this course description:<br />
Engineering Science: 1 credit or 25%<br />
Engineering Design: 3 credits or 75%<br />
Relationship <strong>of</strong> ECE 446 Course to ABET Outcomes:<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1,2,4,5,6,7,8,9<br />
3b Design and conduct experiments 3<br />
3b Analyze and interpret data 3<br />
3c Design system, component, or process to meet needs 1,2,3,4,5,7,8,9<br />
3d Function on multi-disciplinary teams<br />
3e Identify, formulate, and solve engineering problems 1,2,4,5,6,7,8,9<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility<br />
3g Communicate effectively 10<br />
3h Broad education<br />
3i Recognize need for life-long learning<br />
3j Knowledge <strong>of</strong> contemporary issues<br />
3k Use techniques, skills, and tools in engineering practice 1,2,3,9<br />
4 Major design experience 8,9<br />
Prepared by: J. Saniie Date: Decemeber 10, 2007<br />
123
ECE 448 - Mini/Micro Computer Programming<br />
Fall Semester 2006<br />
Catalog Data:<br />
Enrollment:<br />
Textbook:<br />
Reference:<br />
Coordinator:<br />
Engineering applications programming using the C language in a UNIX environment.<br />
Use <strong>of</strong> UNIX tools including filters and shell scripts. Overview <strong>of</strong> UNIX s<strong>of</strong>tware design<br />
practices using tools such as Make and SCCS. The UNIX system interface. S<strong>of</strong>tware<br />
design projects. Credit for this course is not applicable to a B.S. CP.E. degree.<br />
Prerequisites: CS 116, ECE 242 or CS 350 and senior standing. (3-0-3) (P)<br />
Elective course for EE majors.<br />
R. Bryant & D. O’Hallaron: Computer Systems: A Programmer’s Perspective.<br />
S. Harbison & G. Steele: C: A Reference Manual (5th Edition)<br />
E. Oruklu, Assistant Pr<strong>of</strong>essor <strong>of</strong> ECE<br />
Course objectives:<br />
After completing this course, the student should be able to do the following:<br />
1. Explain the concepts <strong>of</strong> high-quality procedural programming, its benefits and drawbacks, and its support by C.<br />
2. Apply these procedural programming concepts in designing and developing good programs.<br />
3. Describe the strengths and weaknesses <strong>of</strong> C so as to assess its appropriateness, compared with other languages<br />
and tools, for a particular project and organizational environment.<br />
4. Develop s<strong>of</strong>tware under and for UNIX (or similar operating systems).<br />
5. Develop, test, and debug a non-trivial and useful C program.<br />
Prerequisites by topic:<br />
Beginner-level C programming<br />
Lecture schedule:<br />
Laboratory schedule:<br />
two 75-minute sessions per week.<br />
None.<br />
Topics:<br />
1. Introduction & Evaluation Quiz (0.5 week)<br />
2. Data Representation (1 week)<br />
3. Introduction to GAS (2 weeks)<br />
4. Program Optimization (1 week)<br />
5. Program Optimization (SW/HW) (1 week)<br />
6. Performance Measurement (0.5 week)<br />
7. Memory Hierarchy (0.5 week)<br />
8. Basic C Review (1 week)<br />
9. The Preprocessor (0.5 week)<br />
10. Dynamic Memory (0.5 week)<br />
11. Data Structures (0.5 week)<br />
12. Sorting algorithms (0.5 week)<br />
13. Exceptions (0.5 week)<br />
14. UNIX, shells (0.5 week)<br />
15. Regular Expressions (1 week)<br />
16. Shell Scripts (0.5 week)<br />
17. Source control with CVS (0.5 week)<br />
18. Make files (0.5 week)<br />
19. Glue languages (0.5 week)<br />
20. Latex (0.5 week)<br />
Computer usage:<br />
Students use workstations extensively in programming assignments.<br />
124
Laboratory topics:<br />
None.<br />
Pr<strong>of</strong>essional components as estimated by faculty member who prepared this course description:<br />
Engineering Science: 1 credit or 33%<br />
Engineering Design: 1 credit or 33%<br />
Other (Programming skills): 1 credit or 33%<br />
Relationship <strong>of</strong> ECE 448 Course to ABET Outcomes:<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1,2,3,4,5<br />
3b Design and conduct experiments<br />
3b Analyze and interpret data 5<br />
3c Design system, component, or process to meet needs 2,4,5<br />
3d Function on multi-disciplinary teams<br />
3e Identify, formulate, and solve engineering problems 2,4,5<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility<br />
3g Communicate effectively<br />
3h Broad education<br />
3i Recognize need for life-long learning<br />
3j Knowledge <strong>of</strong> contemporary issues 4<br />
3k Use techniques, skills, and tools in engineering practice 4,5<br />
4 Major design experience 4,5<br />
Prepared by: E. Oruklu Date: March 11, <strong>2008</strong><br />
125
ECE 449 - Object-Oriented Programming and Computer Simulation<br />
Spring Semester 2007<br />
Catalog Data:<br />
Enrollment:<br />
Textbooks:<br />
The use <strong>of</strong> object-oriented programming to develop computer simulations <strong>of</strong> engineering<br />
problems. Programming with the C++ language in a UNIX environment. OOP concepts<br />
including classes, inheritance and polymorphism. Programming with class libraries.<br />
Event-driven simulation techniques in an object-oriented environment. Programming<br />
projects will include the development <strong>of</strong> a simulator for an engineering application.<br />
Prerequisites: ECE 448, senior standing. (3-0-3)<br />
Elective course for CPE and EE majors.<br />
Eckel, B. Thinking in C++ Volume 1, Second Edition<br />
Reference: S. Myers, Effective C++, Addison-Wesley, 1994.<br />
Coordinator:<br />
E.Oruklu, Assistant Pr<strong>of</strong>essor <strong>of</strong> ECE<br />
Course objectives:<br />
After completing this course, the student should be able to do the following:<br />
1. Given a description <strong>of</strong> a system domain, identify and categorize the principal abstract data types to support the<br />
application.<br />
2. Determine and document relationships among those data types, including inheritance and composition.<br />
3. Prepare class definitions in both C++ and Java to implement those data types as reliable and easy-to-use object<br />
oriented classes.<br />
4. Generalize both data-type definitions and executable functions so as to facilitate component re-use in multiple<br />
programs by multiple programmers.<br />
5. Design, code, and test complete programs that exhibit high-quality according to accepted measures <strong>of</strong><br />
modularity and understandability.<br />
6. Integrate programming paradigms and techniques to solve real-world problems using either C++ or Java:<br />
procedural, object-oriented, and event-driven.<br />
7. Assess critically the appropriateness <strong>of</strong> various programming languages, tools, and techniques for various kinds<br />
<strong>of</strong> problems that arise in engineering or business.<br />
Prerequisites by topic:<br />
Experience designing and developing programs exploiting:<br />
1. structured flow control<br />
2. highly modular program structure<br />
3. static and dynamic data structures<br />
4. array manipulation<br />
5. character-string handling<br />
6. input-output.<br />
Lecture schedule:<br />
Laboratory schedule:<br />
Two 75-minute sessions per week.<br />
None.<br />
Topics:<br />
1. Introduction to classes and objects; C++ special features (0.5 week)<br />
2. Language independent overview <strong>of</strong> OOP concepts and benefits (0.5 week)<br />
3. C++ as a superset <strong>of</strong> C (0.5 week)<br />
4. Constructors and destructors (0.5 week)<br />
5. More Constructors (0.5 week)<br />
6. Function overloading (0.5 week)<br />
7. Operator overloading (0.5 week)<br />
8. Dynamic memory allocation (0.5 week)<br />
9. Composition, inheritance (0.5 week)<br />
126
10. Polymorphism (1 week)<br />
11. Exceptions (1 week)<br />
12. Templates and containers (1 week)<br />
13. The Standard C++ Library (1 week)<br />
14. GUI programming (2 weeks)<br />
15. Multithreading (2 weeks)<br />
16. Review (1 week)<br />
Computer usage:<br />
There are 9 short assignments and one longer project. The short assignments and the project all call for using either<br />
C++ or Java on a computer.<br />
1. The short assignments focus on the concepts and techniques introduced in the preceding session or two.<br />
2. The project provides the opportunity to integrate knowledge from all the topics covered during the course and<br />
apply them to a problem in electrical engineering or in another area <strong>of</strong> interest.<br />
Laboratory topics:<br />
None<br />
Pr<strong>of</strong>essional components as estimated by faculty member who prepared this course description:<br />
Engineering Science: 0.6 credit or 20%<br />
Engineering Design: 1.8 credits or 60%<br />
Other (C++/Java coding techniques): 0.6 credit or 20%<br />
Relationship <strong>of</strong> ECE 449 Course to ABET Outcomes:<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1,2,3,4,5,6,<br />
7<br />
3b Design and conduct experiments<br />
3b Analyze and interpret data 5,6<br />
3c Design system, component, or process to meet needs 3,5,6<br />
3d Function on multi-disciplinary teams<br />
3e Identify, formulate, and solve engineering problems 2,3,4,5,6<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility<br />
3g Communicate effectively<br />
3h Broad education<br />
3i Recognize need for life-long learning<br />
3j Knowledge <strong>of</strong> contemporary issues 5,7<br />
3k Use techniques, skills, and tools in engineering practice 6,7<br />
4 Major design experience 5,6<br />
Prepared by: E. Oruklu Date: March 11, <strong>2008</strong><br />
127
ECE 481 - Image Processing<br />
Spring Semester <strong>2008</strong><br />
Catalog Data: ECE 481: Image Processing. Credit 3.<br />
Mathematical foundations <strong>of</strong> image processing, including two-dimensional discrete<br />
Fourier transforms, circulant and block-circulant matrices. Digital representation <strong>of</strong><br />
images and basic color theory. Fundamentals and applications <strong>of</strong> image enhancement,<br />
restoration, reconstruction, compression, and recognition. Prerequisite: ECE 437.<br />
Corequisite: ECE 475 or MATH 475.<br />
(3-0-3) (P)<br />
Enrollment:<br />
Elective course for CPE and EE majors.<br />
Required course for BME (Medical imaging track).<br />
Textbook: A.K. Jain, Fundamentals <strong>of</strong> Digital Image Processing, Prentice Hall, 1989<br />
Reference: R.C. Gonzales and R. E. Woods, Digital Image Processing, Addison Wesley, 1992<br />
Coordinator:<br />
J. G. Brankov, Assistant Research Pr<strong>of</strong>essor <strong>of</strong> ECE<br />
Course objectives:<br />
After completing this course, the student should be able to do the following:<br />
1. Understand the basic elements <strong>of</strong> the color theory, including hue, saturation, and luminance; the basic<br />
principles <strong>of</strong> color matching, the RGB color system.<br />
2. Process digital images using convolution, discrete Fourier Transform, linear filtering.<br />
3. Perform digital image enhancement by intensity transformations, histogram operations, smoothing, sharpening,<br />
etc.<br />
4. Perform digital image restoration using the Wiener and pseudoinverse filters.<br />
5. Perform digital image reconstruction form projections (Computed tomography).<br />
6. Analyze and report image processing algorithms performance.<br />
7. Understand basic <strong>of</strong> “Protections for Human Subjects” in medical imaging research.<br />
8. Recognize and design appropriate image processing methods based on the observed image degradation.<br />
9. Understand the fundamentals <strong>of</strong> image coding and compression.<br />
Prerequisites by topic:<br />
1. Signal Processing: 1D convolution, sampling and Fourier transform.<br />
2. Basic Probability.<br />
Lecture schedule:<br />
Laboratory schedule:<br />
Two 75-minute sessions per week.<br />
None.<br />
Topics:<br />
1. Introduction to image processing (1.5 week)<br />
Images and image processing defined, image representations, applications<br />
2. Mathematical foundations (3 week)<br />
Linear systems, Fourier transform and its properties, Discrete Fourier transform (DFT), linear<br />
and circular convolution, vector representation <strong>of</strong> images, circulant matrices<br />
3. Image enhancement (2 week)<br />
Intensity transformations, histogram operations, smoothing, sharpening, edge detecting,<br />
median filter<br />
4. Image restoration (3 week)<br />
Degradation model, inverse filtering, Wiener filter<br />
5. Image reconstruction (tomography) (2.5 week)<br />
Radon transform, central-slice theorem, filtered backprojection, Basics <strong>of</strong> Human Subject Protections<br />
6. Image compression (1.5 week)<br />
128
Types <strong>of</strong> redundancy, variable-length coding, transform coding, JPEG, MPEG<br />
7. Exams (1.5 weeks)<br />
Computer usage:<br />
Students will write s<strong>of</strong>tware to perform:<br />
1. denoising and edge enhancement <strong>of</strong> images;<br />
2. restoring blurred noisy images using the Wiener and pseudoinverse filters;<br />
3. reconstructing images form projections (Computed tomography);<br />
4. apply Karhunen-Loeve transformation;<br />
5. analyze the image processing methods performance.<br />
Laboratory topics:<br />
None.<br />
Pr<strong>of</strong>essional components as estimated by faculty member who prepared this course description:<br />
Engineering Science: 2.4 credits or 80%<br />
Engineering Design: 0.6 credits or 20%<br />
Relationship <strong>of</strong> ECE 481 Course to ABET Outcomes:<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1,2,3,4,5,8,9<br />
3b Design and conduct experiments 8<br />
3b Analyze and interpret data 3,4,5,6,8,9<br />
3c Design system, component, or process to meet needs 8<br />
3d Function on multi-disciplinary teams<br />
3e Identify, formulate, and solve engineering problems 1,2,3,4,5,8,9<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility 7<br />
3g Communicate effectively 6<br />
3h Broad education<br />
3i Recognize need for life-long learning<br />
3j Knowledge <strong>of</strong> contemporary issues 1,2,3,4,5,6,7,8,9<br />
3k Use techniques, skills, and tools in engineering practice 3,4,5,6,8,9<br />
4 Major design experience<br />
Prepared by: J. G. Brankov Date: February 29, <strong>2008</strong><br />
129
ECE 485 - Computer Organization and Design<br />
Fall Semester 2007<br />
Catalog Data: ECE 485: Computer Organization and Design. Credit 3. Prerequisites: ECE 242, CS 350<br />
and senior standing<br />
This course covers basic concepts and state-<strong>of</strong>-the-art developments in computer<br />
architecture: computer technology, performance measures, instruction set design,<br />
computer arithmetic, controller and datapath design, memory systems, pipelining, array<br />
processing, parallel processing, multiprocessing, abstract analysis models, input-output<br />
systems, relationship between computer design and application requirements, and<br />
cost/performance trade<strong>of</strong>fs. Students will complete a project implementing a version <strong>of</strong><br />
multiple-cycle processor. Credit will be given for either ECE 485 or CS 470, but not<br />
both. (3-0-3) (P)<br />
Enrollment:<br />
Textbook:<br />
Coordinator:<br />
Required course for CPE majors, elective course for EE majors.<br />
Computer Organization and Design: The Hardware/S<strong>of</strong>tware Interface, D. A. Patterson<br />
and J. L. Hennessey, Morgan Kaufman Publishers, 3rd Ed., 2005<br />
E. Oruklu, Assistant Pr<strong>of</strong>essor <strong>of</strong> ECE<br />
Course objectives:<br />
After completing this course, the student should be able to do the following:<br />
1. Use the performance / complexity trade<strong>of</strong>fs for defining the RISC instruction set<br />
2. Translate a high level program into RISC instruction set<br />
3. Write a RISC assembler level program including use <strong>of</strong> subroutines for repetitive tasks<br />
4. Design an Arithmetic and Logic Unit (ALU) Hardware for RISC instruction set<br />
5. Identify the single cycle datapath for execution <strong>of</strong> RISC instructions<br />
6. Identify the multi cycle datapath on how a typical RISC instruction goes through its five stages<br />
7. Develop the pipelining model and identify the hazards associated with its operation<br />
8. Define the control unit and the associated control signals<br />
9. Implement a control unit in various forms including PLA, Sequential circuits, and microprogram<br />
10. Describe the hierarchical memory system and the cache operation<br />
11. Describe the operation <strong>of</strong> the non-volatile storage system<br />
12. Describe the basic operation <strong>of</strong> the I/O and the interconnecting bus<br />
13. Develop and test a VHDL program to capture the processor module operation<br />
Prerequisites by topic:<br />
1. Boolean algebra, Combinational logic designs<br />
2. Basic programming<br />
Lecture schedule:<br />
Laboratory schedule:<br />
Two 75-minute sessions per week.<br />
None.<br />
Topics:<br />
1. Introduction to Computer Architecture (1 week)<br />
2. Instruction Set Architecture (1 week)<br />
3. MIPS Instruction Set (1 week)<br />
4. Computer Arithmetic (0.5 week)<br />
5. Arithmetic Logic Unit Design (0.5 week)<br />
6. Introduction to VHDL (0.5 week)<br />
7. Computer Performance (0.5 week)<br />
8. Data Path and Control - Single Cycle Operation (0.5 week)<br />
9. ALU Control and Control Logic (0.5 week)<br />
130
10. Multicycle Datapath Design (1 week)<br />
11. Multicycle Datapath and Control Design (0.5 week)<br />
12. Microprogramming (0.5 week)<br />
13. Pipelining (0.5 week)<br />
14. Pipelining Control and Hazards (0.5 week)<br />
15. Pipelining: Branch Hazards and Exceptions (1 week)<br />
16. Pipelining - Advanced Techniques (1 week)<br />
17. Introduction to Memory Systems (1 week)<br />
18. Cache Fundamentals (1 week)<br />
19. Cache Performance Improvements (0.5 week)<br />
20. Virtual Memory (0.5 week)<br />
21. Storage and I/O Interface (1 week)<br />
22. Tests (1 week)<br />
Computer usage:<br />
Students complete a major project <strong>of</strong> designing and testing a key module, e.g. ALU datapath and miroprogram,<br />
using VHDL on PCs.<br />
Laboratory topics: None.<br />
Pr<strong>of</strong>essional components as estimated by faculty member who prepared this course description:<br />
Engineering Science: 0.5 credit or 16%<br />
Engineering Design: 2.5 credits or 84%<br />
Relationship <strong>of</strong> ECE 485 Course to ABET Outcomes:<br />
OUTCOME:<br />
Course<br />
Objective (s)<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 1, 2, 3, 4, 9, 13<br />
3b Design and conduct experiments /Analyze and Interpret Data<br />
3c Design system, component, or process to meet needs 4, 5, 6, 8, 9, 13<br />
3d Function on multi-disciplinary teams<br />
3e Identify, formulate, and solve engineering problems<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility<br />
3g Communicate effectively<br />
3h Broad education<br />
3i Recognize need for life-long learning<br />
3j Knowledge <strong>of</strong> contemporary issues<br />
4, 7, 9, 10, 11,<br />
12, 13<br />
3k Use techniques, skills, and tools in engineering practice<br />
4 Major design experience 13<br />
Prepared by: S. R. Borkar Date: Feb 25, <strong>2008</strong><br />
131
CS 115 – Object-Oriented Programming I<br />
Catalog Data:<br />
Enrollment:<br />
Textbook:<br />
References:<br />
Coordinator:<br />
Introduces the use <strong>of</strong> a high-level object-oriented programming language as a problemsolving<br />
tool – including basic data structures and algorithms, object-oriented<br />
programming techniques, and s<strong>of</strong>tware documentation. Designed for students who have<br />
had little or no prior experience with computer programming. For students in CS and CS<br />
related degree programs. (2-1-2)<br />
Required course for CPE and EE majors.<br />
Programming and Problem Solving with Java, Second Edition, Jones & Bartlett<br />
Publishers, Inc., copyright <strong>2008</strong> by Nell Dale, Chip Weems, ISBN: 0763734020<br />
none<br />
Matthew Bauer, Senior Lecturer <strong>of</strong> CS<br />
Course Outcomes:<br />
Students should be able to:<br />
• Analyze and explain the behavior <strong>of</strong> simple programs involving the following fundamental programming<br />
constructs: assignment, I/O (including file I/O), selection, iteration, methods<br />
• Write a program that uses each <strong>of</strong> the following fundamental programming constructs: assignment, I/O<br />
(including file I/O), selection, iteration, methods<br />
• Break a problem into logical pieces that can be solved (programmed) independently.<br />
• Develop, and analyze, algorithms for solving simple problems.<br />
• Use a suitable programming language, and development environment, to implement, test, and debug<br />
algorithms for solving simple problems.<br />
• Write programs that use each <strong>of</strong> the following data structures (and describe how they are represented in<br />
memory): strings, arrays<br />
• Explain and apply object-oriented design and testing involving the following concepts: data abstraction,<br />
encapsulation, information hiding<br />
• Use a development environment to design, code, test, and debug simple programs, including multi-file<br />
source projects, in an object-oriented programming language.<br />
• Implement basic error handling<br />
• Apply appropriate problem-solving strategies<br />
• Use APIs (Application Programmer Interfaces) and design/program APIs<br />
Program-level Outcomes supported by the above Course Outcomes:<br />
• a. An ability to apply knowledge <strong>of</strong> computing and mathematics appropriate to the discipline<br />
• b. An ability to analyze a problem, and identify and define the computing requirements appropriate to its<br />
solution<br />
• c. An ability to design, implement and evaluate a computer-based system, process, component, or program<br />
to meet desired needs<br />
• i. An ability to use current techniques, skills, and tools necessary for computing practices<br />
• j. An ability to apply mathematical foundations, algorithmic principles, and computer science theory in the<br />
modeling and design <strong>of</strong> computer-based systems in a way that demonstrates comprehension <strong>of</strong> the trade<strong>of</strong>fs<br />
involved in design choices<br />
• k. An ability to apply design and development principles in the construction <strong>of</strong> s<strong>of</strong>tware systems <strong>of</strong> varying<br />
complexity<br />
Prerequisites by Topic<br />
None.<br />
Major Topics Covered in the Course<br />
1. Fundamental data storage and manipulation (types and variables, statements and expressions)2. Functions3.<br />
Classes (classes and objects, instance variables and instance methods, and encapsulation).4. Flow <strong>of</strong> control<br />
132
(Boolean expressions, conditional statements, and loops).5. Vectors6. Problem Solving approaches (This section is<br />
dispersed appropriately throughout the semester to illustrate the above techniques.)<br />
7. S<strong>of</strong>tware Engineering – design, testing, debugging (This section is dispersed appropriately throughout the<br />
semester to illustrate the above techniques.)<br />
133
CS 116 – Object-Oriented Programming II<br />
Catalog Data:<br />
Enrollment:<br />
Textbook:<br />
References:<br />
Coordinator:<br />
Continuation <strong>of</strong> CS 115. Introduces more advanced elements <strong>of</strong> object-oriented<br />
programming – including dynamic data structures, recursion, searching and sorting, and<br />
advanced object-oriented programming techniques. For students in CS and CS related<br />
degree programs. Prerequisite: CS 115 (2-1-2)<br />
Required course for CPE and EE majors.<br />
Programming and Problem Solving with Java, Second Edition, Jones & Bartlett<br />
Publishers, Inc., copyright <strong>2008</strong> by Nell Dale, Chip Weems, ISBN: 0763734020<br />
none<br />
Matthew Bauer, Senior Lecturer <strong>of</strong> CS<br />
Course Outcomes:<br />
Students should be able to:<br />
• Analyze and explain the behavior <strong>of</strong> simple programs involving the following fundamental programming<br />
constructs: assignment, I/O (including file I/O), selection, iteration, methods<br />
• Write a program that uses each <strong>of</strong> the following fundamental programming constructs: assignment, I/O<br />
(including file I/O), selection, iteration, methods<br />
• Break a problem into logical pieces that can be solved (programmed) independently.<br />
• Develop, and analyze, algorithms for solving simple problems.<br />
• Use a suitable programming language, and development environment, to implement, test, and debug<br />
algorithms for solving simple problems.<br />
• Write programs that use each <strong>of</strong> the following data structures (and describe how they are represented in<br />
memory): strings, arrays<br />
• Explain the basics <strong>of</strong> the concept <strong>of</strong> recursion.<br />
• Write, test, and debug simple recursive functions and procedures.<br />
• Explain and apply object-oriented design and testing involving the following concepts: data abstraction,<br />
encapsulation, information hiding, inheritance, polymorphism<br />
• Use a development environment to design, code, test, and debug simple programs, including multi-file<br />
source projects, in an object-oriented programming language.<br />
• Implement basic error handling<br />
• Solve problems by creating and using sequential search, binary search, and quadratic sorting algorithms<br />
(selection, insertion)<br />
• Determine the time complexity <strong>of</strong> simple algorithms.<br />
• Apply appropriate problem-solving strategies<br />
• Use APIs (Application Programmer Interfaces) and design/program APIs<br />
Program-level Outcomes supported by the above Course Outcomes:<br />
• a. An ability to apply knowledge <strong>of</strong> computing and mathematics appropriate to the discipline<br />
• b. An ability to analyze a problem, and identify and define the computing requirements appropriate to its<br />
solution<br />
• c. An ability to design, implement and evaluate a computer-based system, process, component, or program<br />
to meet desired needs<br />
• i. An ability to use current techniques, skills, and tools necessary for computing practices<br />
• j. An ability to apply mathematical foundations, algorithmic principles, and computer science theory in the<br />
modeling and design <strong>of</strong> computer-based systems in a way that demonstrates comprehension <strong>of</strong> the trade<strong>of</strong>fs<br />
involved in design choices<br />
• k. An ability to apply design and development principles in the construction <strong>of</strong> s<strong>of</strong>tware systems <strong>of</strong> varying<br />
complexity<br />
Prerequisites by Topic<br />
CS115 - Basic object-oriented programming concepts<br />
134
Major Topics Covered in the Course<br />
1. Review <strong>of</strong> CS115 material<br />
2. Inheritance (subclasses, dynamic binding, abstract classes, and interfaces).3. Strings<br />
4. Introduction to recursion.5. Searching and sorting algorithms (linear and binary search, selection sort, insertion<br />
sort, and quick sort - introduced via recursive versions).6. Algorithm analysis.7. Problem Solving approaches (This<br />
section is dispersed appropriately throughout the semester to illustrate the above techniques.)<br />
8. S<strong>of</strong>tware Engineering – design, testing, debugging (This section is dispersed appropriately throughout the<br />
semester to illustrate the above techniques.)<br />
135
CS 330 – Discrete Structres<br />
Catalog Data:<br />
Enrollment:<br />
Textbook:<br />
Coordinator:<br />
Introduction to the use <strong>of</strong> formal mathematical structures to represent problems and<br />
computational processes. Topics covered include Boolean algebra, first-order logic,<br />
recursive structures, graphs, and abstract language models. Prerequisite: CS 116 or CS<br />
201. (3-0-3)<br />
Required course for CPE majors.<br />
Kenneth H. Rosen, Discrete Mathematics and Its Applications, McGraw-Hill, 5th Edition<br />
Sanjiv Kapoor, pr<strong>of</strong>essor <strong>of</strong> CS<br />
Course Outcomes:<br />
Students should be able to:<br />
• Illustrate by examples the basic terminology <strong>of</strong> functions, relations, and sets and demonstrate knowledge <strong>of</strong><br />
their associated operations.<br />
• Demonstrate in practical applications the use <strong>of</strong> basic counting principles <strong>of</strong> permutations, combinations,<br />
inclusion/exclusion principle and the pigeonhole methodology.<br />
• Calculate probabilities <strong>of</strong> events and expectations <strong>of</strong> random variables for problems arising from games <strong>of</strong><br />
chance.<br />
• Establish and solve recurrence relations that arise in counting problems including the problem <strong>of</strong><br />
determining the time complexity <strong>of</strong> recursively defined algorithms.<br />
• Model logic statements arising in algorithm correctness and real-life situations and manipulate them using<br />
the formal methods <strong>of</strong> propositional and predicate logic.<br />
• Outline basic pro<strong>of</strong>s for theorems using the techniques <strong>of</strong> - direct pro<strong>of</strong>s, pro<strong>of</strong> by counterexample, pro<strong>of</strong><br />
by contraposition, pro<strong>of</strong> by contradiction, mathematical induction.<br />
• Relate the ideas <strong>of</strong> mathematical induction to recursion and recursively defined structures.<br />
• Illustrate by example basic terminology <strong>of</strong> graph theory and model problems in computer science using<br />
graphs and trees.<br />
• Deduce properties that establish particular graphs as Trees, Planar, Eulerian, and Hamiltonion.<br />
• Illustrate the application <strong>of</strong> trees and graphs to data structures.<br />
• Explain the basic concepts modeling computation including formal machines, languages, finite automata,<br />
Turing machines<br />
Program-level Outcomes supported by the above Course Outcomes:<br />
• a. An ability to apply knowledge <strong>of</strong> computing and mathematics appropriate to the discipline<br />
• b. An ability to analyze a problem, and identify and define the computing requirements appropriate to its<br />
solution<br />
• j. An ability to apply mathematical foundations, algorithmic principles, and computer science theory in the<br />
modeling and design <strong>of</strong> computer-based systems in a way that demonstrates comprehension <strong>of</strong> the trade<strong>of</strong>fs<br />
involved in design choices<br />
Prerequisites by Topic<br />
CS 116 or CS 201 - Experience with basic programming constructs and algorithms<br />
Major Topics Covered in the Course<br />
1. Sets, Functions and relations - sets, set operations, functions, summations, growth <strong>of</strong> functions, equivalence<br />
relations, countable and uncountable sets, examples <strong>of</strong> algorithm analysis<br />
2. Counting Methods – permutations, combinations, discrete probability, pigeonhole principle<br />
3. Advanced counting – inclusion-exclusion, recurrence relations, methods <strong>of</strong> solving recurrences, examples from<br />
computer sciences<br />
4. Introductory Logic – propositional logic, predicate logic, pro<strong>of</strong> methodologies, examples <strong>of</strong> algorithm<br />
correctness<br />
5. Partially Ordered sets - trees, boolean algebra, example <strong>of</strong> minimizing circuits<br />
136
6. Introduction to Graphs - trees , connectivity, eulerian traversals, minimum spanning tree, planarity, Euler’s<br />
formula, matching<br />
7. Formal machines and languages-an introduction - automaton, grammars and turing machines<br />
8. Introduction to Algebraic Topics (OPTIONAL) – rings, groups, semi-groups.<br />
137
CS 331 – Data Structures and Algorithms<br />
Catalog Data:<br />
Enrollment:<br />
Textbook:<br />
References:<br />
Coordinator:<br />
Implementation and application <strong>of</strong> the essential data structures used in computer science.<br />
Analysis <strong>of</strong> basic sorting and searching algorithms and their relationship to these data<br />
structures. Particular emphasis is given to the use <strong>of</strong> object-oriented design and data<br />
abstraction in the creation and application <strong>of</strong> data structures. Prerequisite: CS 116 or CS<br />
201. (2-2-3))<br />
Required course for CPE majors.<br />
Teacher Supplied Material - http://dijkstra.cs.iit.edu/cs331-sp08/schedule/<br />
http://dijkstra.cs.iit.edu/cs331-sp08/resources/<br />
Dr. Gruia Calinescu, Associate Pr<strong>of</strong>essor <strong>of</strong> CS<br />
Course Outcomes:<br />
Students should be able to:<br />
• Explain, implement, and apply the following data-structures:<br />
o lists (unordered and ordered), stacks, queues, expression trees, binary search trees, heaps, and hash<br />
tables.<br />
• Analyze the time and space complexity <strong>of</strong> algorithms using asymptotic upper bounds (big-O notation).<br />
• Explain and use references and linked structures.<br />
• Outline basic object-oriented design concepts: composition, inheritance, polymorphism.<br />
• Write and test recursive procedures, and explain the run-time stack concept.<br />
• Analyze searching and sorting algorithms, and explain their relationship to data-structures.<br />
• Choose and implement appropriate data-structures to solve an application problem.<br />
• Explain how to use unit tests and version control in your s<strong>of</strong>tware development.<br />
Program-level Outcomes supported by the above Course Outcomes:<br />
• a. An ability to apply knowledge <strong>of</strong> computing and mathematics appropriate to the discipline<br />
• b. An ability to analyze a problem, and identify and define the computing requirements appropriate to its<br />
solution<br />
• c. An ability to design, implement and evaluate a computer-based system, process, component, or program<br />
to meet desired needs<br />
• d. An ability to function effectively on teams to accomplish a common goal<br />
• i. An ability to use current techniques, skills, and tools necessary for computing practices.<br />
• j. An ability to apply mathematical foundations, algorithmic principles, and computer science theory in the<br />
modeling and design <strong>of</strong> computer-based systems in a way that demonstrates comprehension <strong>of</strong> the trade<strong>of</strong>fs<br />
involved in design choices<br />
• k. An ability to apply design and development principles in the construction <strong>of</strong> s<strong>of</strong>tware systems <strong>of</strong> varying<br />
complexity<br />
Prerequisites by Topic<br />
CS 116 or CS 201 - Experience in object-oriented programming<br />
Major Topics Covered in the Course<br />
1. Abstraction/Variables<br />
2. Linux/Subversion<br />
3. Lists (Array and Linked List)<br />
4. Stacks and Queues<br />
5. Ordered Lists, Sorting<br />
6. Doubly-Linked Lists<br />
7. Binary Search Trees<br />
8. Expression Trees<br />
9. Heaps<br />
10. Hash Tables<br />
138
11. Project(s) discussion, Midterm(s) and discussion, Project(s) evaluation<br />
139
CS 350 – Computer Organization and Assembly Language Programming<br />
Catalog Data:<br />
Enrollment:<br />
Introduction to the internal architecture <strong>of</strong> computer systems. Focuses on the relationship<br />
between a computer's hardware, its native instruction set, and the implementation <strong>of</strong><br />
high-level languages on that machine. Lab exercises focused on assembly language<br />
programming and simple processor design explore and analyze computer architecture.<br />
Prerequisite: CS 116 or CS 201. (2-2-3) (C)<br />
Required course for CPE majors.<br />
Textbook: Introduction to Computing Systems: From Bits and Gates to C and Beyond, 2/e; Yale N.<br />
Patt, Sanjay J. Patel, McGraw-Hill<br />
References:<br />
Coordinator:<br />
none<br />
Dr. Cindy Hood, Associate Pr<strong>of</strong>essor <strong>of</strong> CS<br />
Course Outcomes:<br />
Students should be able to:<br />
• Explain the layers <strong>of</strong> abstraction an overview <strong>of</strong> computer systems.<br />
• Develop and debug low-level programs in C including pointers and dynamic memory allocation.<br />
• Explain and solve problems about data representation in computers including:<br />
o Number systems and Boolean algebra<br />
o Unsigned, Two's complement, Floating point<br />
o Limitations <strong>of</strong> electronic circuits<br />
o Arithmetic<br />
• Write and debug assembly language programs (IA32) and explain the following implementation details:<br />
o ISA design<br />
o Compilers and assemblers<br />
o Translating HLL control constructs<br />
o Complex data structures<br />
• Explain the basics <strong>of</strong> processor architecture including:<br />
o Digital logic and HDLs<br />
o Basic datapath/control model<br />
o Pipelining overview<br />
• Explain the concepts <strong>of</strong> performance optimization including:<br />
o Capabilities <strong>of</strong> optimizing compilers<br />
o Machine independent program transformations<br />
o Machine dependent optimizations<br />
• Explain Memory Hierarchy including:<br />
o Memory hierarchy overview<br />
o Locality <strong>of</strong> reference<br />
o Caching methodologies<br />
o Optimizing program performance with improved locality<br />
• Explain the linking process including:<br />
o Understanding role <strong>of</strong> linking in compilation<br />
o Static and dynamic linking<br />
Program-level Outcomes supported by the above Course Outcomes:<br />
• a. An ability to apply knowledge <strong>of</strong> computing and mathematics appropriate to the discipline<br />
• b. An ability to analyze a problem, and identify and define the computing requirements appropriate to its<br />
solution<br />
• c. An ability to design, implement and evaluate a computer-based system, process, component, or program<br />
to meet desired needs<br />
• d. An ability to function effectively on teams to accomplish a common goal<br />
• f. An ability to communicate effectively with a range <strong>of</strong> audiences<br />
• i. An ability to use current techniques, skills, and tools necessary for computing practices.<br />
140
• j. An ability to apply mathematical foundations, algorithmic principles, and computer science theory in the<br />
modeling and design <strong>of</strong> computer-based systems in a way that demonstrates comprehension <strong>of</strong> the trade<strong>of</strong>fs<br />
involved in design choices<br />
Prerequisites by Topic<br />
CS 116 or CS 201 - Experience in object-oriented programming<br />
141
CS 351 – Systems Programming<br />
Catalog Data:<br />
Enrollment:<br />
Textbook:<br />
References:<br />
Examines the components <strong>of</strong> sophisticated multi-layer s<strong>of</strong>tware systems-including device<br />
drivers, systems s<strong>of</strong>tware, applications interfaces, and user interfaces. Explores the<br />
design and development <strong>of</strong> interrupt-driven and event-driven s<strong>of</strong>tware. Prerequisites: CS<br />
331, CS 350. (2-2-3)<br />
Required course for CPE majors.<br />
Bryant, Randal E., and David O'Hallaron. Computer Systems: A Programmer's<br />
Perspective. PrenticeHall, 2003<br />
Kernighan, Brian W., and Dennis M. Ritchie. The C Programming Language, 2nd<br />
Edition. Prentice Hall, 1988.<br />
Coordinator:<br />
Rochkind, Marc J. Advanced UNIX Programming. Addison-Wesley, 2004<br />
http://www.cs.iit.edu/~lee/cs351/resources.shtml<br />
Matthew Bauer, Senior Lecturer <strong>of</strong> CS<br />
Course Outcomes:<br />
Students should be able to:<br />
• Define the concept and role <strong>of</strong> a process in a modern operating system<br />
• Describe the key abstractions an operating system provides to running processes<br />
• Describe the function, usage, and operation <strong>of</strong> system calls related to process management, memory<br />
management and I/O<br />
• Explain exceptional control flow, including:<br />
o Hardware interrupts<br />
o S<strong>of</strong>tware exceptions / Traps<br />
o Signals and signal handling<br />
• Describe the essential operation <strong>of</strong> a modern MMU from a programmer’s standpoint, including:<br />
o Caching and the TLB<br />
o Segmentation and paging for virtual memory<br />
• Explain the operation <strong>of</strong> various memory allocation methods, including:<br />
o Implicit allocation (garbage collection)<br />
o Explicit allocation (malloc/free, reference counting, etc.)<br />
• Describe, utilize, and implement a dynamic memory allocation API.<br />
• Describe and utilize the system-level I/O API <strong>of</strong> a modern operating system, including:<br />
o File descriptors<br />
o File I/O<br />
o Buffered I/O<br />
o Interprocess communication<br />
• Describe and utilize a low-level socket based networking API. This should include:<br />
o Client / Server model<br />
o Internetworking<br />
o Berkeley sockets<br />
• Describe, design and utilize concurrent programming APIs, including:<br />
o POSIX Threads<br />
o Re-Entrant code<br />
o Synchronization primitives<br />
Program-level Outcomes supported by the above Course Outcomes:<br />
• a. An ability to apply knowledge <strong>of</strong> computing and mathematics appropriate to the discipline<br />
• b. An ability to analyze a problem, and identify and define the computing requirements appropriate to its<br />
solution<br />
142
• c. An ability to design, implement and evaluate a computer-based system, process, component, or program<br />
to meet desired needs<br />
• i. An ability to use current techniques, skills, and tools necessary for computing practices.<br />
• j. An ability to apply mathematical foundations, algorithmic principles, and computer science theory in the<br />
modeling and design <strong>of</strong> computer-based systems in a way that demonstrates comprehension <strong>of</strong> the trade<strong>of</strong>fs<br />
involved in design choices<br />
Prerequisites by Topic<br />
CS 331 Data Structures, CS350 – C/Assembly Programming<br />
Major Topics Covered in the Course<br />
1. Introduction and Syllabus, Course Overview<br />
2. Assembly review / x86 Assembly Primer<br />
3. C: Language basics, Pointers, Arrays, and Structures<br />
4. Processes and the OS, Process management<br />
5. Exceptional Control Flow (signals, signal handling, etc.)<br />
6. Practical: Programming a UNIX shell<br />
7. Caching and Virtual Memory<br />
8. Dynamic Memory Management<br />
9. Practical: Implementing malloc<br />
10. UNIX System Level I/O<br />
11. Interprocess Communication (pipes, message queues, shared memory, etc.)<br />
12. Berkeley sockets API<br />
13. Practical: A Concurrent Server<br />
14. POSIX Threads API<br />
143
CS 411 – Computer Graphics<br />
Catalog Data:<br />
Enrollment:<br />
Textbook:<br />
Overview <strong>of</strong> display devices and applications. Vector graphics in two and three<br />
dimensions. Image generation, representation, and manipulation. Homogeneous<br />
coordinates. Modeling and hidden line elimination. Introduction to raster graphics.<br />
Perspective and parallel projections. Prerequisites: CS 331 or CS401 or CS403. (3-0-3)<br />
(T)<br />
Elective course for CPE majors.<br />
Computer Graphics with OpenGL, 3rd ed., D. Hearn and M.P. Baker, Prentice-Hall,<br />
2003.<br />
References: OpenGL Programming Guide, 5th ed. M. Woo, J. Neider, et al. Addison - Wesley, 2005.<br />
Coordinator:<br />
Computer Graphics: Principles and Practice, 2nd ed. J.D. Foley, A. Van Dam, et. al.<br />
Addison - Wesley, 1997.<br />
Interactive Computer Graphics: A Top-Down Approach Using OpenGL, 3rd ed., E.<br />
Angel, 2003.<br />
Dr. Gady Agam, Assistant Pr<strong>of</strong>essor <strong>of</strong> CS<br />
Course Outcomes:<br />
Students should be able to:<br />
• Provide overview <strong>of</strong> computer graphics.<br />
• Provide understanding <strong>of</strong> basic concepts, mathematical models, techniques, and algorithms used in<br />
computer graphics in two and three dimensions.<br />
• Provide graphics programming experience with OpenGL.<br />
• Describe and understand the main areas <strong>of</strong> computer graphics, graphics s<strong>of</strong>tware, and graphics hardware.<br />
• Demonstrate an understanding <strong>of</strong> the basic concepts, mathematical models, techniques and algorithms<br />
relating to raster graphics. The students should be able to implement basic algorithms and modify them if<br />
necessary.<br />
• Demonstrate an understanding <strong>of</strong> the basic concepts, syntax, and techniques behind the openGL graphics<br />
library. The students should be able to writh graphics programs by using this s<strong>of</strong>tware library.<br />
• Demonstrate an understanding <strong>of</strong> the basic concepts, mathematical models, techniques and algorithms<br />
relating to 2D and 3D modeling and viewing. The students should be able to implement basic algorithms<br />
and modify them if necessary. They should be able to use openGL in this context.<br />
• Demonstrate an understanding <strong>of</strong> the basic concepts, mathematical models, techniques and algorithms<br />
relating to 3D object representation. The students should be able to implement basic algorithms and modify<br />
them if necessary.<br />
• Demonstrate an understanding <strong>of</strong> the basic concepts, mathematical models, techniques and algorithms<br />
relating to Color. The students should be able to implement basic algorithms and modify them if necessary.<br />
• Demonstrate an understanding <strong>of</strong> the basic concepts, mathematical models, techniques and algorithms<br />
relating to Illumination models and surface rendering. The students should be able to implement basic<br />
algorithms and modify them if necessary. They should be able to use openGL in this context<br />
Program-level Outcomes supported by the above Course Outcomes:<br />
• a. An ability to apply knowledge <strong>of</strong> computing and mathematics appropriate to the discipline<br />
• c. An ability to design, implement and evaluate a computer-based system, process, component, or program<br />
to meet desired needs<br />
• i. An ability to use current techniques, skills, and tools necessary for computing practices.<br />
• j. An ability to apply mathematical foundations, algorithmic principles, and computer science theory in the<br />
modeling and design <strong>of</strong> computer-based systems in a way that demonstrates comprehension <strong>of</strong> the trade<strong>of</strong>fs<br />
involved in design choices<br />
Prerequisites by Topic<br />
144
Math - Calculus, Linear algebra<br />
Programming - Data structures and algorithms, C/C++<br />
Major Topics Covered in the Course<br />
1. Introduction: overview <strong>of</strong> computer graphics, overview <strong>of</strong> graphics hardware and s<strong>of</strong>tware<br />
2. Introduction to graphics programming with OpenGL: overview, concepts, syntax, libraries, basic drawing, state<br />
management<br />
3. Raster graphics: line and conic sections drawings, area filling, character generation, image operations, object<br />
attributes, antialiasing<br />
4. 2D modeling and viewing: geometric transformations, homogeneous coordinates, affine transformation, line and<br />
polygon display<br />
5. Introduction to 3D Rendering with OpenGL: 3d rendering concepts, 3d modeling and viewing in OpenGL<br />
6. 3D modeling and viewing: 3D transformations, the 3D viewing pipeline, projections, clipping, visible surface<br />
detection, hierarchical modeling<br />
7. 3D object representation: polygonal surfaces, quadric surfaces, cubic splines, Bezier curves and surfaces, B-spline<br />
curves and surfaces, NURBS, CSG, octrees, BSP trees, other representations<br />
8. Color, illumination models, and surface rendering: basic illumination models, polygon rendering, ray tracing,<br />
texture and bump mapping, displaying light intensities, dithering, color models, LUTs, blending<br />
9. Midterm, Recap & Review<br />
145
CS 422 – Introduction to Data Mining<br />
Catalog Data:<br />
Enrollment:<br />
Textbook:<br />
References:<br />
Coordinator:<br />
This course will provide an introductory look at concepts and techniques in the field <strong>of</strong><br />
data mining. After covering the introduction and terminologies to Data Mining, the<br />
techniques used to explore the large quantities <strong>of</strong> data for the discovery <strong>of</strong> meaningful<br />
rules and knowledge such as market basket analysis, nearest neighbor, decision trees,<br />
neural networks, and clustering are covered. The students learn the material by<br />
implementing different techniques throughout the semester (3-0-3).<br />
Elective course for CPE majors.<br />
J. Han, M. Kamber. Data Mining Concepts and Techniques, Morgan Kaufmann<br />
none<br />
Dr. Nazli Goharian, Clinical Assistant Pr<strong>of</strong>essor <strong>of</strong> CS<br />
Course Outcomes:<br />
Students should be able to:<br />
• Explain the Data Mining motivation and applications.<br />
• Explain the Data Mining Architecture.<br />
• Explain Data Preprocessing motivation and techniques.<br />
• Explain various Data Mining algorithms such as Naïve Bayes, Neural Networks, Decision Tree,<br />
Association-Rules, and Clustering.<br />
• Explain the scalability issues for each <strong>of</strong> the algorithms discussed in the class and how they can be<br />
modified for scalability.<br />
• Design and implement data mining systems using various data pre-processing techniques and mining<br />
algorithms.<br />
• Apply the research ideas into their experiments in building data mining systems.<br />
Program-level Outcomes supported by the above Course Outcomes:<br />
• a. An ability to apply knowledge <strong>of</strong> computing and mathematics appropriate to the discipline<br />
• c. An ability to design, implement and evaluate a computer-based system, process, component, or program<br />
to meet desired needs<br />
• d. An ability to function effectively on teams to accomplish a common goal<br />
• f. An ability to communicate effectively with a range <strong>of</strong> audiences<br />
• j. An ability to apply mathematical foundations, algorithmic principles, and computer science theory in the<br />
modeling and design <strong>of</strong> computer-based systems in a way that demonstrates comprehension <strong>of</strong> the trade<strong>of</strong>fs<br />
involved in design choices<br />
Prerequisites by Topic<br />
Data Structures, Algorithm and Strong Object Oriented Programming<br />
Major Topics Covered in the Course<br />
1. Introduction to Data Mining<br />
2. Data preprocessing<br />
3. Classification & Cross Validation<br />
4. Evaluation<br />
5. Naive Bayes<br />
6. Neural Networks<br />
7. Decision Tree<br />
8. Rule Based Classification<br />
9. K-Nearest Neighbor<br />
10. Ensemble Methods<br />
11. Association rules<br />
12. Cluster analysis<br />
13. Students Presentations<br />
146
Catalog Data:<br />
Enrollment:<br />
Textbook:<br />
References:<br />
Coordinator:<br />
CS 425 – Database Organization<br />
Overview <strong>of</strong> database architectures, including the Relational, Hierarchical, Network, and<br />
Object Models. Database interfaces, including the SQL query language. Database design<br />
using the Entity-Relationship Model. Issues such as security, integrity, and query<br />
optimization. Prerequisite: CS 331 or CS 401 or CS 403. (3-0-3) (T) (C)<br />
Elective course for CPE majors.<br />
Silberschatz, H.F. Korth, and S. Sudarshan, Database System Concepts, McGraw-Hill,<br />
ISBN 0-07-295886-3<br />
OR<br />
R. Ramakrishnan and J. Gehrke, Database Management Systems, McGraw-Hill, ISBN 0-<br />
07-246563-8 0072283637<br />
none<br />
Dr. Nazli Goharian, Clinical Assistant Pr<strong>of</strong>essor <strong>of</strong> CS<br />
Course Outcomes:<br />
Students should be able to:<br />
• Design and model a design scenario using relational data modeling, which includes:<br />
o Analyze the design anomalies.<br />
o Construct Entity Relationship Diagram.<br />
o Analyze and Construct Functional Dependencies for the business rules.<br />
o Analyze Functional Dependencies to identify Primary keys.<br />
o Analyze and Perform Normalization and Normal Forms.<br />
o Define referential integrities.<br />
o Create relational database design schemas in 3-NF/BCNF for a design scenario <strong>of</strong> the size <strong>of</strong> ca. 8-<br />
10 tables.<br />
• Solve abstract relational language, such as relational algebra problems.<br />
• Solve database transactions by using Structured Query Language (SQL), used by RDBMSs.<br />
• Explain the general concept <strong>of</strong> the additional topics such as: Query Optimizations, Concurrency Control,<br />
Recovery, structured data and text, and data warehousing.<br />
• Implement a relational database application, using a commercial/ open source RDBMS (Such as Oracle or<br />
mysql). This includes both the design and the implementation <strong>of</strong> an application that uses a relational<br />
database management system for the storage <strong>of</strong> the data and provides a user interface for the insertion,<br />
deletion, update and query <strong>of</strong> the data in this database by a user.<br />
Program-level Outcomes supported by the above Course Outcomes:<br />
• a. An ability to apply knowledge <strong>of</strong> computing and mathematics appropriate to the discipline<br />
• c. An ability to design, implement and evaluate a computer-based system, process, component, or program<br />
to meet desired needs<br />
• d. An ability to function effectively on teams to accomplish a common goal<br />
• f. An ability to communicate effectively with a range <strong>of</strong> audiences<br />
• i. An ability to use current techniques, skills, and tools necessary for computing practices.<br />
• j. An ability to apply mathematical foundations, algorithmic principles, and computer science theory in the<br />
modeling and design <strong>of</strong> computer-based systems in a way that demonstrates comprehension <strong>of</strong> the trade<strong>of</strong>fs<br />
involved in design choices<br />
• k. An ability to apply design and development principles in the construction <strong>of</strong> s<strong>of</strong>tware systems <strong>of</strong> varying<br />
complexity<br />
Prerequisites by Topic<br />
Data Structures, Algorithm and Strong Object Oriented Programming<br />
Major Topics Covered in the Course<br />
1. Introduction<br />
2. Relational Model<br />
147
3. Relational Algebra<br />
4. SQL<br />
5. Database Design<br />
6. Query Optimization<br />
7. Recovery and Concurrency Control<br />
8. Integration <strong>of</strong> Structured Data and Text<br />
9. Special Topics: Data Warehousing, Data Mining<br />
10. Midterm and review<br />
Final Exam<br />
148
CS 429 – Introduction to Information Retrieval Systems<br />
Catalog Data:<br />
Enrollment:<br />
Textbook:<br />
References:<br />
Coordinator:<br />
Overview <strong>of</strong> fundamental issues <strong>of</strong> information retrieval with theoretical foundations.<br />
The Information-retrieval techniques and theory, covering both effectiveness and runtime<br />
performance <strong>of</strong> information-retrieval systems are covered. The focus is on<br />
algorithms and heuristics used to find documents relevant to the user request and to find<br />
them fast. The course covers the architecture and components <strong>of</strong> the search engine such<br />
as parser, stemmer, index builder, and query processor. The students learn the material by<br />
building a prototype <strong>of</strong> such a search engine. Prerequisite: CS331 or CS401 and strong<br />
programming knowledge. (3-0-3) (T)<br />
Elective course for CPE majors.<br />
D. Grossman and O. Frieder, Information Retrieval: Algorithms and Heuristics, Second<br />
Edition 2004, Springer Publishers, ISBN 1-4020-3004-5 (paperback).<br />
none<br />
Dr. Nazli Goharian, Clinical Assistant Pr<strong>of</strong>essor <strong>of</strong> CS<br />
Course Outcomes:<br />
Students should be able to:<br />
• Explain the information retrieval storage methods (Inverted Index and Signature Files)<br />
• Explain retrieval models, such as Boolean model, Vector Space model, Probabilistic model, Inference<br />
Networks, and Neural Networks.<br />
• Explain retrieval utilities such as Stemming, Relevance Feedback, N-gram, Clustering, and Thesauri, and<br />
Parsing and Token recognition.<br />
• Design and implement a search engine prototype using the storage methods, retrieval models and utilities.<br />
• Apply the research ideas into their experiments in building a search engine prototype<br />
Program-level Outcomes supported by the above Course Outcomes:<br />
• a. An ability to apply knowledge <strong>of</strong> computing and mathematics appropriate to the discipline<br />
• c. An ability to design, implement and evaluate a computer-based system, process, component, or program<br />
to meet desired needs<br />
• d. An ability to function effectively on teams to accomplish a common goal<br />
• f. An ability to communicate effectively with a range <strong>of</strong> audiences<br />
• i. An ability to use current techniques, skills, and tools necessary for computing practices.<br />
• j. An ability to apply mathematical foundations, algorithmic principles, and computer science theory in the<br />
modeling and design <strong>of</strong> computer-based systems in a way that demonstrates comprehension <strong>of</strong> the trade<strong>of</strong>fs<br />
involved in design choices<br />
• k. An ability to apply design and development principles in the construction <strong>of</strong> s<strong>of</strong>tware systems <strong>of</strong> varying<br />
complexity<br />
Prerequisites by Topic<br />
Data Structures, Algorithm and Strong Object Oriented Programming.<br />
Major Topics Covered in the Course<br />
1. Introduction, Overview <strong>of</strong> IR<br />
2. IR Utilities: Parser/Tokenizer, phrase Recognition, Stemming, N-Grams<br />
3. Efficiency: Indexing - inverted index, memory based and sort inversion; Signature Files<br />
4. IR Strategies and Models: Boolean, Vector Space Model; Similarity Measures in Information Retrieval, Pivoted<br />
Normalizations<br />
5. IR Evaluation<br />
6. IR Strategy: Probablistic Model<br />
7. IR Utility: Relevance Feedback and other Query Expansions<br />
8. Efficiency : Compression<br />
9. Efficiency: Top Docs, Query Threshold<br />
10. Clustering<br />
149
11. IR Strategy: Language Models<br />
12. World Wide Web<br />
13. IR Utility: Passage Based Retrieval<br />
14. Efficiency: Duplicate Document Detection<br />
15. Relational Approach<br />
16. Student Presentations<br />
Final Exam<br />
150
CS 430 – Introduction to Algorithms<br />
Catalog Data:<br />
Enrollment:<br />
Textbook:<br />
References:<br />
Coordinator:<br />
Examines the components <strong>of</strong> sophisticated multi-layer s<strong>of</strong>tware systems-including device<br />
drivers, systems s<strong>of</strong>tware, applications interfaces, and user interfaces. Explores the<br />
design and development <strong>of</strong> interrupt-driven and event-driven s<strong>of</strong>tware. Prerequisites: CS<br />
331, CS 350. (2-2-3)<br />
Elective course for CPE majors.<br />
Cormen, Leiserson and Rivest, Introduction to Algorithms, MIT Press/McGraw Hill<br />
A. Aho, J. Hopcr<strong>of</strong>t and J.D. Ullman, Design and Analysis <strong>of</strong> Algorithms, Addison-<br />
Wesley.<br />
Dr. Sanjiv Kapoor, Pr<strong>of</strong>essor <strong>of</strong> CS<br />
Course Outcomes:<br />
Students should be able to:<br />
• Use big O, omega, and theta notation to give asymptotic upper, lower, and tight bounds on time and space<br />
complexity <strong>of</strong> algorithms.<br />
• Determine the time complexity <strong>of</strong> simple algorithms, deduce the recurrence relations that describe the time<br />
complexity <strong>of</strong> recursively defined algorithms, and solve simple recurrence relations.<br />
• Design algorithms using the brute-force, greedy, dynamic programming, divide-and-conquer, branch and<br />
bound strategies.<br />
• Design algorithms using at least one other algorithmic strategy from the list <strong>of</strong> topics for this unit.<br />
• Use and implement the fundamental abstract data types -- specifically including hash tables, binary search<br />
trees, and graphs -- necessary to solve algorithmic problems efficiently.<br />
• Solve problems using techniques learned in the design <strong>of</strong> sequential search, binary search, O(N log N)<br />
sorting algorithms, and fundamental graph algorithms, including depth-first and breadth-first search, singlesource<br />
and all-pairs shortest paths, and at least one minimum spanning tree algorithm.<br />
• Demonstrate the following abilities: to evaluate algorithms, to select from a range <strong>of</strong> possible options, to<br />
provide justification for that selection, and to implement the algorithm in simple programming contexts.<br />
• Communicate theoretical and experimental analyses <strong>of</strong> a set <strong>of</strong> algorithms (i.e. sorting) in a lab report<br />
format.<br />
Program-level Outcomes supported by the above Course Outcomes:<br />
• a. An ability to apply knowledge <strong>of</strong> computing and mathematics appropriate to the discipline<br />
• b. An ability to analyze a problem, and identify and define the computing requirements appropriate to its<br />
solution<br />
• c. An ability to design, implement and evaluate a computer-based system, process, component, or program<br />
to meet desired needs<br />
• f. An ability to communicate effectively with a range <strong>of</strong> audiences<br />
• h. Recognition <strong>of</strong> the need for, and an ability to engage in, continuing pr<strong>of</strong>essional development<br />
• i. An ability to use current techniques, skills, and tools necessary for computing practices.<br />
• j. An ability to apply mathematical foundations, algorithmic principles, and computer science theory in the<br />
modeling and design <strong>of</strong> computer-based systems in a way that demonstrates comprehension <strong>of</strong> the trade<strong>of</strong>fs<br />
involved in design choices<br />
• l. Be prepared to enter a top-ranked graduate program in Computer Science.<br />
Prerequisites by Topic<br />
CS115/CS116 - Object-Oriented Programming: functions, pointers, recursion, classes<br />
CS330 - Discrete Mathematics: sets, functions, counting, pro<strong>of</strong>s<br />
CS331 - Data Structures: abstract data types, lists, stacks, queues, trees<br />
Major Topics Covered in the Course<br />
1. Introduction to Algorithm Design, Complexity analysis including elementary tools like O-Notations, Recurrence<br />
Relations<br />
151
2. Introduction to Backtracking and Branch and Bound<br />
3. Introduction to Dynamic Programming<br />
4. Divide and Conquer and Greedy Methods (using Traveling Salesman Problem, Knapsack Problem and Optimum<br />
Triangulation <strong>of</strong> Convex Polygons)<br />
5. Sorting Methods ‐ Quicksort, Mergesort, Heaps and Heapsort, Lower bound on sorting<br />
6. Searching I - Hash Functions and Hashing, Union Find<br />
7. Searching II-- Binary Search Trees, Balanced Binary Search Trees (AVL Trees, 2-3 trees/ Red-Black trees)<br />
8. Graph Algorithms I - Depth First Search, Breadth First search, Bi-connectivity, Topological Sort<br />
9. Graph Algorithms II - Minimum Spanning Trees, Shortest Paths<br />
10. String Matching<br />
11. NP-Complete Problems<br />
12. Parallel Model <strong>of</strong> Computing - Example Sorting (optional topic)<br />
Midterm Exam<br />
Final Exam<br />
152
CS 440 – Programming Languages and Translators<br />
Catalog Data:<br />
Enrollment:<br />
Textbook:<br />
References:<br />
Coordinator:<br />
<strong>Study</strong> <strong>of</strong> commonly used computer programming languages with an emphasis on<br />
precision <strong>of</strong> definition and facility in use. Scanning, parsing, and introduction to compiler<br />
design. Use <strong>of</strong> compiler generating tools. Prerequisite: (CS 330 and CS 351) or CS401 or<br />
CS403. (3-0-3) (T)<br />
Elective course for CPE majors.<br />
http://dijkstra.cs.iit.edu/cs440-sp08/resources/<br />
none<br />
Dr. Xiang-Yang Li, Assistant Pr<strong>of</strong>essor <strong>of</strong> CS<br />
Course Outcomes:<br />
Students should be able to:<br />
• Explain major classes <strong>of</strong> programming languages: techniques, features, and styles.<br />
o Know how to use boxed and unboxed variables<br />
o Be able to use higher order functions.<br />
• How to specify formally the meaning <strong>of</strong> a language --- to people and to the computer.<br />
o Use Transition, Typing, and Denotational Semantics to define a language construct.<br />
o Be able to specify the language <strong>of</strong> regular expressions.<br />
o Determine if a grammar is LL, and write a parser for it using recursive descent.<br />
o Determine if a grammar is LR, and write a parser for it using a parser generator.<br />
o Describe the algorithm for both LL and LR parser generation.<br />
• Explain Three Powerful Ideas:<br />
1. Recursion<br />
• Know how to use both tail recursion and standard recursion.<br />
• Know how to use higher order functions to eliminate recursion.<br />
2. Abstraction<br />
• Know how to create user-defined types.<br />
• Know how to use functions to model integers.<br />
• Know how to use trees to model language constructs.<br />
3. Transformation<br />
• Know how to interpret a language.<br />
• Know how to use unification.<br />
• How to choose a language.<br />
• How to implement a language.<br />
Program-level Outcomes supported by the above Course Outcomes:<br />
• a. An ability to apply knowledge <strong>of</strong> computing and mathematics appropriate to the discipline<br />
• c. An ability to design, implement and evaluate a computer-based system, process, component, or program<br />
to meet desired needs<br />
• h. Recognition <strong>of</strong> the need for, and an ability to engage in, continuing pr<strong>of</strong>essional development<br />
• i. An ability to use current techniques, skills, and tools necessary for computing practices.<br />
• j. An ability to apply mathematical foundations, algorithmic principles, and computer science theory in the<br />
modeling and design <strong>of</strong> computer-based systems in a way that demonstrates comprehension <strong>of</strong> the trade<strong>of</strong>fs<br />
involved in design choices<br />
• l. Be prepared to enter a top-ranked graduate program in Computer Science.<br />
Prerequisites by Topic<br />
Experience writing basic programs in more than one computer language and a strong discrete mathematics<br />
background.<br />
Major Topics Covered in the Course<br />
1. Course Introduction, Recursion, User Defined Types, Higher Order Functions, Interpreters<br />
153
2. Regular Languages, Grammars, LL Parsing, LR Parsing, LR Parsing Tools, Lambda Calculus<br />
3. Unification, The Call Stack and the Heap, Transition Semantics, Natural Semantics, Type Semantics<br />
4. Variables, Parameters, Local State, Objects, Infinite Data, Continuation-Passing Style<br />
5. Prolog, Prolog's Cut Operator, Dynamic Prolog, Applications <strong>of</strong> Prolog<br />
6. Meta-Programming<br />
Midterm Exams<br />
Final Exam<br />
154
CS 441 – Current Topics in Programming Languages<br />
Catalog Data:<br />
Enrollment:<br />
Textbook:<br />
New topics in programming language design such as concepts <strong>of</strong> concurrent and<br />
distributed programming, communicating sequential processes, and functional<br />
programming. System development tools and language features for programming. An<br />
introduction to programming language semantics. Prerequisite: CS 331 or CS 401 or CS<br />
403. (3-0-3) (T)<br />
Elective course for CPE majors.<br />
Java: How to Program, 7 th Edition, Deitel and Deitel, Prentice Hall<br />
References:<br />
Coordinator:<br />
Java: Web Development Illuminated, 2007 Edition, Kai Qian, et al, Jones and Bartlett<br />
Publishers<br />
See http://www.cs.iit.edu/~cs441/index.html<br />
Dr. Tzilla Elrad, Research Pr<strong>of</strong>essor <strong>of</strong> CS<br />
Course Outcomes:<br />
Students should be able to:<br />
• Outline the evolution <strong>of</strong> the architectural neutral, secure, OO programming languages in order to illustrate<br />
how this evolution has led to the occurrence <strong>of</strong> the JAVA programming model. The course builds on the<br />
students ' knowledge <strong>of</strong> Object Oriented Programming concepts, which is a prerequisite for the course.<br />
• Design, implement, test, and debug Applets, Servlets, and Applications.<br />
• Design and implement Graphical User Interfaces.<br />
• Learn the programming language mechanisms that support distribution transparency and development <strong>of</strong><br />
distributed applications.<br />
• Recognize the underlying concurrency language model; Multithreading and monitor-based concurrency<br />
model.<br />
• Demonstrate the supportive language constructs and mechanisms for the design and development <strong>of</strong> 3-tier<br />
architectures; server-side programming.<br />
Program-level Outcomes supported by the above Course Outcomes:<br />
• b. An ability to analyze a problem, and identify and define the computing requirements appropriate to its<br />
solution<br />
• c. An ability to design, implement and evaluate a computer-based system, process, component, or program<br />
to meet desired needs<br />
• h. Recognition <strong>of</strong> the need for, and an ability to engage in, continuing pr<strong>of</strong>essional development<br />
• i. An ability to use current techniques, skills, and tools necessary for computing practices.<br />
• k. An ability to apply design and development principles in the construction <strong>of</strong> s<strong>of</strong>tware systems <strong>of</strong> varying<br />
complexity.<br />
Prerequisites by Topic<br />
Strong object-oriented programming experience.<br />
Major Topics Covered in the Course<br />
1. Object-Oriented Programming Oveview<br />
2. Event-driven programming for building GUI<br />
3. Security and Web Servers<br />
4. Multithreading<br />
5. Animation and Serialization<br />
6. Database Connectivity<br />
5. Networking and Multicasting<br />
6. Client/Server Models<br />
7. Aspect-Oriented Programming<br />
155
CS 445 – Object Oriented Design and Programming<br />
Catalog Data:<br />
Enrollment:<br />
Textbook:<br />
Introduction to methodologies for object-oriented design and programming. Examines the<br />
object model and how it is realized in various object-oriented languages. Focuses on<br />
methods for developing and implementing object-oriented systems. Prerequisite: CS 331<br />
or CS 401 or CS 403 (3-0-3) (T)<br />
Elective course for CPE majors.<br />
Head First Object-Oriented Analysis & Design, Brett D. McLaughlin, Gary Pollice &<br />
David West, Addison Wesley, ISBN: 0-596-00867-8<br />
References:<br />
Coordinator:<br />
Test-Driven Development by Example, Kent Beck, Addison Wesley, ISBN: 0-321-<br />
14653-0<br />
See http://www.cs.iit.edu/~cs445<br />
Dr. Bogdan Korel, Associate Pr<strong>of</strong>essor <strong>of</strong> CS<br />
Course Outcomes:<br />
Students should be able to:<br />
• Explain and justify the principles <strong>of</strong> Object Oriented concepts (review abstraction & abstract data types,<br />
encapsulation, inheritance, polymorphism, aggregation)<br />
• Analyze and identify the strengths (and weaknesses) <strong>of</strong> in-depth areas <strong>of</strong> the Object Oriented paradigm.<br />
• Analyze, explain, & compare the qualities <strong>of</strong> Object Oriented languages and how well they support the<br />
object model.<br />
• Explain and analyze the key points <strong>of</strong> Object Oriented analysis.<br />
• Explain and analyze the key points <strong>of</strong> Object Oriented design.<br />
• Design, implement, test and debug multi-phased Object Oriented application.<br />
• Explain and utilize contemporary Object Oriented methodologies (data-driven methodology and behaviordriven<br />
methodology)<br />
• Utilize contemporary notation (Unified Modeling Language) to express the artifacts <strong>of</strong> Object Oriented<br />
Analysis & Design (class design, class relationships, object interaction, object states, etc.)<br />
• Perform Object Oriented Analysis & Design on a real-world problem.<br />
• Explain and Utilize Complex Design Patterns.<br />
• Create an implementation <strong>of</strong> the resultant Object Oriented design.<br />
• Examine new & contemporary concepts in Object Orientation.<br />
• Communicate the deliverables <strong>of</strong> a s<strong>of</strong>tware development project.<br />
Program-level Outcomes supported by the above Course Outcomes:<br />
• b. An ability to analyze a problem, and identify and define the computing requirements appropriate to its<br />
solution<br />
• c. An ability to design, implement and evaluate a computer-based system, process, component, or program<br />
to meet desired needs<br />
• f. An ability to communicate effectively with a range <strong>of</strong> audiences.<br />
• i. An ability to use current techniques, skills, and tools necessary for computing practices.<br />
• j. An ability to apply mathematical foundations, algorithmic principles, and computer science theory in the<br />
modeling and design <strong>of</strong> computer-based systems in a way that demonstrates comprehension <strong>of</strong> the trade<strong>of</strong>fs<br />
involved in design choices<br />
• k. An ability to apply design and development principles in the construction <strong>of</strong> s<strong>of</strong>tware systems <strong>of</strong> varying<br />
complexity.<br />
Prerequisites by Topic<br />
Strong object-oriented programming experience<br />
Major Topics Covered in the Course<br />
1. Review <strong>of</strong> The Terminology And Fundamentals Of Object Oriented Concepts<br />
156
2. Abstractions/Abstract Data Types/Encapsulation/Information Hiding/Coupling/Cohesion<br />
3. Object Oriented Hierarchies - Advances Topics on Inheritance/Polymorphism/Dynamic Binding/Aggregations<br />
4. "Interface" Class Concepts<br />
5. Object Oriented Languages – Survey, Features<br />
6. Characteristics <strong>of</strong> Objects (Object Relationships, Object Interactions, Instantiation, etc.)<br />
7. Object Oriented Analysis & Design - Concepts, Methodologies, Unified Modeling Language<br />
8. Structural Modeling (Class Diagram)<br />
9. Behavioral Modeling (Interaction Diagram, State Diagram)<br />
10. Object-Oriented Design Patterns - Understanding & Usage<br />
11. End-To-End Case <strong>Study</strong> <strong>of</strong> Object-Oriented Analysis & Design<br />
12. Object Oriented Detailed Design<br />
13. Object Oriented Analysis & Design in Large Scale Projects<br />
14. Use Of Persistence & Databases In an Object Oriented Application<br />
15. Contemporary Object Oriented Topics, Including Multi-Threaded Objects<br />
16. Course Administration & Mid-Term Exam<br />
17. Final Exam<br />
157
CS 447 – Distributed Objects<br />
Catalog Data:<br />
Enrollment:<br />
Textbook:<br />
This course provides an introduction to the architecture, analysis, design, and<br />
implementation <strong>of</strong> distributed, multi-tier applications using distributed object technology.<br />
The course focuses on the services and facilities provided by an Object Request Broker<br />
(ORB). Students will use a commercially available ORB and Database Management<br />
System to develop distributed object applications. Prerequisite: CS 445. (3-0-3) (T) (C)<br />
Elective course for CPE majors.<br />
Gerald Brose, Keith Duddy, and Andreas Vogel, "Java Programming with CORBA,<br />
Third Edition," John Wiley & Sons, (January 2001) ISBN: 0-471-37681-7<br />
References:<br />
Coordinator:<br />
Wolfgang Emmerich, "Engineering Distributed Objects" John Wiley & Sons, (Reprinted<br />
January 2004) ISBN: 0-471-98657-7<br />
See http://www.cs.iit.edu/~cs447<br />
Dr. Shangping Ren, Assistant Pr<strong>of</strong>essor <strong>of</strong> CS<br />
Course Outcomes:<br />
Students should be able to:<br />
• Understand the basic concept <strong>of</strong> distributed systems and distributed objects<br />
• Understand the principles <strong>of</strong> Object-Oriented Middleware and common design problems for distributed<br />
systems<br />
• Understand advantages and disadvantages <strong>of</strong> various multi-tier s<strong>of</strong>tware architectures<br />
• Use IDL to define application interfaces<br />
• Use business objects to construct s<strong>of</strong>tware applications<br />
• Understand functions <strong>of</strong> an Object Request Broker (ORB), common distributed services, common<br />
distributed messaging styles, multiple mechanisms for providing object persistence used in distributed<br />
applications<br />
• Understand and be able to use iterative, use case driven methodology in component-based s<strong>of</strong>tware<br />
development<br />
• Implement a distributed, multi-tier application using distributed object technology<br />
• Acquire s<strong>of</strong>tware development team-working skills using a use case driven, architecture-centric, iterative<br />
s<strong>of</strong>tware development process<br />
Program-level Outcomes supported by the above Course Outcomes:<br />
• b. An ability to analyze a problem, and identify and define the computing requirements appropriate to its<br />
solution<br />
• c. An ability to design, implement and evaluate a computer-based system, process, component, or program<br />
to meet desired needs<br />
• h. Recognition <strong>of</strong> the need for, and an ability to engage in, continuing pr<strong>of</strong>essional development<br />
• i. An ability to use current techniques, skills, and tools necessary for computing practices.<br />
• k. An ability to apply design and development principles in the construction <strong>of</strong> s<strong>of</strong>tware systems <strong>of</strong> varying<br />
complexity.<br />
Prerequisites by Topic<br />
• Fundamental aspects <strong>of</strong> the object-oriented model: abstraction, encapsulation, inheritance, and aggregation.<br />
• Fundamental aspects <strong>of</strong> developing object-oriented s<strong>of</strong>tware: requirements, analysis, design, implementation,<br />
testing, and deployment.<br />
• Basic object-oriented design patterns: Singleton, Proxy, Abstract Factory, and Strategy.<br />
• Experience writing object-oriented s<strong>of</strong>tware using a common object-oriented programming language.<br />
• Experience using a relational database management system.<br />
Major Topics Covered in the Course<br />
1. Course Introduction<br />
158
2. S<strong>of</strong>tware Architectures, and Business Object Architecture<br />
3. OMG Object Management Architecture, and CORBA Overview<br />
4. Interface Definition Languages, and Distributed Programming<br />
5. Project Overview<br />
6. Business Object, and Use Case Modeling<br />
7. Common Distributed Services<br />
8. Directory Services<br />
9. Persistence<br />
10. Midterm Exam<br />
11. Object to Relational Mapping, and Persistence Frameworks<br />
12. Event, Notification, and Messaging Service<br />
13. Object Database Management Systems<br />
14. Transaction Service<br />
15. Object Activation<br />
16. Application Servers, and Component Frameworks<br />
17. Future Trends<br />
159
CS 450 – Introduction to Operating Systems<br />
Catalog Data:<br />
Enrollment:<br />
Textbook:<br />
Introduction to operating system concepts—including system organization for<br />
uniprocessors and multiprocessors, scheduling algorithms, process management,<br />
deadlocks, paging and segmentation, files and protection, and process coordination and<br />
communication. Prerequisites: (CS 331 and CS 350) or (CS 331 and ECE 242) or (CS<br />
401 and CS 402) or CS 403. (3-0-3) (T)<br />
Required course for CPE majors.<br />
Silberschatz, Adam, Peter Galvin, and Greg Gagne. "Operating System Concepts, 7th<br />
Edition." John Wiley & Sons, 2004.<br />
References:<br />
Coordinator:<br />
Course Outcomes:<br />
Students should be able to:<br />
Lions, John. "Lions' Commentary on UNIX, 6th Edition." Annabooks, 1996.<br />
Kernighan, Brian W., and Dennis M. Ritchie. "The C Programming Language", 2nd<br />
Edition. Prentice Hall, 1988.<br />
Dr. Xian-He Sun, Pr<strong>of</strong>essor <strong>of</strong> CS<br />
• Explain the range <strong>of</strong> requirements that a modern operating system has to address.<br />
• Define the functionality that a modern operating system must deliver to meet a particular need.<br />
• Articulate design trade<strong>of</strong>fs inherent in operating system design.<br />
• Explain the concept <strong>of</strong> a logical layer.<br />
• From the perspective <strong>of</strong> building operating systems, explain the benefits <strong>of</strong> building these layers in a<br />
hierarchical fashion.<br />
• Describe how the resources <strong>of</strong> the computer system are managed by s<strong>of</strong>tware.<br />
• Relate system state to user protection.<br />
• Justify the presence <strong>of</strong> concurrency within the framework <strong>of</strong> an operating system.<br />
• Demonstrate the potential run-time problems arising from the concurrent operation <strong>of</strong> many (possibly a<br />
dynamic number <strong>of</strong>) tasks.<br />
• Summarize the range <strong>of</strong> mechanisms (at an operating system level) that can be employed to realize<br />
concurrent systems and be able to describe the benefits <strong>of</strong> each.<br />
• Explain the different states that a task may pass through and the data structures needed to support the<br />
management <strong>of</strong> many tasks.<br />
• Compare and contrast the common algorithms used for both preemptive and non-preemptive scheduling <strong>of</strong><br />
tasks in operating systems.<br />
• Describe relationships between scheduling algorithms and application domains.<br />
• Investigate the wider applicability <strong>of</strong> scheduling in such contexts as disk I/O, networking scheduling, and<br />
project scheduling.<br />
• Introduce memory hierarchy and cost-performance trade<strong>of</strong>fs.<br />
• Explain what virtual memory is and how it is realized in hardware and s<strong>of</strong>tware.<br />
• Examine the wider applicability and relevance <strong>of</strong> the concepts <strong>of</strong> virtual entity and <strong>of</strong> caching.<br />
160
• Evaluate the trade-<strong>of</strong>fs in terms <strong>of</strong> memory size (main memory, cache memory, auxiliary memory) and<br />
processor speed.<br />
• Defend the different ways <strong>of</strong> allocating memory to tasks on the basis <strong>of</strong> the relative merits <strong>of</strong> each.<br />
• Summarize the features <strong>of</strong> an operating system used to provide protection and security, and describe the<br />
limitations <strong>of</strong> each <strong>of</strong> these.<br />
• Summarize the full range <strong>of</strong> considerations that support file systems.<br />
Program-level Outcomes supported by the above Course Outcomes:<br />
• a. An ability to apply knowledge <strong>of</strong> computing and mathematics appropriate to the discipline<br />
• h. Recognition <strong>of</strong> the need for, and an ability to engage in, continuing pr<strong>of</strong>essional development<br />
• j. An ability to apply mathematical foundations, algorithmic principles, and computer science theory in the<br />
modeling and design <strong>of</strong> computer-based systems in a way that demonstrates comprehension <strong>of</strong> the trade<strong>of</strong>fs<br />
involved in design choices<br />
• l. Be prepared to enter a top-ranked graduate program in Computer Science.<br />
Prerequisites by Topic<br />
To be successful in this course you should have substantial programming experience in a high level language (C is<br />
ideal) with direct access to the underlying operating system's system call interface. You should be, at minimum,<br />
adept at making use <strong>of</strong> the language's facilities for process control, memory management, I/O, file management, and<br />
IPC. Experience with some form <strong>of</strong> assembly language is also required.<br />
Major Topics Covered in the Course<br />
1. Processes, Threads, and Context Switching<br />
2. System Calls, Interrupts, and Exceptions<br />
3. Kernel and User Modes<br />
4. Scheduling<br />
5. IPC<br />
6. Address spaces, virtual memory and memory management<br />
7. I/O and device management<br />
8. File systems<br />
9. Concurrency<br />
161
CS 455 – Data Communications<br />
Catalog Data:<br />
Enrollment:<br />
Textbook:<br />
References:<br />
Coordinator:<br />
Course Outcomes:<br />
Students should be able to:<br />
Introduction to data communication concepts and facilities with an emphasis on protocols<br />
and interface specifications. Focuses on the lower four layers <strong>of</strong> the ISO-OSI reference<br />
model. Prerequisite: CS 450. (3-0-3) (T)<br />
Elective course for CPE majors.<br />
Halsall, Fred, Computer Networking and the Internet, Fifth Edition, Addison-Wesley,<br />
2005.<br />
none<br />
Dr. Peng-Jun Wan, Associate Pr<strong>of</strong>essor <strong>of</strong> CS<br />
• Understand the operation <strong>of</strong> multi-layered protocols, particularly the OSI and Internet models/architectures,<br />
and how standards evolve.<br />
• Describe the difference between different network topologies, including packet and circuit switched, LANs<br />
and WANs, and identify and describe networks that apply to each network type.<br />
• Understand the basic concepts <strong>of</strong> the Physical Layer, including physical media, encoding/modulation,<br />
multiplexing, error control, and their implementation in various commercial networks.<br />
• Describe the basic operation <strong>of</strong> the Data Link Layer, including connection oriented versus connectionless<br />
protocols, retransmission algorithms, windows and flow control, and their implementations in various<br />
networks.<br />
• Describe the basic operation <strong>of</strong> the network layer, including addressing and routing.<br />
• Describe the basic operation <strong>of</strong> TCP/UDP, including connection establishment and release, buffered<br />
transfer, adaptive retransmission, and congestion and flow control.<br />
• Describe LAN architectures and their implementations<br />
• Introduce Application layer concepts, including commercial Internet protocols and client-server<br />
technologies.<br />
• Introduce special issues, including security, performance, and quality <strong>of</strong> service from a technical and<br />
ethical viewpoint.<br />
• Tie in all above concepts to describe the global data telecommunications network.<br />
Program-level Outcomes supported by the above Course Outcomes:<br />
• a. An ability to apply knowledge <strong>of</strong> computing and mathematics appropriate to the discipline<br />
• c. An ability to design, implement and evaluate a computer-based system, process, component, or program<br />
to meet desired needs<br />
• i. An ability to use current techniques, skills, and tools necessary for computing practices.<br />
• j. An ability to apply mathematical foundations, algorithmic principles, and computer science theory in the<br />
modeling and design <strong>of</strong> computer-based systems in a way that demonstrates comprehension <strong>of</strong> the trade<strong>of</strong>fs<br />
involved in design choices<br />
Prerequisites by Topic<br />
• CS455 is a senior course in Computer Science and as such expects from its students a reasonable level <strong>of</strong><br />
mathematical and and computing sophistication.<br />
162
• Physical phenomena such as electrical signals are discussed but no background beyond high school physics is<br />
assumed.<br />
• Discussion <strong>of</strong> the s<strong>of</strong>tware aspects <strong>of</strong> data communications assumes a knowledge <strong>of</strong>: operating systems, data<br />
structures, and the organization <strong>of</strong> reasonably complicated programs.<br />
Major Topics Covered in the Course<br />
1. Introduction to the course, layered protocols, and networks<br />
2. Physical layer<br />
3. LANs and Medium Access Control<br />
4. Data link layer<br />
5. Network layer (IP)<br />
6. Transport layer (TCP, UDP)<br />
7. Application layer<br />
8. Special issues<br />
9. A Complete Network Overview<br />
Midterm (Review, Test), Paper / Project(s) Description & Evaluation, Final Exam Review<br />
Final Exam<br />
163
CS 458 – Information Security<br />
Catalog Data:<br />
Enrollment:<br />
An introduction to the fundamentals <strong>of</strong> computer and information security. This course<br />
focuses on algorithms and techniques used to defend against malicious s<strong>of</strong>tware. Topics<br />
include an introduction to encryption systems, operating system security, database<br />
security, network security, system threats, and risk avoidance procedures. Prerequisites:<br />
CS 425 and CS 450. (3-0-3)<br />
Elective course for CPE majors.<br />
Textbook: Security in Computing, 2nd edition. Charles P. Pleeger. Prentice Hall, 1997.<br />
References: Introduction to Computer Security, Matt Bishop, Addison Wesley, ISBN: 0-321-24744-2<br />
Coordinator:<br />
Exploiting S<strong>of</strong>tware - How to Break Code, Greg Hoglund and Gary McGraw, Addison<br />
Wesley, ISBN: 0-201-78695-8<br />
Dr. David Grossman, Associate Pr<strong>of</strong>essor <strong>of</strong> CS<br />
Course Outcomes:<br />
Students should be able to:<br />
• Provide an introduction to the security engineering discipline<br />
• Expose students to contemporary risks and attack procedures.<br />
• To provide students with an appreciation <strong>of</strong> the historical perspective in information assurance research.<br />
• Describe security engineering processes – particularly those being used in industry .<br />
• Students will be familiar with fundamental encryption algorithms<br />
• Students will be able to design an architecture to defend a specific system from attack.<br />
• The student will be able to apply standard, accepted security engineering techniques to protect a system<br />
with respect to a specific organizational security policy.<br />
• The student will demonstrate an ability to document their work to an acceptable standard.<br />
Program-level Outcomes supported by the above Course Outcomes:<br />
• c. An ability to design, implement and evaluate a computer-based system, process, component, or program<br />
to meet desired needs<br />
• e. An understanding <strong>of</strong> pr<strong>of</strong>essional, ethical, legal, security, and social issues and responsibilities<br />
• f. An ability to communicate effectively with a range <strong>of</strong> audiences.<br />
• g. An ability to analyze the local and global impact <strong>of</strong> computing on individuals, organizations and society<br />
• i. An ability to use current techniques, skills, and tools necessary for computing practices.<br />
• j. An ability to apply mathematical foundations, algorithmic principles, and computer science theory in the<br />
modeling and design <strong>of</strong> computer-based systems in a way that demonstrates comprehension <strong>of</strong> the trade<strong>of</strong>fs<br />
involved in design choices<br />
Prerequisites by Topic<br />
Operating Systems, Databases and Programming Knowledge<br />
Major Topics Covered in the Course<br />
1. Security Engineering Perspectives2. Security Historical Perspectives 3. Operating System Security4. Database<br />
Security Algorithms5. Network Security6. Security Administration<br />
7. E-Commerce Security<br />
8. Encryption types and techniques<br />
9. Prevention, Detection, and Response<br />
10. Legal and Ethical Issues<br />
164
CS 470 – Computer Architecture<br />
Catalog Data:<br />
Enrollment:<br />
Introduction to the functional elements and structures <strong>of</strong> digital computers. Detailed study<br />
<strong>of</strong> specific machines at the register transfer level illustrates arithmetic, memory, I/O, and<br />
instruction processing. Prerequisites: CS 350 and ECE 218. (2-2-3) (T) (C)<br />
Elective course for CPE majors.<br />
Textbook: "Computer Organization and Design: the hardware/s<strong>of</strong>tware interface", David A.<br />
Patterson, John L. Hennessy, edition 3/e, Morgan Kaufmann, Inc. ISBN-10:<br />
0123706068<br />
References:<br />
See www.cs.iit.edu/~cs470<br />
Coordinator:<br />
Virgil Bistriceanu, Instructor <strong>of</strong> CS<br />
Course Outcomes:<br />
Students should be able to:<br />
• Present the milestones <strong>of</strong> computer architecture history<br />
• Fundamentals <strong>of</strong> computer design<br />
o Explain the difference between various measure <strong>of</strong> performance: Latency, throughput; MIPS,<br />
MPFLOS<br />
o Comparing performance<br />
o Utilize Amdahl’s law to estimate the overall speedup<br />
o Explain the difference between a good and a bad benchmark<br />
• Assembly level machine organization<br />
o Explain the basic organization <strong>of</strong> the classical von Neumann machine and its major functional<br />
units<br />
o Explain how an instruction is executed in a classical von Neumann machine<br />
o Summarize how instructions are represented at both the machine level and in the context <strong>of</strong> a<br />
symbolic assembler<br />
o Explain different Instruction Set formats (0 (stack), 1 (accumulator), 2, and 3-addresses per<br />
instruction; Variable length vs. fixed length formats)<br />
o Design the Instruction Set for a general purpose CPU<br />
o Explain how the basic addressing modes work: Register, Memory direct, Memory indirect, Base<br />
and displacement, Indexed<br />
o Explain how base and displacement addressing is used in block-based programming languages<br />
o Write small MIPS assembly language programs<br />
o Demonstrate how fundamental high-level programming constructs are implemented at the<br />
machine-language level: If-then-else, Loops (for, while, do-until), Procedure call/return<br />
o Explain the basic concepts <strong>of</strong> interrupts and I/O operations<br />
• Datapath and Control<br />
o Design a single clock-cycle datapath for a CPU<br />
o Explain why a single clock-cycle datapath is inefficient<br />
o Re-factor a single clock-cycle datapath into a multi clock-cycle one<br />
o Explain the difference between a hardwired and a microprogrammed control unit<br />
o Design the control unit for a single clock-cycle datapath<br />
o Explain how exceptions impact the design and performance <strong>of</strong> a datapath<br />
• Pipelining<br />
o Derive the formula for the throughput <strong>of</strong> an ideal pipeline with N stages<br />
o Explain the limiting factors in building a pipeline with too many stages<br />
o Explain how data and control hazards occur and how their impact can be eliminated or reduced<br />
o Re-factor MIPS code to reduce/eliminate data and branch hazards<br />
o Explain the significance <strong>of</strong> a late commit in the pipeline<br />
165
o Explain the changes in the design and implementation <strong>of</strong> a pipelined datapath to account for<br />
exceptions<br />
o Explain branch prediction<br />
o Solve problems that require finding the real CPI <strong>of</strong> a program running on a pipelined datapath<br />
• The memory hierarchy<br />
o Identify the main types <strong>of</strong> memory technology and explain the trade-<strong>of</strong>f in using them<br />
o Explain the effect <strong>of</strong> memory latency on running time<br />
o Explain the use <strong>of</strong> memory hierarchy to reduce the effective memory latency<br />
o Explain the differences between different cache organizations: Direct mapped, Set associative<br />
Fully associative<br />
o Utilize a cache simulator and access traces to compare the performance <strong>of</strong> caches with different<br />
sizes and organizations<br />
o Explain main memory organization alternatives to improve performance: Wide-memory,<br />
Interleaving<br />
o Explain the impact <strong>of</strong> access stride to performance<br />
o Explain the virtual memory structure and mapping<br />
o Explain why and how virtual memory impacts performance and how performance can be<br />
improved. TLB<br />
o Analyze the differences between cache organizations in systems with virtual memory: Real<br />
address caches, Pipelined real caches, Virtual address cache, Restricted virtual caches, TLB<br />
addressing<br />
• I/O<br />
o Define the meaning <strong>of</strong> various I/O performance measures<br />
o Types and characteristics <strong>of</strong> I/O devices<br />
o Explain the differences between major buses (IDE, SCSI, USB, PCI): synchronous v.<br />
asynchronous, Serial v. parallel, Number <strong>of</strong> devices, Termination, Transfer rates<br />
o Design issues related to I/O system addressing: Memory-mapped I/O, Cache coherency, Snoopy<br />
controllers, DMA I/O configurations<br />
o Explain the sources <strong>of</strong> latency in a I/O subsystem<br />
Program-level Outcomes supported by the above Course Outcomes:<br />
• a. An ability to apply knowledge <strong>of</strong> computing and mathematics appropriate to the discipline<br />
• c. An ability to design, implement and evaluate a computer-based system, process, component, or program<br />
to meet desired needs<br />
• f. An ability to communicate effectively with a range <strong>of</strong> audiences.<br />
• j. An ability to apply mathematical foundations, algorithmic principles, and computer science theory in the<br />
modeling and design <strong>of</strong> computer-based systems in a way that demonstrates comprehension <strong>of</strong> the trade<strong>of</strong>fs<br />
involved in design choices<br />
Prerequisites by Topic<br />
• Basic understanding <strong>of</strong> a von-Neumann computer organization<br />
• The ability to explain the differences between a high level instruction and a compiled instruction<br />
• Knowledge <strong>of</strong> the steps involved in the execution <strong>of</strong> an instruction<br />
• Solid understanding <strong>of</strong> basic building blocks for a datapath: ALU, register, counter, multiplexer, decoder,<br />
glue logic<br />
• Working knowledge <strong>of</strong> Boolean logic<br />
Major Topics Covered in the Course<br />
1. Overview and history <strong>of</strong> computer architecture<br />
2. Fundamentals <strong>of</strong> computer design<br />
3. Basic organization <strong>of</strong> a von Neumann computer<br />
4. Instruction Set design<br />
5. Datapath and Control<br />
6. Pipelining<br />
7. The memory hierarchy<br />
8. I/O<br />
166
CS 480 – Artificial Intelligence: Planning and Control<br />
Catalog Data:<br />
Enrollment:<br />
Textbook:<br />
References:<br />
Coordinator:<br />
Introduction to computational methods <strong>of</strong> intelligent control <strong>of</strong> autonomous agents, and<br />
the use <strong>of</strong> programming paradigms that support development <strong>of</strong> flexible and reactive<br />
systems. These include heuristic search, knowledge representation, constraint<br />
satisfaction, probabilistic reasoning, decision-theoretic control, and sensor interpretation.<br />
Particular focus will be places on real-world application <strong>of</strong> the material. (3-0-3).<br />
Prerequisite: CS 331 or CS 401 or CS 403. Corequisite: MATH 474 or equivalent. (3-0-<br />
3) (T)<br />
Elective course for CPE majors.<br />
Stuart Russell and Peter Norvig, Artificial Intelligence: A Modern Approach, Prentice<br />
Hall Publishers, 1 st Edition, ©1995, ISBN-0131038052<br />
LISP References - textbook WWW page http://www.cs.berkeley.edu/~russell/aima.html<br />
Dr. Shlomo Argamon, Associate Pr<strong>of</strong>essor <strong>of</strong> CS<br />
Course Outcomes:<br />
Students should be able to:<br />
• Describe the Turing test.<br />
• Explain the concepts <strong>of</strong> optimal reasoning, human-like reasoning, optimal behavior, human-like behavior.<br />
• Develop "PAGE" descriptions <strong>of</strong> an agents and determine which agent type is applicable to a problem.<br />
• Solve problems in a functional programming language (LISP)<br />
• Formulate an efficient problem space for a problem expressed in English by expressing that problem space<br />
in terms <strong>of</strong> states, operators, an initial state, and a description <strong>of</strong> a goal state.<br />
• Describe the problem <strong>of</strong> combinatorial explosion and its consequences.<br />
• Select an appropriate brute-force search algorithm for a problem, implement it, and characterize its time<br />
and space complexities.<br />
• Select an appropriate heuristic search algorithm for a problem and implement it by designing the necessary<br />
heuristic evaluation function.<br />
• Describe under what conditions heuristic algorithms guarantee optimal solution.<br />
• Implement minimax search with alpha-beta pruning for some two-player game.<br />
• Formulate a problem specified in English as a constraint-satisfaction problem and implement it using a<br />
chronological backtracking algorithm.<br />
• Explain the operation <strong>of</strong> the resolution technique for theorem proving.<br />
• Apply Bayes theorem to determine conditional probabilities.<br />
• Explain the distinction between monotonic and non-monotonic inference.<br />
• Explain the differences among the three main styles <strong>of</strong> learning: supervised, reinforcement, and<br />
unsupervised.<br />
• Implement simple algorithms for supervised learning, reinforcement learning, and unsupervised learning.<br />
• Determine which <strong>of</strong> the three learning styles is appropriate to a particular problem domain.<br />
• Compare and contrast each <strong>of</strong> the following techniques, providing examples <strong>of</strong> when each strategy is<br />
superior: decision trees, neural networks, and belief networks. Explain the nearest neighbor algorithm and<br />
its place within learning theory.<br />
Program-level Outcomes supported by the above Course Outcomes:<br />
• a. An ability to apply knowledge <strong>of</strong> computing and mathematics appropriate to the discipline<br />
• i. An ability to use current techniques, skills, and tools necessary for computing practices.<br />
• j. An ability to apply mathematical foundations, algorithmic principles, and computer science theory in the<br />
modeling and design <strong>of</strong> computer-based systems in a way that demonstrates comprehension <strong>of</strong> the trade<strong>of</strong>fs<br />
involved in design choices<br />
Prerequisites by Topic<br />
• Programming including recursion<br />
• Discrete mathematics and data structures<br />
167
• Basic analysis <strong>of</strong> algorithms<br />
Major Topics Covered in the Course<br />
1. Introduction, History <strong>of</strong> AI, Intelligent agents<br />
2. Functional Programming (LISP)<br />
3. Uninformed search, Informed search, Constraint satisfaction, Game-playing<br />
4. Logical agents, Propositional logic, First-order logic, Inference in first-order logic<br />
5. Uncertainty, Probability, Belief networks, Belief network inference, Optimal decisions under uncertainty, Optimal<br />
sequential decisions<br />
6. Learning, Neural networks, Bayesian learning<br />
168
CS 481 – Artificial Intellegence: Language Understanding<br />
Catalog Data:<br />
Enrollment:<br />
Textbook:<br />
References:<br />
Coordinator:<br />
Theory and programming paradigms that enable systems to understand human language<br />
texts and extract useful information and knowledge. For example, extraction <strong>of</strong><br />
structured event representations from news stories or discovering new research<br />
hypotheses by analyzing thousands <strong>of</strong> medical research articles. The course covers a<br />
variety <strong>of</strong> text analysis and text mining methods, with an emphasis on building working<br />
systems. Connections to information retrieval, data mining, and speech recognition will<br />
be discussed. (3-0-3) Prerequisite: MATH474 and (CS331 or CS401 or CS403)<br />
Elective course for CPE majors.<br />
none<br />
none<br />
Dr. Shlomo Argamon, Associate Pr<strong>of</strong>essor <strong>of</strong> CS<br />
Course Outcomes:<br />
Students should be able to:<br />
• Build systems that analyze unstructured natural language texts and extract useful information from them.<br />
• Explain various natural language analysis methods, with a focus on hands-on experimentation and<br />
exploring real-world applications.<br />
• Explain a variety <strong>of</strong> existing text analysis and text mining systems.<br />
• Explain and implement the overarching text analysis task <strong>of</strong> information extraction including:<br />
o Part-<strong>of</strong>-speech tagging<br />
o Chunking<br />
o Named-entity recognition<br />
o Parsing<br />
o Co-reference analysis<br />
• Explain and understand the application <strong>of</strong> the following algorithms and techniques:<br />
o Hidden markov models<br />
o Instance-based learning<br />
o Lexical similarity measures<br />
o Semantic frame models<br />
o Clustering and classification learning techniques<br />
o Lexical chain analysis.<br />
Program-level Outcomes supported by the above Course Outcomes:<br />
• a. An ability to apply knowledge <strong>of</strong> computing and mathematics appropriate to the discipline<br />
• c. An ability to design, implement and evaluate a computer-based system, process, component, or program<br />
to meet desired needs<br />
• f. An ability to communicate effectively with a range <strong>of</strong> audiences.<br />
• j. An ability to apply mathematical foundations, algorithmic principles, and computer science theory in the<br />
modeling and design <strong>of</strong> computer-based systems in a way that demonstrates comprehension <strong>of</strong> the trade<strong>of</strong>fs<br />
involved in design choices<br />
Prerequisites by Topic<br />
Algorithms, Probability<br />
Major Topics Covered in the Course<br />
1. Introduction and linguistic concepts, Practical issues in text processing, Overview <strong>of</strong> applications and<br />
architectures<br />
2. Part-<strong>of</strong>-speech (POS) tagging<br />
3. Shallow parsing<br />
4. Link parsing<br />
5. Dependency<br />
169
6. Lexical semantics<br />
7. Named-Entity Recognition<br />
8. Information Extraction<br />
9. Text Summarization<br />
10. Real-World Applications and Systems<br />
11. Text Classification<br />
170
CS 487 – S<strong>of</strong>tware Engineering<br />
Catalog Data:<br />
Enrollment:<br />
Textbook:<br />
References:<br />
Coordinator:<br />
<strong>Study</strong> <strong>of</strong> the principles and practices <strong>of</strong> s<strong>of</strong>tware engineering. Topics include s<strong>of</strong>tware<br />
quality concepts, process models, s<strong>of</strong>tware requirements analysis, design methodologies,<br />
s<strong>of</strong>tware testing, and s<strong>of</strong>tware maintenance. Hands-on experience building a s<strong>of</strong>tware<br />
system using the waterfall life cycle model. Students working in teams develop all life<br />
cycle deliverables: requirements document, specification and design documents, system<br />
code, test plan, and user manuals. Prerequisite: CS 331 or CS 401 or CS 403. (3-0-3) (T)<br />
(C)<br />
Required course for CPE majors.<br />
R. Pressman, S<strong>of</strong>tware Engineering - A Practitioner's Approach, McGraw Hill, fifth<br />
edition, copyright 2001, ISBN -0073655783<br />
none<br />
Dr. Bogdan Korel, Associate Pr<strong>of</strong>essor <strong>of</strong> CS<br />
Course Outcomes:<br />
Students should be able to:<br />
• Understand and explain s<strong>of</strong>tware development as a series <strong>of</strong> engineering activities, and processes.<br />
• Demonstrate s<strong>of</strong>tware development team-working skills.<br />
• Analyze client/user needs.<br />
• Select an appropriate life cycle and process model for development <strong>of</strong> a s<strong>of</strong>tware product.<br />
• Explain the importance <strong>of</strong> s<strong>of</strong>tware quality evaluation activities.<br />
• Develop a series <strong>of</strong> s<strong>of</strong>tware life-cycle deliverables.<br />
• Develop representations/models and descriptions <strong>of</strong> an evolving s<strong>of</strong>tware product for inclusion in a<br />
requirements specification document.<br />
• Build a multi-level design model and evaluate s<strong>of</strong>tware design alternatives<br />
• Design, execute, and log multi-level s<strong>of</strong>tware tests.<br />
• Describe the role that tools can play in the s<strong>of</strong>tware life cycle.<br />
• Communicate, verbally and in writing, the deliverables <strong>of</strong> a s<strong>of</strong>tware development project.<br />
Program-level Outcomes supported by the above Course Outcomes:<br />
• b. An ability to analyze a problem, and identify and define the computing requirements appropriate to its<br />
solution<br />
• c. An ability to design, implement and evaluate a computer-based system, process, component, or program<br />
to meet desired needs<br />
• d. An ability to function effectively on teams to accomplish a common goal<br />
• e. An understanding <strong>of</strong> pr<strong>of</strong>essional, ethical, legal, security, and social issues and responsibilities<br />
• f. An ability to communicate effectively with a range <strong>of</strong> audiences<br />
• h. Recognition <strong>of</strong> the need for, and an ability to engage in, continuing pr<strong>of</strong>essional development<br />
• i. An ability to use current techniques, skills, and tools necessary for computing practices.<br />
• k. An ability to apply design and development principles in the construction <strong>of</strong> s<strong>of</strong>tware systems <strong>of</strong> varying<br />
complexity<br />
• l. Be prepared to enter a top-ranked graduate program in Computer Science.<br />
Prerequisites by Topic<br />
Experience in developing basic programs in any computer language<br />
Have an understanding <strong>of</strong>, and be able to apply, the essential data structures and algorithms used in computer<br />
science.<br />
Major Topics Covered in the Course<br />
1. The problem statement, developer-client interactions. Overview <strong>of</strong> s<strong>of</strong>tware engineering - life cycle models,<br />
s<strong>of</strong>tware deliverables.<br />
2. S<strong>of</strong>tware development team concepts, team organization, team structures. Project management, the project plan.<br />
171
3. Requirements analysis, methods, models. For example, structured analysis with use <strong>of</strong> data flow diagrams, data<br />
dictionary, entity-relationship diagrams.<br />
4. S<strong>of</strong>tware specification, methods, and models. For example, structured analysis with use <strong>of</strong> process specifications,<br />
state transition diagrams.<br />
5. Preliminary design concepts, methods, and models. For example, structured analysis with use <strong>of</strong> structure charts,<br />
procedural abstractions. Concepts <strong>of</strong> top down decomposition, bottom-up composition, abstraction, coupling,<br />
cohesion, modularity, information hiding, reuse, architectural styles.<br />
6. Detailed design concepts, methods and models. For example, structured analysis with use <strong>of</strong> PDL (Program<br />
Design Language. Algorithm, and data structure design.<br />
7. Object concepts. Object-oriented analysis, nature <strong>of</strong> the approach, models. For example, Coad/Yourdon analysis<br />
model with use <strong>of</strong> class diagrams, class hierarchies, attribute, and service specifications. Role <strong>of</strong> use cases. Use <strong>of</strong><br />
modeling languages such as UML. Object-oriented design approaches, for example Coad/Yourdon's 4-layer objectoriented<br />
design model.<br />
8. S<strong>of</strong>tware implementation, transition from design to code.<br />
9. S<strong>of</strong>tware testing and evaluation. Black and white box test design strategies and related techniques, testing at<br />
multiple levels, regression test.<br />
10. S<strong>of</strong>tware quality, reviews, and metrics.<br />
11. S<strong>of</strong>tware maintenance and re-engineering. Types <strong>of</strong> maintenance, role <strong>of</strong> configuration<br />
management, legacy code, tool support for maintenance.<br />
12. Selected Topics<br />
172
MMAE 200: Statics and Dynamics<br />
Catalog Data:<br />
Equilibrium concepts. Statics <strong>of</strong> a particle. Statics <strong>of</strong> a system <strong>of</strong> particles and rigid bodies. Distributed forces,<br />
centroids and center <strong>of</strong> gravity. Friction. Kinectics <strong>of</strong> particles: Newton’s Laws <strong>of</strong> motion, energy and momentum.<br />
Kinematics and <strong>of</strong> particles. Dynamics <strong>of</strong> rotating bodies. Credit for this course is not applicable to BSME,<br />
BSMSE and BSAE programs.<br />
Prerequisites: PHYS 123, MATH 152, CS 105. Corequisite: MATH 252.<br />
Text: Engineering Mechanics: Statics & Dynamics, Hibbeler, 11th Edition<br />
Course Webpage: N/A<br />
Course Objectives:<br />
To introduce the concept <strong>of</strong> static equilibrium as applied to simple structural problems and provide an<br />
understanding <strong>of</strong> distributed forces, center <strong>of</strong> gravity, and centroids. To introduce the concept <strong>of</strong> dynamic motion as<br />
applied to simple moving objects, including effects <strong>of</strong> friction, centrifugal forces, and analysis <strong>of</strong> motion from an<br />
energy balance perspective and momentum perspective.<br />
Topics:<br />
1. Force Vectors<br />
2. Equilibrium <strong>of</strong> a Particle<br />
3. Force System Resultants<br />
4. Midterm 1<br />
5. Equilibrium <strong>of</strong> a Rigid Body<br />
6. Friction and Center <strong>of</strong> Gravity<br />
7. Kinematics <strong>of</strong> a Particle<br />
8. Kinetics <strong>of</strong> a Particle Force and Acceleration<br />
9. Midterm 2<br />
10. Kinetics <strong>of</strong> a Particle: Work and Energy<br />
11. Kinetics <strong>of</strong> a Particle: Impulse and Momentum<br />
12. Final Exam (Comprehensive)<br />
Computer Usage:<br />
Limited to excel spreadsheets and plots<br />
Relationship <strong>of</strong> Course to ABET Outcomes:<br />
ABET<br />
Criterion Program Outcome Status<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 4<br />
3b Design and conduct experiments 0<br />
3b Analyze and interpret data 0<br />
3c Design system, component, or process to meet needs 3<br />
3d Function on multi-disciplinary teams 0<br />
3e Identify, formulate, and solve engineering problems 3<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility 0<br />
3g Communicate effectively 1<br />
3h Broad education 4<br />
3i Recognize need for life-long learning 2<br />
3j Knowledge <strong>of</strong> contemporary issues 0<br />
3k Use techniques, skills, and tools in engineering practice 0<br />
Prepared by: Roberto Cammino, Fall 2007<br />
173
MMAE 320: Thermodynamics<br />
Catalog Description:<br />
Introduction to thermodynamics including properties <strong>of</strong> matter; First Law <strong>of</strong> Thermodynamics and its use in<br />
analyzing open and closed systems; limitations <strong>of</strong> the Second Law <strong>of</strong> Thermodynamics; entropy.<br />
Prerequisites:MATH 251, PHYS 224, CHEM 124. Corequisite: MATH 252. (3-0-3)<br />
Enrollment:<br />
One <strong>of</strong> two options for an engineering science course for CPE and EE majors (the<br />
other option is MMAE 200).<br />
Textbook: Cengel and Boles, Thermodynamics<br />
Objectives: A student successfully completing MMAE 320 Thermodynamics should demonstrate<br />
adequate pr<strong>of</strong>iciency in and understanding <strong>of</strong> the following concepts: Equilibrium thermodynamic<br />
states and properties <strong>of</strong> solids, liquids, and gases; state postulate and the Zeroth Law <strong>of</strong><br />
Thermodynamics; forms <strong>of</strong> energy, heat transfer and work as energy transfer mechanisms, and the<br />
conservation <strong>of</strong> energy; details <strong>of</strong> P-v-T surfaces, P-T and P-v plots; ideal gas behavior and the<br />
Ideal Gas Equation <strong>of</strong> State, as well as other equations <strong>of</strong> state; non-ideal gas behavior and<br />
compressibility effects; proper use <strong>of</strong> steam tables to determine property values; quality; constant<br />
volume and constant pressure specific heats; 1 st Law <strong>of</strong> Thermodynamics for Closed Systems; 1 st<br />
Law <strong>of</strong> Thermodynamics for Control Volumes; Kelvin-Planck and Clausius statements <strong>of</strong> the 2 nd Law<br />
<strong>of</strong> Thermodynamics; thermal reservoirs, heat engines, and thermal efficiency; heat pumps and<br />
coefficient <strong>of</strong> performance; entropy as a thermodynamics property; entropy generation and its<br />
relation to thermodynamic cycle efficiency analysis.<br />
Prerequisites by topic: CS 105, MATH 251, MATH 252, PHYS 224, CHEM 124<br />
Topics:<br />
Schedule: 1 hr 15 minutes, twice each week.<br />
Contribution to Pr<strong>of</strong>essional Component:<br />
Relationship <strong>of</strong> Course to ABET Outcomes:<br />
ABET<br />
Criterion Program Outcome Status<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 3<br />
3b Design and conduct experiments -<br />
3b Analyze and interpret data -<br />
3c Design system, component, or process to meet needs 1<br />
3d Function on multi-disciplinary teams -<br />
3e Identify, formulate, and solve engineering problems 3<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility 1<br />
3g Communicate effectively -<br />
3h Broad education -<br />
3i Recognize need for life-long learning -<br />
3j Knowledge <strong>of</strong> contemporary issues -<br />
3k Use techniques, skills, and tools in engineering practice -<br />
Prepared by: Candace Wark, December 2001<br />
174
MATH 151 – Calculus I<br />
Course Description from Bulletin: Analytic geometry. Functions and their graphs. Limits and continuity.<br />
Derivatives <strong>of</strong> algebraic, trigonometric and inverse trigonometric functions. Applications <strong>of</strong> the derivative.<br />
Introduction to integrals and their applications. (4-1-5) (C)<br />
Enrollment: Required for AM majors and all engineering majors<br />
Textbook(s): Stewart, Calculus, 6 th ed., Brooks/Cole.<br />
Other required material: Maple<br />
Prerequisites: Must pass departmental pre-calculus placement exam<br />
Objectives:<br />
1. Students will understand and be able to apply the concept <strong>of</strong> limit, continuity, differentiation, and<br />
integration (all single variable).<br />
2. Students will learn to distinguish between definitions and theorems and will be able to use them<br />
appropriately.<br />
3. Students will know and be able to apply laws/formulas to evaluate limits, derivatives, and (some) integrals.<br />
4. Students will interpret the basic calculus concepts from both algebraic and geometric viewpoints.<br />
5. Students will be able to use calculus in basic applications, including related rate problems, linear<br />
approximation, curve sketching, optimization, Newton's method, volume and area.<br />
6. Students will use Maple for visualization and calculating exact and approximate solutions to problems.<br />
7. Students will do a writing project.<br />
Lecture schedule: Three 67 minute lectures and one 75 minute TA session (Maple computer lab and recitation) per<br />
week<br />
Course Outline:<br />
Hours<br />
1. Elementary analytic geometry, functions, trigonometry 3<br />
2. Limits, continuity, tangent lines 7<br />
3. The derivative, differentiation <strong>of</strong> algebraic and trigonometric functions, 18 implicit functions,<br />
related rates <strong>of</strong> change<br />
4. Applications <strong>of</strong> the derivative 6<br />
5. Theory <strong>of</strong> inverse functions and their derivatives, inverse trigonometric 3 functions and their<br />
derivatives<br />
6. Anti-derivatives, definite and indefinite integrals, Fundamental 13 Theorem <strong>of</strong> Calculus<br />
7. Applications <strong>of</strong> the Integral 5<br />
Assessment: Homework/Quizzes 10-20%<br />
Maple Lab/Recitation 5-15%<br />
Tests 40-50%<br />
Final Exam 25-30%<br />
Syllabus prepared by: Michael Pelsmajer and Dave Maslanka<br />
Date: 01/10/06 (Last updated: Oct.23, 2007)<br />
175
MATH 152 – Calculus II<br />
Course Description from Bulletin: Transcendental functions and their calculus. Integration techniques.<br />
Applications <strong>of</strong> the integral. Indeterminate forms and improper integrals. Polar coordinates. Numerical<br />
series and power series expansions. (4-1-5) (C)<br />
Enrollment: Required for AM majors and all engineering majors<br />
Textbook(s): Stewart, Calculus, 6 th ed., Brooks/Cole<br />
Other required material: Maple<br />
Prerequisites: Grade <strong>of</strong> "C" or better in MATH 151 or MATH 149 or Advanced Placement<br />
Objectives:<br />
8. The student should acquire a sound understanding <strong>of</strong> the common transcendental functions.<br />
9. The student should become pr<strong>of</strong>icient in the basic techniques <strong>of</strong> integration for the evaluation <strong>of</strong> definite,<br />
indefinite, and improper integrals.<br />
10. The student should learn to solve first-order separable and linear differential equations with initial values.<br />
11. The student should learn parametric curves and polar curves and their calculus.<br />
12. The student should learn infinite series, power series and Taylor polynomial and series, and their<br />
convergence properties.<br />
13. The student should be able to utilize the computer algebra system Maple to explore mathematical concepts,<br />
illustrate them graphically, and solve problems numerically or symbolically.<br />
14. The student should become a more effective communicator by developing his/her technical writing skills in<br />
the preparation <strong>of</strong> several Maple lab reports.<br />
Lecture schedule: Three 67 minute lectures and one 75 minute TA session (Maple computer lab and recitation) per<br />
week<br />
Course Outline:<br />
Hours<br />
8. Inverse Functions and their derivatives; Exponential and logarithmic 12 functions; Indeterminate<br />
forms and L’Hospital’s rule<br />
9. Techniques <strong>of</strong> integration; Improper integrals 12<br />
10. Differential equations: Euler’s method; 1 st order separable DE’s, 8 exponential growth and<br />
decay; The logistic equation; 1 st order linear DE’s<br />
11. Parametric equations and polar coordinates for plane curves 10<br />
12. Sequences; Numerical series; Convergence tests; Power series; Taylor 12 series; Applications <strong>of</strong><br />
power/Taylor series<br />
13. Complex numbers 3<br />
Assessment: Homework/Quizzes 10-20%<br />
Maple Lab/Recitation 5-15%<br />
Tests 40-50%<br />
Final Exam 25-30%<br />
Syllabus prepared by: Xia<strong>of</strong>an Li and Dave Maslanka<br />
Date: 12/15/05 (Last updated: Oct.23, 2007)<br />
176
MATH 251 – Multivariate and Vector Calculus<br />
Course Description from Bulletin: Analytic geometry in three-dimensional space. Partial derivatives. Multiple<br />
integrals. Vector analysis. Applications. (4-0-4)<br />
Enrollment: Required for AM majors and some engineering majors<br />
Textbook(s): Stewart, Calculus, 5 th ed., Brooks/Cole<br />
Other required material: None<br />
Prerequisites: Math 152<br />
Objectives:<br />
15. Students will learn to solve problems in three-dimensional space by utilizing vectors and vector-algebraic<br />
concepts. This includes representation in Cartesian, cylindrical and spherical coordinates.<br />
16. Students will be able to describe the path, velocity and acceleration <strong>of</strong> a moving body in terms <strong>of</strong> vectorvalued<br />
functions, and to apply the derivative and integral operators on space curves in order to characterize<br />
the length, curvature and torsion <strong>of</strong> a smooth curve.<br />
17. Students will learn to extend the notion <strong>of</strong> continuity and differentiability to functions <strong>of</strong> several variables,<br />
and be able to interpret partial and directional derivatives as rates <strong>of</strong> change.<br />
18. Students will be able to use partial differentiation to solve optimization problems. This includes being able<br />
to solve constrained optimization problems via Lagrange multipliers.<br />
19. Students will learn to extend the notion <strong>of</strong> a definite integral from a one-dimensional to an n-dimensional<br />
space, and be able to describe and evaluate double and triple integrals in Cartesian and curvilinear<br />
coordinates.<br />
20. Students will be able to work with vector-valued functions <strong>of</strong> several variables (i.e., vector fields) and be<br />
able to compute line and surface integrals.<br />
21. Students will be able to use the theorems <strong>of</strong> Green, Stokes, and Gauss to solve classical physics problems.<br />
Lecture schedule: 3 75 minute lectures per week<br />
Course Outline:<br />
Hours<br />
14. Vectors and the Geometry <strong>of</strong> Space 10<br />
a. Vectors in the plane<br />
b. Cartesian coordinates and vectors in space<br />
c. Dot products and cross products<br />
d. Lines and planes in space<br />
e. Cylinders and quadric surfaces<br />
f. Cylindrical and spherical coordinates<br />
15. Vector Functions and their Derivatives 6<br />
a. Vector-valued functions and motion in space<br />
b. Space curves<br />
c. Arc length and the unit tangent vector<br />
16. Partial Derivatives 12<br />
a. Functions <strong>of</strong> several variables<br />
b. Limits and continuity, partial derivatives, differentiability<br />
c. Linearization and differentials<br />
d. Chain rule<br />
e. Gradient vector, tangent planes, directional derivatives<br />
f. Extreme values and saddle points,<br />
g. Lagrange multipliers<br />
h. Taylor’s formula<br />
17. Multiple Integrals 13<br />
a. Double integrals<br />
b. Areas, moments, and centers <strong>of</strong> mass<br />
177
c. Double integrals in polar form<br />
d. Triple integrals in rectangular coordinates<br />
e. Masses and moments in 3-D<br />
f. Triple integrals in cylindrical and spherical coordinates<br />
g. Substitutions in multiple integrals<br />
18. Vector Calculus 13<br />
a. Integration in vector fields<br />
b. Line integrals<br />
c. Vector fields<br />
d. Work, circulation, and flux<br />
e. Path independence, potential functions, and conservative fields<br />
f. Green’s theorem in the plane<br />
g. Surface area and surface integrals<br />
h. Parameterized surfaces<br />
i. Stokes’ theorem<br />
j. Divergence theorem and a unified theory<br />
Assessment: Homework/Quizzes 10-25%<br />
Tests 40-50%<br />
Final Exam 25-30%<br />
Syllabus prepared by: Andre Adler and Greg Fasshauer<br />
Date: 12/15/05<br />
178
MATH 252 – Introduction to Differential Equations<br />
Course Description from Bulletin: Linear differential equations <strong>of</strong> order one. Linear differential equations <strong>of</strong><br />
higher order. Series solutions <strong>of</strong> linear DE. Laplace transforms and their use in solving linear DE.<br />
Introduction to matrices. Systems <strong>of</strong> linear differential equations.(4-0-4)<br />
Enrollment: Required for AM majors and some engineering majors<br />
Textbook(s): Zill, Differential Equations, 8 th ed., Brooks/Cole<br />
Other required material: None<br />
Prerequisites: Math 152<br />
Objectives:<br />
22. Students will be able to classify and solve first-order DEs and IVPs <strong>of</strong> various types: especially separable,<br />
exact, linear, and others reducible to them.<br />
23. Students will be able to solver higher-order linear DEs and IVPs having constant coefficients via the<br />
method <strong>of</strong> undetermined coefficients and variation <strong>of</strong> parameter.<br />
24. Students will be able to obtain power series solutions (about regular points) <strong>of</strong> second-order linear DEs<br />
having variable coefficients.<br />
25. Students will be able to manipulate Laplace transforms and to solve linear IVPs using them.<br />
26. Students will be able to solve systems <strong>of</strong> first-order linear DEs.<br />
27. Students will be able to solve a variety <strong>of</strong> physical problems modeled by first-order and linear second-order<br />
IVPs.<br />
Lecture schedule: 3 75 minute lectures per week<br />
Course Outline:<br />
Hours<br />
19. Linear Equation <strong>of</strong> Higher Order 12<br />
a. Initial-value and boundary-value problems<br />
b. Linear dependence and linear independence<br />
c. Solutions <strong>of</strong> linear equations<br />
d. Homogeneous linear equations with constant coefficients<br />
e. Undetermined coefficients<br />
f. Variation <strong>of</strong> parameters<br />
20. Application 4<br />
a. Free undamped motion<br />
b. Free damped motion<br />
c. Driven motion<br />
d. Power series solutions, solutions about ordinary points<br />
21. Laplace Transforms 15<br />
a. Laplace transform and inverse transform<br />
b. Translations theorems and derivatives <strong>of</strong> a transform<br />
c. Transforms <strong>of</strong> derivatives, integrals and periodic functions<br />
d. Applications<br />
e. Systems <strong>of</strong> linear equations<br />
22. Introduction to Matrices 12<br />
a. Basic definitions and theory<br />
b. Gaussian elimination<br />
c. Eigenvalues<br />
23. Systems <strong>of</strong> Linear First-Order Differential Equations 12<br />
a. Preliminary theory<br />
b. Homogeneous linear systems<br />
c. Distinct real eigenvalues, repeated eigenvalues, complex eigenvalues<br />
d. Variation <strong>of</strong> parameters<br />
179
Assessment: Homework 10-25%<br />
Quizzes/Tests 40-50%<br />
Final Exam 25-30%<br />
Syllabus prepared by: Andre Adler and Warren Edelstein<br />
Date: 12/15/05<br />
180
MATH 333 – Matrix Algebra and Complex Variables<br />
Course Description from Bulletin: Vectors and matrices; matrix operations, transpose, rank, inverse; determinants;<br />
solution <strong>of</strong> linear systems; eigenvalues and eigenvectors. The complex plane; analytic functions; contour<br />
integrals; Laurent series expansions; singularities and residues.(3-0-3)<br />
Enrollment: Not applicable for Math majors; Required course for EE majors; Math elective for CPE majors<br />
Textbook(s): D. G. Zill and M. R. Cullen, Advanced Engineering Mathematics, 3 rd ed., Jones and Bartlett.<br />
Other required material:<br />
Prerequisites: MATH 251<br />
Objectives:<br />
28. Students will be able to evaluate, determine domains, and ranges (conformal mappings <strong>of</strong> regions),<br />
compute derivatives, anti-derivatives <strong>of</strong> standard complex functions.<br />
29. Students will be able to determine harmonic conjugates, check for analyticity by Cauchy-Riemann<br />
equations.<br />
30. Students will be able to expand analytic functions in Taylor and Laurent series.<br />
31. Students will be able to apply Cauchy's Theorem and the Cauchy Integral Formulas to evaluate complex<br />
integrals.<br />
32. Students will be able to find residues, zeros, and evaluate real integrals <strong>of</strong> rational and trigonometric<br />
functions by Cauchy’s residue theorem.<br />
33. Students will be able to solve systems <strong>of</strong> equations by Gauss-Jordan elimination, compute nullity and rank<br />
<strong>of</strong> linear transformations/matrices.<br />
34. Students will be able to represent linear transformations by matrices and vice-versa.<br />
35. Students will be able to compute eigenvalues and eigenvectors <strong>of</strong> a matrix.<br />
Lecture schedule: 3 50 minute (or 2 75 minute) lectures per week<br />
Course Outline:<br />
Hours<br />
24. Linear Algebra: Matrices, Vectors, Determinants 8<br />
a. Basic concepts, matrix addition, scalar multiplication, matrix multiplication<br />
b. Inverse <strong>of</strong> a matrix<br />
c. Determinants<br />
d. Systems <strong>of</strong> linear equations<br />
e. Gauss elimination<br />
f. Eigenvalues, eigenvectors, and applications<br />
g. Symmetric, skew-symmetric, and orthogonal matrices<br />
h. Hermitian, skew-Hermitian and unitary matrices<br />
i. Properties <strong>of</strong> eigenvalues, diagonalization<br />
25. Complex Numbers, Complex Analytic Functions 12<br />
a. Complex numbers, complex plane, polar form<br />
b. Powers and roots<br />
c. Curves and regions in the complex plane<br />
d. Limit, derivative, and analytic functions<br />
e. Cauchy-Riemann equations<br />
f. Exponential functions, trigonometric functions, hyperbolic functions<br />
g. Logarithm, general power<br />
26. Complex Integration 9<br />
a. Line integrals in the complex plane<br />
b. Cauchy’s integral theorem<br />
c. Existence <strong>of</strong> indefinite integrals<br />
d. Cauchy’s integral formula<br />
e. Derivatives <strong>of</strong> analytic functions<br />
181
27. Power Series, Taylor Series, Laurent Series 7<br />
a. Review <strong>of</strong> power series<br />
b. Taylor series<br />
c. Uniform convergence<br />
d. Laurent series<br />
e. Singularities and zeroes<br />
28. Residue Integration Method 6<br />
a. Residues<br />
b. Residue theorem<br />
c. Evaluation <strong>of</strong> real integrals<br />
Assessment: Homework 20-30%<br />
Quizzes/Tests 40-50%<br />
Final Exam 20-30%<br />
Syllabus prepared by: Warren Edelstein and Greg Fasshauer<br />
Date: 9/18/06<br />
182
MATH 350 – Introduction to Computational Mathematics<br />
Course Description from Bulletin: <strong>Study</strong> and design <strong>of</strong> mathematical models for the numerical solution <strong>of</strong><br />
scientific problems. This includes numerical methods for the solution <strong>of</strong> linear and nonlinear systems, basic<br />
data fitting problems, and ordinary differential equations. Robustness, accuracy, and speed <strong>of</strong> convergence<br />
<strong>of</strong> algorithms will be investigated including the basics <strong>of</strong> computer arithmetic and round-<strong>of</strong>f errors. Same<br />
as MMAE 350. (3-0-3).<br />
Enrollment: Required for AM and elective for other majors.<br />
Textbook(s): Cleve Moler, Numerical Computing with MATLAB, SIAM.<br />
S. C. Chapra & R. P. Canale, Numerical Methods for Engineers, 5th Edition, McGraw Hill, 2006.<br />
Other required material: Matlab or Maple<br />
Prerequisites: Calculus, Differential Equations, basic Linear Algebra as acquired in MATH251, MATH 252,<br />
MATH 332 or MATH 333, and CS 105 or CS 115, or consent <strong>of</strong> instructor<br />
Objectives:<br />
1. Students should gain an appreciation for the role <strong>of</strong> computers in mathematics, science and engineering as a<br />
complement to analytical and experimental approaches.<br />
2. Students should have a basic knowledge <strong>of</strong> numerical approximation techniques, know how, why, and<br />
when these techniques can be expected to work, and have ability to program simple numerical algorithms<br />
in Matlab or other programming environments.<br />
3. Students should have learned what computational mathematics is about: designing algorithms to solve<br />
scientific problems that cannot be solved exactly; investigating the robustness and the accuracy <strong>of</strong> the<br />
algorithms and/or how fast the numerical results from the algorithms converge to the true solutions. This<br />
includes a basic understanding <strong>of</strong> computer arithmetic and round-<strong>of</strong>f errors and how to avoid loss <strong>of</strong><br />
significance in numerical computations.<br />
4. Students should be able to use and evaluate alternative numerical methods for the solution <strong>of</strong> linear and<br />
nonlinear systems, basic data fitting problems, and ordinary differential equations.<br />
5. Students should be able to make appropriate assumptions to come up with a mathematical model that<br />
accurately reflects an appropriate scientific theory, and that is amenable to solution with a computer.<br />
6. Students should appreciate the importance <strong>of</strong> written and graphical communication.<br />
Lecture schedule: Two 75-minute (or three 50-minute) lectures per week<br />
Course Outline:<br />
1. Introduction to Computational Mathematics<br />
• mathematical modeling<br />
• review <strong>of</strong> Taylor series<br />
• numerical error (floating-point representation, computer arithmetic, round-<strong>of</strong>f errors, and loss <strong>of</strong> significance<br />
in numerical computations)<br />
• programming in Matlab<br />
2. Locating Roots <strong>of</strong> Equations<br />
• bisection method<br />
• Newton's method<br />
• secant method<br />
• introduction to the solution <strong>of</strong> systems <strong>of</strong> nonlinear equations<br />
- Newton's method for systems<br />
3. Solving Systems <strong>of</strong> Linear Equations<br />
• direct methods (LU factorization)<br />
• basic iterative methods (Jacobi, Gauss-Seidel and SOR)<br />
183
4. Interpolation<br />
• polynomial interpolation<br />
• piecewise polynomial and spline interpolation<br />
5. Numerical Integration<br />
• Newton-Cotes methods<br />
• adaptive quadrature<br />
6. Numerical differentiation and solution <strong>of</strong> ordinary differential equations<br />
• finite differences<br />
• Runge-Kutta methods<br />
• multistep methods and stiff equations (comparison <strong>of</strong> various Matlab stiff solvers)<br />
• FFT and spectral methods<br />
Assessment: Homework 10-30%<br />
Computer Programs/Project 10-20%<br />
Quizzes/Tests 20-50%<br />
Final Exam 30-50%<br />
Syllabus prepared by: Greg Fasshauer and Dietmar Rempfer<br />
Date: Oct.13, 2006<br />
184
MATH 474 – Probability and Statistics<br />
Course Description from Bulletin: Elementary probability theory including discrete and continuous distributions,<br />
sampling, estimation, confidence intervals, hypothesis testing, and linear regression. (3-0-3)<br />
Enrollment: Not applicable for AM majors. Credit not granted for both MATH 474 and MATH 475<br />
Textbook(s): Walpole, Meyers, Meyers, Ye, Probability and Statistics for Engineers and Scientists, 8 th ed., Prentice<br />
Hall<br />
Other required material: None<br />
Prerequisites: MATH 251<br />
Objectives:<br />
36. Students will learn basic rules <strong>of</strong> probability, basic counting techniques, and be able to compute and<br />
interpret means and variances.<br />
37. Students will learn discrete random variables such as the binomial, the geometric, the negative binomial,<br />
the hypergeometric and the Poisson.<br />
38. Students will explore continuous random variables such as the uniform, the gamma (which includes the<br />
exponential and the chi-square) and the normal. Applications such as the normal approximation via the<br />
central limit theorem to the binomial will be discussed.<br />
39. Students will learn point and interval estimation for various parameters. The parameters will include the<br />
population mean and variance and the binomial probability <strong>of</strong> a success. After exploring the one sample<br />
situation the two sample case will also be covered. Also prediction intervals, for future observations, will<br />
be explored.<br />
40. Students will explore hypothesis testing <strong>of</strong> various parameters for both one sample and two. The<br />
parameters are those included in our confidence interval estimation.<br />
Lecture schedule: 3 50 minute (or 2 75 minute) lectures per week<br />
Course Outline:<br />
Hours<br />
29. Probability 4<br />
30. Random variables and probability distributions 5<br />
31. Mathematical Expectation 5<br />
32. Some discrete probability distributions 5<br />
33. Some continuous probability distributions 5<br />
34. Functions <strong>of</strong> random variables, Moments 4<br />
35. Random sampling, Data description, and Fundamental sampling 5 distributions<br />
36. One- and two- sample estimation problems 5<br />
37. One- and two- sample tests <strong>of</strong> hypothesis 4<br />
Assessment: Homework 20-30%<br />
Quizzes/Tests 40-50%<br />
Final Exam 20-30%<br />
Syllabus prepared by: Andre Adler and Art Lubin<br />
Date: 12/17/05 (Last updated: Oct.23, 2007)<br />
185
BIOL 107 – General Biology Lectures<br />
2006-08 Catalog Data: BIOL 107: General Biology Lectures. Credit 3.<br />
This course emphasizes biology at the organismal level. It provides an introduction to<br />
the study <strong>of</strong> the structure and function <strong>of</strong> plants and animals, their origin and evolution,<br />
their reproduction and genetics, their diversity and ecological relations. BIOL 107 plus<br />
BIOL 115 constitutes a one-year sequence in biology. Acceptable as part <strong>of</strong> the science<br />
component <strong>of</strong> the General Education Program. (3-0-3)<br />
Enrollment:<br />
Textbook:<br />
One from among three choices for a required science elective for EE majors.<br />
Campbell, Mitchell, and Reece. Biology: Concepts and Connections. Third Edition<br />
(1999). Benjamin Cummings, Publishing Co..<br />
Course objectives:<br />
1. To provide knowledge <strong>of</strong> life at levels from biochemical to organismal.<br />
2. To serve as a foundation for subsequent studies in biology at the cellular, biochemical, and molecular<br />
levels.<br />
3. To serve as a stand alone course for non-science majors who wish to have some knowledge in the<br />
biological sciences.<br />
Prerequisites by topic:<br />
Lecture schedule:<br />
Laboratory schedule:<br />
none.<br />
Two 75 minute lectures per week.<br />
None.<br />
Topics:<br />
1. Basic, Concepts in Biology<br />
2. Basic Biochemistry<br />
3. Cell Biochemistry<br />
4. Cell Structure and Function<br />
5. Cell Membranes and Cell Surfaces<br />
6. Cell Reproduction: Mitosis<br />
7. Meiosis<br />
8. Introduction to Genetics<br />
9. Mendelian Genetics<br />
10. Life cycles<br />
11. Single Gene Crosses<br />
12. Genetics, Cont. (2 Gene Crosses)<br />
13. Multiple Genes, ABO Blood Groups<br />
14. Other Genetic Patterns<br />
15. Sex Determination, Sex-linked Genes<br />
16. Linkage, Chromosome Theory <strong>of</strong> Heredity<br />
17. DNA as Genetic Material<br />
18. DNA Replication<br />
19. RNA and Protein Synthesis<br />
20. The Genetic Code<br />
21. Viruses<br />
22. Regulation <strong>of</strong> Gene Expression<br />
23. Bacterial Genetics<br />
24. Recombinant DNA<br />
25. Evolution: Darwin’s Theory<br />
26. Population Genetics, Hardy-Weinberg Law<br />
27. Species Formation<br />
28. Speciation, Earth History<br />
29. Origins <strong>of</strong> Life<br />
30. The Kingdoms <strong>of</strong> Life<br />
186
31. Monera<br />
32. Plants, Fungi<br />
33. Animal Evolution: Invertebrates, Embryology<br />
34. Invertebrates II, Vertebrates<br />
35. Vertebrates II<br />
36. Mammals, Primates<br />
37. Human Evolution<br />
Computer usage:<br />
Laboratory topics: None.<br />
Contribution to pr<strong>of</strong>essional component: contributes 3/32 <strong>of</strong> a year <strong>of</strong> basic science and mathematics<br />
Relationship <strong>of</strong> course to program outcomes: pr<strong>of</strong>iciency in science (specifically biology).<br />
Prepared by: Benjamin Stark, Robert Roth (Biology) Date: March 20, 2002<br />
187
CHEM 124: Principles <strong>of</strong> Chemistry I - REQUIRED<br />
Catalog Data: Foundations <strong>of</strong> chemistry, atoms and molecules, stoichiometry <strong>of</strong> chemical reactions,<br />
thermochemistry, properties <strong>of</strong> gases, states <strong>of</strong> matter, chemical solutions, and kinetics. Molecular basis for<br />
chemical reactivity, atomic structure, periodicity, chemical bonding.<br />
Prerequisite(s) None<br />
Textbook(s) and/or other required material<br />
1. Chemistry: The Molecular Nature <strong>of</strong> Matter and Changes, Martin S. Silberberg, McGraw-Hill, Inc. 5th<br />
Edition, <strong>2008</strong>.<br />
2. Principles <strong>of</strong> Chemistry Laboratory Manual, <strong>Illinois</strong> <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong>.<br />
Course Objectives:<br />
Emphasis is placed on developing and understanding important principles and concepts <strong>of</strong> the atomic world<br />
and on utilizing this understanding to solve specific problems based on those principles using well-organized<br />
approaches. Memorizing equations and descriptive facts are de-emphasized. Students gain a fundamental<br />
knowledge <strong>of</strong> molecular structure and how it relates to macroscopic properties <strong>of</strong> materials used in<br />
engineering science and medicine.<br />
Class/laboratory schedule Two 75 minute lectures and one 170 minute (nominally) laboratory per week<br />
Lecture Topics: Matter and Measurement; Atoms, Molecules and Ions; Stoichiometry; Reactions in Aqueous<br />
Solutions; Thermochemistry; Electronic Structure <strong>of</strong> Atoms; The Periodic Table; The Chemical Bond;<br />
Molecular Geometry; Gases; Liquids and Solids.<br />
Laboratory Experiments:1.Safety Instructions & Training 2.Separation by Paper chromatography 3. Estimation <strong>of</strong><br />
Avogadro's Number 4. Titration: Analysis <strong>of</strong> Vinegar 5.Alcohol Abuse 6. Synthesis <strong>of</strong> Alum from an<br />
Aluminum Can 7.Gas Laws: Determination <strong>of</strong> 0 Kelvin 8. Analysis <strong>of</strong> an Aluminum-Zinc Alloy 9.<br />
Specific heat <strong>of</strong> metals 10.Enthalpy Change in Chemical Reactions 11.Emmission Spectra( Experiment<br />
Bunsen) 12. <strong>Study</strong> Assignment: Writing Lewis Structures.<br />
Contribution <strong>of</strong> course to meeting the pr<strong>of</strong>essional component:<br />
Contributes 1/8 <strong>of</strong> a year <strong>of</strong> basic science and a laboratory experience.<br />
Relationship <strong>of</strong> course to program outcomes<br />
Pr<strong>of</strong>iciency in a basic science, development <strong>of</strong> laboratory/investigative skills and<br />
strengthening <strong>of</strong> problem solving ability.<br />
Prepared by: Rong Wang April 14, <strong>2008</strong><br />
188
CHEM 126 – Principles <strong>of</strong> Chemistry II<br />
2006-08 Catalog Data: CHEM 126: Principles <strong>of</strong> Chemistry II. Credit 3.<br />
Chemical equilibria, the chemistry <strong>of</strong> acids and bases, solubility, and precipitation<br />
reactions. Introduction to thermodynamics and electrochemistry. Chemistry <strong>of</strong> selected<br />
elements and their compounds. Prerequisite: CHEM 124 (3-0-3)<br />
Enrollment:<br />
One from among three choices for a required science elective for EE majors.<br />
Textbooks:<br />
Course objectives:<br />
Prerequisites by topic:<br />
Lecture schedule:<br />
Laboratory schedule:<br />
Chemistry: The Central Science, Brown, T. L.; LeMay, H. E.; Bursten, B. E., Prentice<br />
Hall, Inc. 8th Edition, 2000.<br />
CHEM 126 is a second semester course that assumes a working knowledge <strong>of</strong> chemical<br />
stoichiometry, properties <strong>of</strong> gases, thermochemistry, elementary bonding principles,<br />
states <strong>of</strong> matter and related topics in Chapters 1 through 12 <strong>of</strong> the textbook. Emphasis is<br />
placed on developing an understanding <strong>of</strong> important principles and concepts which apply<br />
to chemical (and <strong>of</strong>ten other) systems and on using this understanding to solve specific<br />
problems based on those principles, Consequently, the memorizing <strong>of</strong> equations or<br />
descriptive facts will be de-emphasized. The course is divided into three parts each<br />
culminating in an "hour exam."<br />
CHEM 124 or equivalent (sometimes with consent <strong>of</strong> instructor)<br />
Two 75 minute lectures per week.<br />
none.<br />
Topics:<br />
1. Properties <strong>of</strong> Solutions<br />
2. Chemical Kinetics<br />
3. Chemical Equilibrium<br />
4. Acid-Base Equilibrium<br />
5. Other Aqueous Equilibria<br />
6. Chemistry <strong>of</strong> the Environment<br />
7. Chemical Thermodynamics<br />
8. Electrochemistry<br />
9. Nuclear Chemistry<br />
10. Chemistry <strong>of</strong> the Nonmetals<br />
11. Metals and Metallurgy<br />
12. Coordination Chemistry<br />
13. Chemistry <strong>of</strong> Life (Introduction to Organic Chemistry and Biochemistry)<br />
Computer usage:<br />
Laboratory topics:<br />
none.<br />
Contribution to pr<strong>of</strong>essional component: contributes 3/32 <strong>of</strong> a year <strong>of</strong> basic science<br />
Relationship <strong>of</strong> course to program outcomes: Pr<strong>of</strong>iciency in a basic science, development <strong>of</strong><br />
laboratory/investigative skills and strengthening <strong>of</strong> problem solving ability.<br />
Prepared by: K. Schug, Pr<strong>of</strong>essor <strong>of</strong> Chemistry Date: March 18, 2002<br />
189
MS 201 Materials Science<br />
Catalog Data: The scientific principles determining the structure <strong>of</strong> metallic, polymeric, ceramic, semiconductor<br />
and composite materials; electronic structure, atomic bonding, atomic structure, microstructure and macrostructure.<br />
The basic principles <strong>of</strong> structure-property relationships in the context <strong>of</strong> chemical, mechanical and physical<br />
properties <strong>of</strong> materials. Prerequisite: CHEM 124. (3-0-3)<br />
Enrollment:<br />
One from among three choices for a required science elective for CPE and EE majors.<br />
Textbook: Introduction to Materials Science for Engineers, James E. Shackelford (Prentice-Hall)<br />
Objectives:<br />
1. Distinguish different solid types according to bonding<br />
2. Solve elementary problems in crystal geometry<br />
3. Relate intrinsic properties to bonding and crystal structures<br />
4. Describe crystal defects and predict their effects on properties<br />
5. Solve problems involving stiffness, strength, toughness<br />
6. Solve problems involving creep and fatigue<br />
7. Solve problems involving electrical properties <strong>of</strong> materials<br />
8. Solve simple phase transformation problems<br />
Prerequisite by Topic: General chemistry, elementary mechanics.<br />
Topics:<br />
Ionic, covalent, van der Waals and metallic bonds. Crystal structures, crystal geometry, and crystal defects.<br />
Mechanical behavior <strong>of</strong> materials: elasticity, plasticity, fracture, high temperature behavior, fatigue. Electrical<br />
behavior <strong>of</strong> materials: resistivity, conductivity, charge carriers. Qualitative band theory. Semiconductors and<br />
semiconductor devices. Phase diagrams and development <strong>of</strong> microstructures.<br />
Schedule: Classes are 1 hr. 20 min. long, 2 sessions per week<br />
Contribution to Pr<strong>of</strong>essional Component: Engineering science 100%<br />
Relationship <strong>of</strong> Course to ABET Outcomes:<br />
ABET<br />
Criterion Program Outcome Status<br />
3a Apply knowledge <strong>of</strong> math, engineering, science 2<br />
3b Design and conduct experiments, analyze and interpret data 1<br />
3c Design system, component, or process to meet needs 0<br />
3d Function on multi-disciplinary teams 0<br />
3e Identify, formulate, and solve engineering problems 0<br />
3f Understand pr<strong>of</strong>essional and ethical responsibility 0<br />
3g Communicate effectively 0<br />
3h Broad education 1<br />
3i Recognize need for life-long learning 1<br />
3j Knowledge <strong>of</strong> contemporary issues 2<br />
3k Use techniques, skills, and tools in engineering practice 0<br />
Prepared by: John S. Kallend, May 2004<br />
190
PHYS 123: General Physics I: Mechanics<br />
Catalog Data:<br />
Vectors and motion in one, two, and three dimensions. Newton’s Laws; particle dynamics, work and<br />
energy. Conservation laws and collisions. Rotational kinematics and dynamics, angular momentum and<br />
equilibrium <strong>of</strong> rigid bodies. Simple harmonic motion. Gravitation. Corequisite: MATH 149, MATH 151,<br />
or MATH 161<br />
Textbooks:<br />
“Physics for Engineers and Scientists,” Third Edition, Ohanion & Markert<br />
Physics Division General Physics Laboratory Manual<br />
Course Objectives and Material Covered: See Catalog Description for material description. The purpose <strong>of</strong> the<br />
laboratory is to familiarize the student with the physical phenomena being studied, and to teach techniques<br />
in experimental observation and data analysis.<br />
Schedule: PHYS 123 meets in either 2 75-minute lecture sessions per week. The laboratory meets for 3-hour<br />
sessions on alternate weeks, alternating with recitations conducted by the class lecturer.<br />
Contribution to Pr<strong>of</strong>essional Components:<br />
PHYS 123 contributes 1/8 <strong>of</strong> a year <strong>of</strong> college level basic science and a laboratory experience.<br />
Relationship <strong>of</strong> Course to ABET Outcomes:<br />
PHYS 123 contributes to program outcomes by promoting pr<strong>of</strong>iciency in science and pr<strong>of</strong>iciency in collecting and<br />
analyzing data.<br />
Prepared by: H. A. Rubin, Associate Chair for Physics, 4/04/08<br />
191
PHYS 221: General Physics II: Waves, Electricity and Magnetism<br />
Catalog Data:<br />
Oscillations and waves. Charge, electric field, Gauss’s Law and potential. Capacitance, resistance, simple<br />
a/c and d/c circuits. Magnetic fields, Ampere’s Law, Faraday’s Law, induction. Maxwell’s Equations,<br />
electromagnetic waves, and light. Reflection and refraction, lenses. Prerequisite: PHYS 123. Corequisite:<br />
MATH 152 or MATH 162<br />
Textbooks:<br />
“Physics for Engineers and Scientists,” Third Edition, Ohanion & Markert<br />
Physics Division General Physics Laboratory Manual<br />
Course Objectives and Material Covered: See Catalog Description for material description. The purpose <strong>of</strong> the<br />
laboratory is to familiarize the student with the physical phenomena being studied, and to teach techniques<br />
in experimental observation and data analysis.<br />
Schedule: PHYS 221 meets in 2 75-minute lecture sessions per week. The laboratory meets for 3-hour sessions on<br />
alternate weeks, alternating with recitations conducted by the class lecturer.<br />
Contribution to Pr<strong>of</strong>essional Components:<br />
PHYS 221 contributes 1/8 <strong>of</strong> a year <strong>of</strong> college level basic science and a laboratory experience.<br />
Relationship <strong>of</strong> Course to ABET Outcomes:<br />
PHYS 221 contributes to program outcomes by promoting pr<strong>of</strong>iciency in science and pr<strong>of</strong>iciency in collecting and<br />
analyzing data.<br />
Prepared by: H. A. Rubin, Associate Chair for Physics, 4/04/08<br />
192
PHYS 224: General Physics III: Thermodynamics and Modern Physics<br />
Catalog Data: Temperature, first and second laws <strong>of</strong> thermodynamics, kinetic theory and entropy. Interference and<br />
diffraction, gratings and spectra. Special theory <strong>of</strong> relativity. Light and quantum physics, wave nature <strong>of</strong><br />
matter, structure <strong>of</strong> the hydrogen atom. Atomic physics, solid-state physics, nuclear physics, and<br />
elementary particles.<br />
Prerequisite: PHYS 221. Corequisite: MATH 251 or MATH 252<br />
Textbooks: “Physics for Engineers and Scientists,” Third Edition, Ohanion & Markert<br />
Course Objectives and Material Covered: See Catalog Description for material description.<br />
Schedule: PHYS 224 meets in either 2 75-minute lecture sessions per week.<br />
Contribution to Pr<strong>of</strong>essional Components:<br />
PHYS 224 contributes 3/32 <strong>of</strong> a year <strong>of</strong> college level basic science.<br />
PHYS 224 contributes to program outcomes by promoting pr<strong>of</strong>iciency in science.<br />
Prepared by: H. A. Rubin, Associate Chair for Physics, 4/04/08<br />
193
ECE Faculty, full-time<br />
APPENDIX B – FACULTY RESUMES<br />
(Limit 2 pages each)<br />
Anastasio, M.A. ............................................................. 195<br />
Anjali, T. ........................................................................ 197<br />
Atkin, G. ......................................................................... 199<br />
Borkar, S. ....................................................................... 201<br />
Brankov, J. ..................................................................... 203<br />
Cheng, Y. ....................................................................... 205<br />
Choi, K. .......................................................................... 207<br />
Emadi, A. ....................................................................... 209<br />
Flueck, A. ....................................................................... 211<br />
Khaligh, A. ..................................................................... 213<br />
Li, Z. ............................................................................... 215<br />
LoCicero, J. .................................................................... 217<br />
Oruklu, E. ....................................................................... 219<br />
Ren, K. ........................................................................... 221<br />
Saniie, J. ......................................................................... 223<br />
Shahidehpour, S.M. ........................................................ 225<br />
Shanechi, H. ................................................................... 227<br />
Ucci, D.R. ...................................................................... 229<br />
Wernick, M. ................................................................... 231<br />
Williamson, G.A. ........................................................... 233<br />
Wong, T.T.Y. ................................................................. 235<br />
Xu, Y. ............................................................................. 237<br />
Yang, Y. ......................................................................... 239<br />
Yetik, I.S. ....................................................................... 241<br />
Zhou, C. ......................................................................... 243<br />
ECE Faculty, adjunct<br />
Briley, B. ........................................................................ 245<br />
Ivanov, K.P. ................................................................... 247<br />
Nordin, R. ....................................................................... 249<br />
Pinnello, J. ...................................................................... 250<br />
Simko, P. ........................................................................ 251<br />
194
Name and Academic Rank<br />
Mark A. Anastasio, Associate Pr<strong>of</strong>essor<br />
Degrees with fields, institution, and date<br />
<strong>Illinois</strong> <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong> Electrical Engineering B.S. 1992<br />
University <strong>of</strong> Pennsylvania Electrical Engineering M.S.E 1993<br />
University <strong>of</strong> <strong>Illinois</strong> at Chicago Physics M.S. 1995<br />
The University <strong>of</strong> Chicago Medical Physics Ph.D. 2001<br />
Number <strong>of</strong> years <strong>of</strong> service on this faculty, including date <strong>of</strong> original appointment and<br />
dates <strong>of</strong> advancement in rank<br />
Seven years <strong>of</strong> service:<br />
2001-2006 Assistant Pr<strong>of</strong>essor <strong>of</strong> Biomedical Engineering<br />
2006-present Associate Pr<strong>of</strong>essor <strong>of</strong> Biomedical Engineering<br />
Other related experience--teaching, industrial, etc.<br />
Summer 1989 Project Engineer (Summer Intern), Bendix King/Allied Signal Corp.,<br />
Olathe, KS<br />
Summer 1990 Electrical Engineer (Summer Intern), <strong>Illinois</strong> <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong><br />
Research <strong>Institute</strong> (<strong>IIT</strong>RI), Chicago, IL<br />
Summer 1991 Cellular Test Engineer (Summer Intern), Motorola Cellular Subscriber<br />
Division, Arlington Heights, IL<br />
Summer 1992 Electrical Engineer, Systems and Electronics Inc., Oak Brook, IL<br />
1993-1995 Teaching Assistant, Department <strong>of</strong> Physics, University <strong>of</strong> <strong>Illinois</strong> at<br />
Chicago<br />
1995-July 2001 Research Assistant, Department <strong>of</strong> Radiology, The University <strong>of</strong><br />
Chicago<br />
Consulting, Patents, etc.:<br />
None<br />
States in which pr<strong>of</strong>essionally licensed or certified, if applicable<br />
None<br />
Principal publications <strong>of</strong> last five years<br />
X. Pan, Y. Zou and M. A. Anastasio: Data Redundancy and Reduced-Scan<br />
Reconstruction in Reflectivity Tomography, IEEE Transactions on Image<br />
Processing, 12, 784-795, 2003.<br />
D. Shi, M. A. Anastasio, Y. Huang, and G. Gbur: Half-Scan and Single-Plane Intensity<br />
Diffraction Tomography for Phase Objects, Physics in Medicine and Biology, 49, 2733-<br />
2752, 2004.<br />
G. Gbur, M. A. Anastasio, D. Shi, Y. Huang: Spherical Wave Intensity Diffraction<br />
Tomography, Journal <strong>of</strong> the Optical Society <strong>of</strong> America A, 22 ,230-238, 2005.<br />
J. Brankov, M. Wernick, D. Chapman, Y. Yang, C. Muehleman. Z. Zhong, and M. A.<br />
Anastasio: A computed tomography implementation <strong>of</strong> Multiple-Image Radiography,<br />
Medical Physics, 33:2, 278-289, 2006.<br />
D. Shi and M. A. Anastasio: Intensity Diffraction Tomography with a Fixed Detector<br />
Plane, Optical Engineering, (In press), 2007.<br />
M. A. Anastasio and X. Pan: Region-<strong>of</strong>-Interest Imaging in Differential Phase-Contrast<br />
195
Tomography, Optics Letters, 32, 3167-3169, 2007.<br />
M. A. Anastasio, J. Zhang, D. Modgil, and P.J. LaRiviere: Application <strong>of</strong> Inverse Source<br />
Concepts to Photoacoustic Tomography, Inverse Problems, 23, S21-S36, 2007.<br />
Scientific and pr<strong>of</strong>essional societies <strong>of</strong> which a member<br />
Optical Society <strong>of</strong> America (OSA)<br />
<strong>Institute</strong> for Electrical and Electronic Engineers (IEEE)<br />
International Society for Optical Engineering (SPIE)<br />
Honors and Awards:<br />
1997: Paul C. Hodges Research Award, Department <strong>of</strong> Radiology, University <strong>of</strong><br />
Chicago, Chicago, IL<br />
1999: Young Investigator Award, Future Directions in Nuclear Medicine Physics and<br />
Engineering, Chicago, IL<br />
2003-2006: Whitaker Foundation Research Award<br />
2006: NSF CAREER Award - Development <strong>of</strong> Biomedical X-ray Phase-Contrast<br />
Tomography<br />
2006: <strong>IIT</strong> Sigma Xi Award for Excellence in Research, Junior faculty category<br />
Institutional and Pr<strong>of</strong>essional Service:<br />
2007-present Associate Director, Medical Imaging Research Center (MIRC)<br />
2002-2007 Graduate Recruitment Chairman for BME Department<br />
2003-present Grant reviewer for NIH, NSF<br />
2001-present Reviewer for over 15 journals<br />
Percentage <strong>of</strong> time available for research or scholarly activities<br />
67%<br />
Percentage <strong>of</strong> time Committed to the Program:<br />
0%<br />
196
Name and Academic Rank<br />
Tricha Anjali, Assistant Pr<strong>of</strong>essor<br />
Degrees with fields, institution, and date<br />
Ph.D., Georgia <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong>, 2004<br />
Integrated M.Tech., Indian <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong>, Bombay, India, 1998<br />
Number <strong>of</strong> years <strong>of</strong> service on this faculty, including date <strong>of</strong> original appointment and<br />
dates <strong>of</strong> advancement in rank<br />
Three years <strong>of</strong> service:<br />
Original appointment to <strong>IIT</strong>, August 2004<br />
Other related experience--teaching, industrial, etc.<br />
Teaching Assistant, Georgia <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong>, 2003<br />
Research Assistant, Georgia <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong>, 2000-2004<br />
Research Assistant, Syracuse University, 1998-1999<br />
Consulting, patents, etc.<br />
S<strong>of</strong>tware Technologies Group, 2007-<strong>2008</strong><br />
States in which pr<strong>of</strong>essionally licensed or certified, if applicable<br />
None<br />
Principal publications <strong>of</strong> last five years<br />
D. Manikantan Shila, T. Anjali, “Load Aware Traffic Engineering for Mesh Networks,”<br />
accepted for publication, Computer Communications Journal.<br />
L. Nadeau, T. Anjali, “"Theoretical Analysis and Comparison <strong>of</strong> Various Approaches for<br />
Reliable Multicast", International Journal <strong>of</strong> Internet <strong>Technology</strong> and Secured<br />
Transactions, vol. 1(1), pp. 20-48, October 2007.<br />
Tricha Anjali, Gruia Calinescu, Sanjiv Kapoor, "Approximation Algorithms For<br />
Multipath Setup," Proceedings <strong>of</strong> IEEE Globecom 2007, Washington D.C., USA,<br />
November 2007.<br />
Devu Manikantan Shila, Tricha Anjali, "Load-aware Traffic Engineering for Mesh<br />
Networks," Proceedings <strong>of</strong> IEEE WiMAN 2007, Hawaii, USA, August 2007.<br />
Roberto Santamaria, Olivier Bourdeau, Tricha Anjali, "MAC-ASA Protocol for Wireless<br />
Mesh Networks," Proceedings <strong>of</strong> IEEE WiMAN 2007, Hawaii, USA, August 2007.<br />
Laurent Nadeau, Tricha Anjali, "Reliable Multicast: A Probabilistic <strong>Study</strong>," Proceedings<br />
<strong>of</strong> SPECTS 2007, San Diego, USA, July 2007.<br />
Laurent Nadeau, Tricha Anjali, "Efficiency <strong>of</strong> Reliable Multicast Protocols," Proceedings<br />
<strong>of</strong> HPCNCS 2007, Orlando, USA, July 2007.<br />
Laurent Nadeau, Tricha Anjali, "Theoretical Analysis and Comparison <strong>of</strong> Various<br />
Approaches for Reliable Multicast", International Journal <strong>of</strong> Internet <strong>Technology</strong> and<br />
Secured Transactions , accepted for publication, 2007.<br />
Tricha Anjali, Carlo Bruni, Daniela Iacoviello, Caterina Scoglio, "Dynamic Bandwidth<br />
Reservation for Label Switched Paths: an On-line Predictive Approach", Computer<br />
Communications, vol. 29(16), pp. 3265-3276, October 2006.<br />
Tricha Anjali, Caterina Scoglio, "A Novel Method for QoS Provisioning with Protection<br />
in GMPLS Networks" Computer Communications, vol. 29(6), pp. 757-764, March<br />
2006.<br />
197
Tricha Anjali, Caterina Scoglio, Jaudelice de Oliveira, "New MPLS Network<br />
Management Techniques Based on Adaptive Learning," IEEE Transactions on Neural<br />
Networks, vol. 16(5), pp. 1242-1255, September 2005.<br />
Caterina Scoglio, Tricha Anjali, Jaudelice de Oliveira, Ian Akyildiz, George Uhl,<br />
"TEAM: A Traffic Engineering Automated Manager for DiffServ-based MPLS<br />
Networks," IEEE Communications Magazine, vol. 42(10), pp. 134-145, October<br />
2004.<br />
Tricha Anjali, Carlo Bruni, Daniela Iacoviello, Giorgio Koch, Caterina Scoglio,<br />
"Filtering and Forecasting Problems for Aggregate Traffic in Internet Links,"<br />
Performance Evaluation Journal, vol. 58(1), pp. 25-42, October 2004.<br />
Scientific and pr<strong>of</strong>essional societies <strong>of</strong> which a member<br />
IEEE, Communications Society, Women in Engineering<br />
Honors and awards<br />
None<br />
Institutional and pr<strong>of</strong>essional service in the last five years<br />
Member <strong>of</strong> faculty search committee, 2005-2006<br />
Member <strong>of</strong> graduate committee, 2007<br />
Member <strong>of</strong> blue ribbon panel for investigation <strong>of</strong> Nov. 2006 elections in Cook County,<br />
2006-<strong>2008</strong><br />
Registration Chair <strong>of</strong> IEEE EIT conference, 2007<br />
Publication Chair <strong>of</strong> ICST Tridentcom, 2007<br />
Publication Chair <strong>of</strong> ICST SimuTools, <strong>2008</strong><br />
Percentage <strong>of</strong> time available for research or scholarly activities<br />
67%<br />
Percentage <strong>of</strong> time committed to the program<br />
33%<br />
198
Name and Academic Rank<br />
Guillermo E. Atkin, Associate Pr<strong>of</strong>essor<br />
Degrees with fields, institution, and date<br />
Electronic Engineer. Universidad Federico Santa Maria, 1974. Valparaiso, Chile. Major<br />
in Communications.<br />
Ph. D. Electrical Engineering. University <strong>of</strong> Waterloo, 1986. Waterloo, Ontario, Canada.<br />
Master in Business Administration. Governors State University, Chicago, <strong>Illinois</strong>.<br />
December 1991.<br />
Number <strong>of</strong> years <strong>of</strong> service on this faculty, including date <strong>of</strong> original appointment and<br />
dates <strong>of</strong> advancement in rank<br />
22 years <strong>of</strong> service:<br />
Associate Pr<strong>of</strong>essor, 1992-present. Electrical Engineering Department, <strong>Illinois</strong> <strong>Institute</strong><br />
<strong>of</strong> <strong>Technology</strong>, Chicago, <strong>Illinois</strong>. Research on Digital Communications Systems.<br />
Teaching courses in Communication Theory, Coding Theory, Information Theory,<br />
etc. Supervisor for graduate students and advisor for undergraduate students.<br />
Assistant Pr<strong>of</strong>essor, 1986-1992. Electrical Engineering Department, <strong>Illinois</strong> <strong>Institute</strong> <strong>of</strong><br />
<strong>Technology</strong>, Chicago, <strong>Illinois</strong>.<br />
Other related experience--teaching, industrial, etc.<br />
Research and Teaching Assistant, 1981-1986. Electrical Engineering Department,<br />
University <strong>of</strong> Waterloo, Waterloo, Ont., Canada. Research on Spread Spectrum and<br />
Multiple Access Systems. Conduct tutorials and supervise labs. in Communication<br />
Systems, Microwaves and Field Theory, Digital and Data Communications.<br />
Full-time Lecturer, 1974-1981. Universidad Federico Santa Maria, Chile. Teaching<br />
courses in Communications Theory, Laboratories <strong>of</strong> Communications, Antennas,<br />
Propagation and Microwaves. Supervision <strong>of</strong> Electronic Engineers Theses.<br />
Part-time Lecturer, 1974-1981. Electrical Engineering Department, Chilean Navy, Chile.<br />
Teaching courses in Electronics, Antennas, Transmission Lines and Propagation.<br />
Consulting, patents, etc.<br />
Consultant, 1979-1981. Exxon Mining Co., Valparaiso, Chile. Maintenance <strong>of</strong> Automatic<br />
Control Equipments. Cooper Mining Co., Rancagua, Chile. Evaluation <strong>of</strong><br />
communication systems. Sudamericana Shipping Co., Valparaiso, Chile.<br />
Maintenance <strong>of</strong> electronic equipment on board.<br />
State(s) in which registered<br />
None<br />
Principal publications <strong>of</strong> last five years<br />
Chuanhui Ma, Ting Wang, Guillermo E. Atkin, Chi Zhou, “A Novel bandwidth efficient<br />
Coded OFDM System for ICI and PAPR Reduction,” submitted to <strong>2008</strong> IEEE<br />
Milcom <strong>2008</strong> Conference.<br />
Chuanhui Ma, Guillermo E. Atkin, Chi Zhou, “Applying OOK modulation to reduce the<br />
inter-carrier interference in OFDM,” Wireless and Optical Communications<br />
Networks, 2007, WOCN’07, IFIP international Conference, 2-4 July 2007; p. 1-5<br />
O. Kucur, E. Ozturk, G. E. Atkin, "Bit error rate performance <strong>of</strong> Haar wavelet based<br />
scale-code division multiple access (HW/S-CDMA) over the asynchronous AWGN<br />
199
channel," International Journal <strong>of</strong> Communication Systems;" p. 507 – 514, Volume<br />
20 , Issue 4, April 2007<br />
Mohammad Al Bataineh, Maria Alonso, Siyun Wang, and Wei Zhang, “Ribosome<br />
Binding Model Using a Codebook and Exponential Metric,” IEEE EIT 2007<br />
Proceedings, Chicago, IL, USA, May 17 – 20, 2007<br />
Yu-Lin Wang, Rahul Sinha, and G. E. Atkin, “Modified Modulation Formats using Time<br />
Varying Phase Functions”, IEEE Transactions on Wireless Communications, Vol 5,<br />
No. 1, pp. 8-11, Jan 2006.<br />
Scientific and pr<strong>of</strong>essional societies <strong>of</strong> which a member<br />
IEEE Communications Society<br />
Honors and awards<br />
Senior Member IEEE<br />
Nominated as Distinguished Lecturer, IEEE Communications Society.<br />
Institutional and pr<strong>of</strong>essional service in the last five years<br />
Chairman Evaluation Committee Chemistry Department<br />
ABET Committee<br />
ECE Curriculum Committee<br />
Publication Co-Chair IEEE 2007 International Conference on Electro/Information<br />
<strong>Technology</strong><br />
Chair Search Committee Communications and Signal Processing Group<br />
Director <strong>of</strong> the BiITComm, Bioinformatics, Information Theory and Communication<br />
Laboratory<br />
Examiner, Ph.D. Qualifying Exam<br />
Graduate academic advisor<br />
Undergraduate and freshman academic advisor<br />
ECE Co-Op student advisor<br />
IEEE Advisor<br />
Cooperation with International Program at <strong>IIT</strong><br />
Publication C0-Chair Electro/Information <strong>Technology</strong>, 2007 IEEE International<br />
Conference on 17-20 May 2007<br />
Technical reviewer for: IEEE Journal <strong>of</strong> Lightwave <strong>Technology</strong>, IEEE Transaction on<br />
Communications, IEEE Transactions on Vehicular <strong>Technology</strong>, IEE Proceedings I,<br />
Communications, Speech and Vision, Elsevier Digital Signal Processing Journal.<br />
Percentage <strong>of</strong> time available for research or scholarly activities<br />
33%<br />
Percentage <strong>of</strong> time committed to the program<br />
67%<br />
200
Name and Academic Rank:<br />
Suresh Borkar, Senior Lecturer<br />
Degrees with fields, institution, and date<br />
B. Tech (EE), Indian Instt. Of Tech., Delhi (India), 1966<br />
M.S. (EE), <strong>Illinois</strong> <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong>, Chicago, 1967<br />
PhD. (EE), <strong>Illinois</strong> <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong>, Chicago, 1972<br />
Number <strong>of</strong> years <strong>of</strong> service on this faculty, including date <strong>of</strong> original appointment and<br />
dates <strong>of</strong> advancement in rank<br />
29 years <strong>of</strong> service:<br />
Full Time: 3 years 1969-1972 (Instructor); 2 years 1972-1974 (Adj. Asst. Pr<strong>of</strong>)<br />
Part Time: 23 years: (Adjunct faculty); 1974-1976; 1982-1997; 2000-2006<br />
Full Time: 1 ½ years (Senior Lecturer); 2006 – present<br />
Other related experience--teaching, industrial, etc<br />
Teaching: Instructor <strong>of</strong> tutorials on Networking, Protocols, SW design at AT&T Bell<br />
Labs<br />
Industrial: 1980-2006:<br />
Director, 3G Wireless Radio Network and End-to-End Integration & Delivery (01 to 06)<br />
Director, 3G Wireless Applcn Engr, Architecture, & Integration (00-01)<br />
CTO (97-00) and Country Operations Head (98-00), Lucent India<br />
Tech Mngr., Distinguished Member Tech Staff (DMTS), and MTS: Switching,<br />
Networking, Computer, and Telecom Systems (80-97)<br />
Consulting, patents, etc.<br />
Developer <strong>of</strong> short courses in Telecom Networks and Broadband Wireless<br />
One patent on television deflection system<br />
State(s) in which registered<br />
None<br />
Principal publications <strong>of</strong> last five years<br />
3G/4G Wireless–Advances and Challenges, Distinguished Faculty Seminar, <strong>IIT</strong>,<br />
Chicago, 2006<br />
Scientific and pr<strong>of</strong>essional societies <strong>of</strong> which a member<br />
None<br />
Honors and awards<br />
Lucent Extra-Ordinary Contribution Award (ECA), Employee Excellence Award, AT&T<br />
Sigma XI and Tau Beta Pi<br />
President <strong>of</strong> India Gold Medal, <strong>IIT</strong>, N. Delhi<br />
Institutional and pr<strong>of</strong>essional service in the last five years<br />
Reviews <strong>of</strong> three papers in IEEE EIT conference<br />
Co-Chair, Tutorials and Workshops, IEEE EIT Conference, Chicago, May 2007<br />
Organizer and Moderator, Panel discussion on India Telecom – Challenges and<br />
Opportunities, <strong>IIT</strong>-Midwest, Wheaton, IL, Oct 07<br />
Co-Convener <strong>of</strong> WiMAX Day at <strong>IIT</strong>, Mar 08<br />
201
Developed a sequence <strong>of</strong> two advanced short courses on Telecom Networks and<br />
Broadband Wireless<br />
Percentage <strong>of</strong> time available for research or scholarly activities<br />
0%<br />
Percentage <strong>of</strong> time committed to the program<br />
100%<br />
202
Name and Academic Rank<br />
Jovan Brankov, Assistant Pr<strong>of</strong>essor<br />
Degrees with fields, institution, and date<br />
Ph.D., Electrical Engineering, <strong>Illinois</strong> <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong>, 2002<br />
MS, Electrical Engineering, <strong>Illinois</strong> <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong>, 1999<br />
Dipl. Ing., Electrical Engineering, University <strong>of</strong> Belgrade, 1996<br />
Number <strong>of</strong> years <strong>of</strong> service on this faculty, including date <strong>of</strong> original appointment and<br />
dates <strong>of</strong> advancement in rank<br />
Five years.<br />
September 2002 - August 2004: Senior Research Associate<br />
September 2004 - August <strong>2008</strong>: Research Assistant Pr<strong>of</strong>essor <strong>of</strong> Electrical Engineering<br />
August <strong>2008</strong>: Assistant Pr<strong>of</strong>essor <strong>of</strong> Electrical Engineering<br />
Other related experience--teaching, industrial, etc.<br />
Consulting, Patents, etc.:<br />
Consultant for Nuclear Medicine Group, Siemens Medical Solutions USA, Inc., H<strong>of</strong>fman<br />
Estates, 2004- present<br />
States in which pr<strong>of</strong>essionally licensed or certified, if applicable<br />
None.<br />
Principal publications <strong>of</strong> last five years<br />
“A fast algorithm for accurate content-adaptive mesh generation,” (with Y. Yang, and M.<br />
N. Wernick) IEEE Transactions on Image Processing, vol. 12, pp. 866-881, 2003.<br />
“Segmentation <strong>of</strong> dynamic PET or fMRI images based on a similarity metric,” (with N.<br />
P. Galatsanos, Y. Yang, and M. N. Wernick) IEEE Transactions on Nuclear Science,<br />
vol. 50, pp. 1410-1414, 2003.<br />
“Multiple-image radiography,” (with M. N. Wernick, O. Wirjadi, D. Chapman, Z. Zhong,<br />
N. P. Galatsanos, Y. Yang, O. Oltulu, M. A. Anastasio, and C. Muehleman,) Physics<br />
in Medicine and Biology, vol. 48, pp. 3875-3895, 2003.<br />
“Learning a nonlinear channelized observer for image quality assessment,” (with I. El<br />
Naqa, Y. Yang, and M. N. Wernick) Conference Record <strong>of</strong> the 2003 IEEE Nuclear<br />
Science Symposium & Medical Imaging Conference, 2003.<br />
“Tomographic image reconstruction based on a content-adaptive mesh model,” (with Y.<br />
Yang, and M. N. Wernick) IEEE Transactions on Medical Imaging Conference, 2004.<br />
“Yes, You Can See Cartilage With X-rays (Diffraction Enhanced Imaging for Cartilage<br />
and Bone),” (with C. Muehleman, J. Li, M. Wernick, K. Kuettner, and Z. Zhong)<br />
Journal <strong>of</strong> Musculosketal and Neuronal Interactions, vol. 4, no. 4, pp. 369-370, 2004.<br />
“4D Smoothing <strong>of</strong> gated SPECT images using a left-ventricle shape model and a<br />
deformable mesh,” (with Y. Yang, B. Feng, M. A. King, and M. N. Wernick)<br />
Conference Record <strong>of</strong> the 2004 IEEE Nuclear Science Symposium & Medical<br />
Imaging Conference, 2004.<br />
“Digital watermarking robust to geometric distortions,” (with P. Dong, N. P. Galatsanos,<br />
Y. Yang, and F. Davoine,) IEEE Transactions on Image Processing, vol. 14, no.12,<br />
pp. 2140-2150, 2005.<br />
203
“Spatio-temporal processing <strong>of</strong> gated SPECT images using deformable mesh modeling,”<br />
(with Y. Yang, and M. N. Wernick) Medical Physics , vol. 32, no. 9, pp. 2839-2849,<br />
2005.<br />
“Multiple-image radiography for s<strong>of</strong>t tissue,” (with C. Muehleman, J. Li, Z. Zhong, and<br />
M. N. Wernick) Journal <strong>of</strong> Anatomy vol. 208, pp. 115-124, 2006.<br />
“A computed tomography implementation <strong>of</strong> multiple-image radiography, “ (with M. N.<br />
Wernick, Y. Yang, J. Li, C. Muehleman, Z. Zhong, and M. A. Anastasio) Medical<br />
Physics, vol. 33, no. 2, pp. 278-289, 2006.<br />
“A physical model <strong>of</strong> multiple-image radiography,” (with G. Khelashvili, D. Chapman,<br />
Z. Zhong, Y. Yang, and M. N. Wernick) Physics in Medicine and Biology, vol. 51,<br />
no. 2, pp. 221-236, 2006. – <strong>Institute</strong> <strong>of</strong> Physics (IOP) select award<br />
“Spatially-adaptive temporal smoothing for dynamic image sequences,” (with M. N.<br />
Wernick, M. A. King, Y. Yang, and M. V. Narayanan) IEEE Transactions on Nuclear<br />
Science, vol. 53, Issue 5, Part 1, pp. 2769 – 2777, Oct. 2006.<br />
“An extended diffraction exanced imaging method for implementing multiple-image<br />
rediography,” (with C.-Y. Chou, M. A. Anastasio, J. G. Brankov, M. N. Wernick, E.<br />
M. Brey, D. M. Connor, and Z. Zhong) accepted to Physics in Medicine and Biology.<br />
Scientific and pr<strong>of</strong>essional societies <strong>of</strong> which a member<br />
<strong>Institute</strong> for Electrical and Electronic Engineers (IEEE) – senior member.<br />
Honors and Awards:<br />
<strong>Institute</strong> <strong>of</strong> Physics (IOP) select award for the paper “A physical model <strong>of</strong> multiple-image<br />
radiography”<br />
Institutional and Pr<strong>of</strong>essional Service:<br />
Associate Editor, Medical Physics, 2005-present<br />
Reviewer for 8 Journals.<br />
Technical comity: IEEE: MIC 2005-present, ICTA’05<br />
Percentage <strong>of</strong> time available for research or scholarly activities<br />
67%<br />
Percentage <strong>of</strong> time Committed to the Program:<br />
33%<br />
204
Name and Academic Rank<br />
Yu Cheng, Assistant Pr<strong>of</strong>essor<br />
Degrees with fields, institution, and date<br />
Ph. D. (ECE), University <strong>of</strong> Waterloo, Canada, 2003<br />
M. E., Tsinghua University, PR China, 1998<br />
B. E. Tsinghua University, PR China, 1995<br />
Number <strong>of</strong> years <strong>of</strong> service on this faculty, including date <strong>of</strong> original appointment and<br />
dates <strong>of</strong> advancement in rank<br />
Two years <strong>of</strong> service:<br />
2006-present, Assistant Pr<strong>of</strong>essor<br />
Other related experience – teaching, industrial, etc.<br />
Postdoctoral research fellow, with a fellowship award from Natural Sciences and<br />
Engineering Research Council <strong>of</strong> Canada (NSERC), University <strong>of</strong> Toronto, 2004-<br />
2006<br />
Research assistantship and teaching assistantship, University <strong>of</strong> Waterloo, 1999-2003<br />
Consulting, patents, etc.<br />
None<br />
State(s) in which registered<br />
None<br />
Principle publications <strong>of</strong> last five years<br />
Y. Cheng, X. Ling, W. Song, L. Cai, W. Zhuang, and X. Shen, "A cross-layer approach<br />
for WLAN voice capacity planning", IEEE Journal on Selected Areas <strong>of</strong><br />
Communications, vol. 25, no. 4, pp. 678-688, May 2007.<br />
Y. Cheng, V. Ravindran, and A. Leon-Garcia, "Internet traffic characterization using<br />
packet-pair probing", in Proc. IEEE INFOCOM'07, Anchorage, Alaska, May 6-12,<br />
2007.<br />
Y. Cheng, W. Zhuang, and X. Ling, "FBM model based network-wide performance<br />
analysis with service differentiation ", in Proc. International Conference on<br />
Heterogeneous Networking for Quality, Reliability, Security and Robustness<br />
(QShine), Vancouver, Canada, August 14 - 17, 2007. (Best Paper Award)<br />
Y. Cheng and W. Zhuang, "Dynamic inter-SLA resource sharing in path-oriented<br />
differentiated services networks", IEEE/ACM Transactions on Networking, vol. 14,<br />
no. 3, pp. 657-670, Jun. 2006.<br />
Y. Cheng, H. Jiang, W. Zhuang, Z. Niu, and C. Lin, "Efficient resource allocation for<br />
China's 3G/4G wireless networks", IEEE Communications Magazine, vol. 43, no. 1,<br />
pp. 76-83, Jan. 2005.<br />
C.W. Leong, W. Zhuang, Y. Cheng, and L. Wang, "Call admission control for wireless<br />
systems supporting integrated on/<strong>of</strong>f voice and best effort data services", IEEE<br />
Transactions on Communications, vol. 52, no. 5, pp. 778-790, May 2004.<br />
Y. Cheng and W. Zhuang, "Effective bandwidth <strong>of</strong> multiclass Markovian traffic sources<br />
and admission control with dynamic buffer partitioning", IEEE Transactions on<br />
Communications, vol. 51, no. 9, pp. 1524-1535, Sept. 2003.<br />
Scientific and pr<strong>of</strong>essional societies <strong>of</strong> which a member<br />
205
IEEE, ACM<br />
Honors and awards<br />
Best paper award, International Conference on Heterogeneous Networking for Quality,<br />
Reliability, Security, and Robustness (QShine?07), Vancouver, British Columbia,<br />
Canada, Aug. 14-17, 2007.<br />
Best Paper Award (3rd Place), IEEE Electro/Information <strong>Technology</strong> Conference (EIT),<br />
Chicago, <strong>Illinois</strong>, May 17-20, 2007.<br />
Natural Sciences and Engineering Research Council <strong>of</strong> Canada (NSERC) Postdoctoral<br />
Fellowship Award, 2004, 2005<br />
Institutional and pr<strong>of</strong>essional service in last five years<br />
Associated Editor, IEEE Transactions on Vehicular <strong>Technology</strong><br />
Technical Program Co-Chair, Wireless Networking Symposium, IEEE ICC 2009<br />
Technical Program Committee Member, IEEE INFOCOM 2009<br />
Workshops Chair, The Fifth International ICST Conference on Heterogeneous<br />
Networking for Quality, Reliability, Security and Robustness (QShine <strong>2008</strong>)<br />
Attended at least one <strong>of</strong> the major IEEE/ACM conferences (ICC, GLOBECOM,<br />
INFOCOM) each year.<br />
Percentage <strong>of</strong> time available for research or scholarly activities<br />
67%<br />
Percentage <strong>of</strong> time committed to the program<br />
33%<br />
206
Name and Academic Rank<br />
Ken Choi, Assistant Pr<strong>of</strong>essor<br />
Degrees with fields, institution, and date<br />
Post Doc, University <strong>of</strong> Tokyo, 2005<br />
Ph.D. (EE), Georgia <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong>, 2003<br />
Master, KyungHee University, 1993<br />
B.E.E, KyungHee University, 1991<br />
Number <strong>of</strong> years <strong>of</strong> service on this faculty, including date <strong>of</strong> original appointment and<br />
dates <strong>of</strong> advancement in rank<br />
One years <strong>of</strong> service:<br />
Original Appointment to <strong>IIT</strong>, Fall 2007<br />
2007-present Assistant Pr<strong>of</strong>essor<br />
Other related experience--teaching, industrial, etc.<br />
2005.8-2007,7 Sequence Design Inc., Boston, Massachusetts, USA, Proposed and<br />
developed in a commercial product for STA-based Vectorless power-switch sizing<br />
optimization for MTCMOS Power Gating circuits for ultra-low power applications.<br />
Spring, 2004 - 2005 University <strong>of</strong> Tokyo, Tokyo, Japan, Title: Post-Doctoral Research<br />
Associate, Projects: “Circuit techniques for low-leakage mobile applications”<br />
supported by STARC, Co-advice for a Master student<br />
Fall, 2000 - 2003 Georgia <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong>, Atlanta, Georgia, Title: Graduate<br />
research assistant, Wrote three PhD level research proposals and accepted by NASA,<br />
DARPA, and NSF, Projects: “COPAC: compiler optimization for power aware<br />
computing” from DARPA “S<strong>of</strong>tware-Hardware-<strong>Technology</strong> co-optimization for ultra<br />
low-power architecture by delay considerations ” from NSF “Wireless channel<br />
modeling and forward error correction mechanisms” from NASA<br />
Fall, 2000 - 2003 Georgia <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong>, Atlanta, Georgia, Title: Teaching<br />
assistant, EE3060 (VLSI Design), CS4801 (Telecommunications Lab.), and CS3251<br />
(Computer Networking I)<br />
Consulting, patents, etc.<br />
2005.8-2007.8 technical consultant for low-power system-on-chip design for mobile<br />
applications with major semiconductor companies such as Toshiba, Samsung, LG<br />
Electronics, Dongbu Hitek, and Analog Device (fabricated several chips successfully<br />
with 130/90/65 nm).<br />
State(s) in which registered<br />
None<br />
Principal publications <strong>of</strong> last five years<br />
K-w. Choi and A. Chatterjee, “HiPOS: Hierarchical Power Optimization Strategy for<br />
ultra low-power CMOS VLSI,” submitted to IEEE Transactions <strong>of</strong> VLSI Systems,<br />
2005.<br />
K-w. Choi and A. Chatterjee, “Gate-level power-aware optimization via graph-based<br />
timing analysis for ultra low-power CMOS VLSI,” submitted to IEEE/ACM<br />
Transactions on Design Automation <strong>of</strong> Electronic Systems(TODAES), 2005.<br />
207
K-w. Choi, Y. Xu, and T. Sakurai, “Optimal Zigzag (OZ): an effective yet feasible<br />
power-gating scheme achieving two orders <strong>of</strong> magnitude lower standby leakage,” in<br />
VLSI Symposium, 2005.<br />
K-w. Choi, K.M. Choi and J.T. Kong, “Full-Chip-Level Considerations for Fine-Grained<br />
Power-Gating Scheme to Reduce Two Orders <strong>of</strong> Magnitude Lower Leakage<br />
Current,” in ISOCC 2005<br />
K-w. Choi, Jerry Frenkil, “VEDA: Vectorless Event-Driven Approach for Optimal<br />
Switch Sizing <strong>of</strong> Power-Gating Circuits to Reduce Two Orders <strong>of</strong> Magnitude <strong>of</strong><br />
Leakage Power,” in SAME conference in Nice, France, Oct., 2006.<br />
K-w. Choi and A. Chatterjee, “UDSM (ultra deep submicron)-aware post-layout device<br />
and interconnect co-optimization for ultra low-power CMOS VLSI,” ISLPED, 2003.<br />
Scientific and pr<strong>of</strong>essional societies <strong>of</strong> which a member<br />
IEEE-VLSI, CAD, Circuits and Systems Communications, and ACM/SIGDA-Design<br />
Automation<br />
Honors and awards<br />
Yahoo Business Newspaper selected the SAME paper as an outstanding research findings<br />
(Sept., 19 th , 2006)<br />
Doctoral thesis topic is awarded for SIGDA PhD Forum at Design Automation<br />
Conference (DAC 2003).<br />
Wrote three PhD level research project proposals (accepted by NASA, DARPA, and<br />
NSF)<br />
Perfect grade (4.0/4.0, highest ever) during master’s school<br />
Full tuition scholarship from Master’s school for top place in admission examination<br />
Two-year full tuition scholarship from under graduate school<br />
Institutional and pr<strong>of</strong>essional service in the last five years<br />
Member <strong>of</strong> IEEE Transactions on VLSI review Committee<br />
Member <strong>of</strong> IEEE Transactions on CAD<br />
Member <strong>of</strong> IEEE Transactions on Circuits and Systems<br />
Member <strong>of</strong> ACM Transactions on Design Automation <strong>of</strong> Electronics Systems<br />
Percentage <strong>of</strong> time available for research or scholarly activities<br />
67%<br />
Percentage <strong>of</strong> time committed to the program<br />
33%<br />
208
Name and academic rank<br />
Ali Emadi, Pr<strong>of</strong>essor<br />
Degrees with fields, institution, and date<br />
Ph.D., Electrical Engineering, Texas A&M University, College Station, Texas, 2000<br />
M.S., Electrical Engineering, Sharif University <strong>of</strong> <strong>Technology</strong>, Tehran, Iran, 1997<br />
B.S., Electrical Engineering, Sharif University <strong>of</strong> <strong>Technology</strong>, Tehran, Iran, 1995<br />
Number <strong>of</strong> years <strong>of</strong> service on this faculty, including date <strong>of</strong> original appointment and<br />
dates <strong>of</strong> advancement in rank<br />
Eight years <strong>of</strong> service:<br />
Original Appointment to <strong>IIT</strong>, August 2000<br />
2005-present Director, Electric Power and Power Electronics Center<br />
2006-present Pr<strong>of</strong>essor<br />
2005-2006 Associate Pr<strong>of</strong>essor<br />
2000-2005 Assistant Pr<strong>of</strong>essor<br />
Other related experience, i.e., teaching, industrial, etc.<br />
1998-2000 Research Assistant, Electrical Engineering Department, Texas A&M<br />
University<br />
1996-1997 Lecturer and Power Electronics Lab Manager, Sharif University <strong>of</strong><br />
<strong>Technology</strong><br />
1994-1995 Project Engineer, Electrical Power Research Center, Tehran, Iran.<br />
Consulting, patents, etc.<br />
6 patents pending<br />
P. C. Desai and A. Emadi, Switched Reluctance Machine, US 7,230,360, June 12, 2007.<br />
A. Emadi, F. Rodriguez, and P. C. Desai, Digital Control <strong>of</strong> Motor Drives, US 7,193,385,<br />
March 20, 2007.<br />
R. Jayabalan and A. Emadi, Combustion Engine Acceleration Support Using an<br />
Integrated Starter/Alternator, US 7,024,859, April 11, 2006.<br />
States in which pr<strong>of</strong>essionally licensed or certified, if applicable<br />
None<br />
Principal publications <strong>of</strong> the last five years<br />
67 Journal Papers 133 Conference Papers (Published), 28 Tutorials, Short Courses, and<br />
Keynote Speeches<br />
Books:<br />
A. Emadi, Handbook <strong>of</strong> Automotive Power Electronics and Motor Drives, New York,<br />
NY: Marcel Dekker, ISBN: 0-8247-2361-9, May 2005.<br />
M. Ehsani, Y. Gao, S. E. Gay, and A. Emadi, Modern Electric, Hybrid Electric, and Fuel<br />
Cell Vehicles: Fundamentals, Theory, and Design, Boca Raton, FL: CRC Press,<br />
ISBN: 0-8493-3154-4, Dec. 2004.<br />
A. Emadi, A. Nasiri, and S. B. Bekiarov, Uninterruptible Power Supplies and Active<br />
Filters, Boca Raton, FL: CRC Press, ISBN: 0-8493-3035-1, Oct. 2004.<br />
A. Emadi, Energy-Efficient Electric Motors: Selection and Applications, New York, NY:<br />
Marcel Dekker, ISBN: 0-8247-5735-1, Sept. 2004.<br />
209
A. Emadi, M. Ehsani, and J. M. Miller, Vehicular Electric Power Systems: Land, Sea,<br />
Air, and Space Vehicles, New York, NY: Marcel Dekker, ISBN: 0-8247-4751-8, Dec.<br />
2003.<br />
Scientific and pr<strong>of</strong>essional societies <strong>of</strong> which a member<br />
IEEE, Power Electronics Society, Industrial Electronics Society, Vehicular <strong>Technology</strong><br />
Society, Industry Applications Society, Power Engineering Society, Society <strong>of</strong><br />
Automotive Engineers (SAE)<br />
Honors and awards<br />
2005 Richard M. Bass Outstanding Young Power Electronics Engineer Award (single<br />
award), IEEE-PELS.<br />
2004, 2005 IEEE Vehicular <strong>Technology</strong> Society’s Paper <strong>of</strong> the Year Award in<br />
Automotive Electronics (single award).<br />
2003 Eta Kappa Nu Outstanding Young Electrical Engineer <strong>of</strong> the Year (single award)<br />
for outstanding contributions to hybrid electric vehicle conversion, Eta Kappa Nu<br />
Association, the Electrical Engineering Honor Society.<br />
2005 Best Pr<strong>of</strong>essor <strong>of</strong> the Year Award (single award voted by students), IEEE Student<br />
Branch, <strong>Illinois</strong> <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong>.<br />
2004 Sigma Xi/<strong>IIT</strong> Award for Excellence in University Research (single award), <strong>Illinois</strong><br />
<strong>Institute</strong> <strong>of</strong> <strong>Technology</strong>.<br />
Institutional and pr<strong>of</strong>essional service in the last five years<br />
Editor (North America), International Journal <strong>of</strong> Electric and Hybrid Vehicles.<br />
Associate Editor, IEEE Transactions on Vehicular <strong>Technology</strong>, 2004-2007.<br />
Percentage <strong>of</strong> time available for research or scholarly activities<br />
62%<br />
Percentage <strong>of</strong> time committed to the program<br />
33%<br />
210
Name and Academic Rank<br />
Alexander J. Flueck, Associate Pr<strong>of</strong>essor<br />
Degrees with fields, institution, and date<br />
B.S.E.E., Cornell University, May 1991<br />
M.Eng., Cornell University, August 1992<br />
Ph.D., Cornell University, August 1996<br />
Number <strong>of</strong> years <strong>of</strong> service on this faculty, including date <strong>of</strong> original appointment and<br />
dates <strong>of</strong> advancement in rank<br />
12 years <strong>of</strong> service:<br />
Assistant Pr<strong>of</strong>essor, August 1996 to August 2002 (6 years)<br />
Associate Pr<strong>of</strong>essor, August 2002 to present (6 years)<br />
Other related experience--teaching, industrial, etc.<br />
Assoc. Dean, Research, Grad. College, <strong>Illinois</strong> Inst. <strong>of</strong> Tech., Chicago, IL, Aug 2002-<br />
Aug 2006<br />
Consulting, patents, etc.<br />
A. J. Flueck, J. R. Dondeti, “Nonlinear Contingency Screening for Voltage Collapse,”<br />
United States Patent # 6,496,757, Issued December 17, 2002.<br />
S&C Electric, Dec 2006-Mar 2007, “Research and Development <strong>of</strong> an Agent-Based<br />
System for Distribution Automation”. Exelon/ComEd, Apr 2007-Dec 2007,<br />
“Research and Development <strong>of</strong> a Complex Load Model for Dynamics Analysis”.<br />
State(s) in which registered<br />
None<br />
Principal publications <strong>of</strong> last five years<br />
S. Abhyankar, A. J. Flueck, “Simulating Voltage Collapse Dynamics for Power Systems<br />
with Constant Power Load Models”, Accepted for publication in Proceedings <strong>of</strong> the<br />
IEEE PES <strong>2008</strong> General Meeting, Pittsburgh, Pennsylvania, July <strong>2008</strong>.<br />
C. Nguyen, A. J. Flueck, “Impacts <strong>of</strong> Merit Order Based Dispatch on Transfer Capability<br />
and Static Voltage Stability”, Accepted for publication in Proceedings <strong>of</strong> the IEEE<br />
PES <strong>2008</strong> General Meeting, Pittsburgh, Pennsylvania, July <strong>2008</strong>.<br />
W. Qiu, A. J. Flueck, F. Tu, “A New Parallel Algorithm for Security Constrained<br />
Optimal Power Flow with a Nonlinear Interior Point Method,” Proceedings <strong>of</strong> the<br />
IEEE PES 2005 General Meeting, San Francisco, California, June 2005.<br />
A. Srivastava, A. J. Flueck, “A New Two-Stage Contingency Ranking Algorithm For<br />
Large Scale Power System,” Proceedings <strong>of</strong> the IEEE PES 2005 General Meeting,<br />
San Francisco, California, June 2005.<br />
W. Qiu, A. J. Flueck, “A New Technique for Evaluating the Severity <strong>of</strong> Generator<br />
Outage Contingencies Based on Two-Parameter Continuation,” Proceedings <strong>of</strong> the<br />
IEEE PES 2004 Power Systems Conference and Exposition, New York, New York,<br />
October 2004.<br />
A. J. Flueck, W. Qiu, “A New Technique for Evaluating the Severity <strong>of</strong> Branch Outage<br />
Contingencies Based on Two-Parameter Continuation,” Proceedings <strong>of</strong> the IEEE PES<br />
2004 General Meeting, Denver, Colorado, June 2004.<br />
Scientific and pr<strong>of</strong>essional societies <strong>of</strong> which a member<br />
211
IEEE, ASEE<br />
Honors and awards<br />
NSF CAREER award: Available Transfer Capability <strong>of</strong> Deregulated Power Systems - A<br />
Nonlinear Predictive Approach<br />
Institutional and pr<strong>of</strong>essional service in the last five years<br />
IEEE Power Engineering Society, Career Promotion and Workforce Development<br />
Subcommittee Chair 2004-<strong>2008</strong><br />
IEEE Power Engineering Society, Transmission & Distribution Conference & Exposition<br />
Collegiate/GOLD Program Chair 2007-<strong>2008</strong><br />
<strong>IIT</strong> High Performance Computing Center Chair<br />
Reviewer for IEEE PES General Meetings<br />
Reviewer for IEEE Transactions on Power Systems<br />
Reviewer for IEEE International Symposium on Circuits and Systems<br />
Reviewer for Power Systems Computation Conference<br />
IEEE Power Engineering Society General Meeting 2007, Tampa FL<br />
IEEE Power Engineering Society General Meeting 2006, Tampa FL<br />
IEEE Power Engineering Society Power Systems Conference & Exposition 2006, Atlanta<br />
GA<br />
IEEE Power Engineering Society General Meeting 2005, San Francisco CA<br />
IEEE Power Engineering Society Power Systems Conference & Exposition 2004, New<br />
York NY<br />
IEEE Power Engineering Society General Meeting 2004, Denver CO<br />
IEEE Power Engineering Society General Meeting 2003, Toronto Ontario<br />
Percentage <strong>of</strong> time available for research or scholarly activities<br />
33%<br />
Percentage <strong>of</strong> time committed to the program<br />
67%<br />
212
Name and academic rank<br />
Alireza Khaligh, Assistant Pr<strong>of</strong>essor<br />
Degrees with fields, institution, and date<br />
Ph.D., Electrical Engineering, <strong>Illinois</strong> <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong>, Chicago, IL, 2006.<br />
M.S., Electrical Engineering, Sharif University <strong>of</strong> <strong>Technology</strong>, Iran, 2001.<br />
B.S., Electrical Engineering, Sharif University <strong>of</strong> <strong>Technology</strong>, Iran, 1999.<br />
Number <strong>of</strong> years <strong>of</strong> service on this faculty, including date <strong>of</strong> original appointment and<br />
dates <strong>of</strong> advancement in rank<br />
One years <strong>of</strong> service:<br />
Original appointment to <strong>IIT</strong>, July 2007<br />
Other related experience, i.e., teaching, industrial, etc.<br />
Post-Doctoral Research Associate, Grainger Center for Electric Machinery and<br />
Electromechanics, University <strong>of</strong> <strong>Illinois</strong> at Urbana-Champaign, May 2006-July 2007<br />
Doctoral Research Assistant, Electric Power and Power Electronic Center, <strong>Illinois</strong><br />
<strong>Institute</strong> <strong>of</strong> <strong>Technology</strong>, Chicago, <strong>Illinois</strong>, Aug 2004-May 2006<br />
Project Engineer, Embedded Electronic Group, C. E. Nieh<strong>of</strong>f & Co., Evanston, IL, May<br />
2005-Sept. 2005.<br />
Doctoral Research Assistant, Sharif University <strong>of</strong> <strong>Technology</strong>, Sept. 2002 – Aug. 2004.<br />
Senior Project Engineer, Moshanir Power Engineering Consultant Company, March<br />
2001-Aug. 2004.<br />
Consulting, patents, etc.<br />
Alireza Khaligh and Ali Emadi, Digital Combination <strong>of</strong> Power Converters, invention<br />
disclosure, <strong>IIT</strong>.<br />
Alireza Khaligh, Multiple-input converter topology, invention disclosure, <strong>IIT</strong><br />
States in which pr<strong>of</strong>essionally licensed or certified, if applicable<br />
None.<br />
Principal publications <strong>of</strong> the last five years<br />
A. Khaligh and M. Vakilian, “Power transformers internal insulation design<br />
improvements using electric field analysis through finite element methods,” IEEE<br />
Transactions on Magnetics, vol. 44, pp. 273 – 278, Feb. <strong>2008</strong>.<br />
A. Khaligh, A. M. Rahimi, Y. J. Lee, J. Cao, A. Emadi, S. D. Andrews, C. Robinson, and<br />
C. Finnerty, “Digital control <strong>of</strong> an isolated active hybrid fuel cell/Li-ion battery<br />
power supply,” IEEE Transactions on Vehicular <strong>Technology</strong>, vol. 56, pp. 3709 -<br />
3721, Nov. 2007.<br />
A. Khaligh and A. Emadi, “Suitability <strong>of</strong> pulse adjustment technique to control single<br />
dc/dc choppers feeding vehicular constant power loads in parallel with conventional<br />
loads,” International Journal <strong>of</strong> Electric and Hybrid Vehicles, vol. 1, no. 1, pp. 20–<br />
45, 2007.<br />
A. Khaligh and A. Emadi, “Stabilizing control <strong>of</strong> DC/DC buck converters with constant<br />
power loads in continuous conduction and discontinuous conduction modes using<br />
digital Power Alignment technique,” International Transactions on Electrical<br />
Machinery and Energy Conversion Systems, vol. 1, no. 1, pp. 63–72, March 2006.<br />
213
A. Khaligh, A. Emadi, G. A. Williamson, and C. Rivetta, “Constant power load<br />
characteristics in multi-converter automotive power electronic intensive systems,”<br />
Society <strong>of</strong> Automotive Engineers (SAE) Journal, Paper No. 2005-01-3451, 2005; and,<br />
in Proc. SAE 2005 International Future Transportation <strong>Technology</strong> Conference,<br />
Chicago, IL, Sept. 2005.<br />
A. Khaligh and M. Varahram, “High temperature superconducting transformers<br />
performance, application and characteristics,” International WSEAS Transactions on<br />
Power Systems, Paper No. 470-223, 2004; and in Proc. International Conference on<br />
Power Engineering Systems, Rio de Janeiro, Brazil, Oct. 2004.<br />
A. Khaligh, M. Vakilian, and M. S. Naderi, “A method for power transformers insulation<br />
design improvements through electric field determination,” Scientia Iranica,<br />
International Journal <strong>of</strong> Science and <strong>Technology</strong>, vol. 10, no. 4, pp. 1– 9, Oct. 2003.<br />
Scientific and pr<strong>of</strong>essional societies <strong>of</strong> which a member<br />
Member, IEEE Power Electronics Society (PELS), Industrial Electronics Society (IES),<br />
and Vehicular <strong>Technology</strong> Society (VTS).<br />
Member SAE.<br />
Member Sigma-Xi honor society.<br />
Honors and awards<br />
Exceptional Talents Fellowship Award, Sharif University <strong>of</strong> <strong>Technology</strong>, 2003<br />
Distinguished Undergraduate Student Award, Sharif University <strong>of</strong> <strong>Technology</strong>, 1999<br />
Institutional and pr<strong>of</strong>essional service in the last five years<br />
Guest Editor, Special Section <strong>of</strong> IEEE Transactions on Vehicular <strong>Technology</strong> on Energy<br />
Storage Systems, <strong>2008</strong>.<br />
Associate Editor, IEEE Transactions on Vehicular <strong>Technology</strong>, 2007–.<br />
Technical Program Chair, Approved Proposal in 2007 VPPC to host the 2011 IEEE<br />
Vehicle Power and Propulsion Conference in Chicago, IL.<br />
Session Chair, 2007 IEEE Vehicle Power and Propulsion Conference, Arlington, TX.<br />
Member, Vehicle Power and Propulsion Committee, IEEE Vehicular <strong>Technology</strong><br />
Society.<br />
Percentage <strong>of</strong> time available for research or scholarly activities<br />
67%<br />
Percentage <strong>of</strong> time committed to the program<br />
33%<br />
214
Name and Academic Rank<br />
Zuyi Li, Assistant Pr<strong>of</strong>essor<br />
Degrees with fields, institution, and date<br />
PHD in Electrical Engineering, <strong>Illinois</strong> <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong>, July 2002<br />
Number <strong>of</strong> years <strong>of</strong> service on this faculty, including date <strong>of</strong> original appointment and<br />
dates <strong>of</strong> advancement in rank<br />
Four years <strong>of</strong> service:<br />
Originally employed as Assistant Pr<strong>of</strong>essor in August 2004.<br />
Other related experience--teaching, industrial, etc.<br />
Consulting for the electric power industry in the United States and China<br />
Consulting, patents, etc.<br />
American Transmission Company, 2007-present<br />
Market-based Transmission Outage Cost Assessment<br />
Siemens Power Transmission and Distribution, Minneapolis, MN, 2006-present<br />
Equipment Maintenance Scheduling with Security-Constrained Unit Commitment<br />
ISO New England, 2006<br />
Improving Long-term Transmission Outage<br />
Nexant Corporation, San Francisco, CA, 2003-2006<br />
Security-Constrained Unit Commitment with AC Network Constraints<br />
Exelon Corporation, Chicago, IL, 2002<br />
Probabilistic Transmission Risk Analysis for the ComEd’s Control Area during Expected<br />
Operating Conditions in the summer <strong>of</strong> 2003<br />
KEMA Consulting, Fairfax, VA, 2001<br />
Evaluation <strong>of</strong> commercial s<strong>of</strong>tware capabilities for the Calpine’s current and future<br />
generation scheduling projects<br />
Open Access <strong>Technology</strong> International, Inc., 2000<br />
Locational Marginal Price (LMP) Calculation<br />
Chinese Power Industry, 1997-1999<br />
Daily Transaction System for Inner Mongolian Power Market, 1998-1999<br />
Daily Operation <strong>of</strong> North China Power Grid with Pump-Storage Plant, 1998<br />
Inner-Plant Operation <strong>of</strong> Ertan Hydro Plant, 1997-1998<br />
State(s) in which registered<br />
None<br />
Principal publications <strong>of</strong> last five years<br />
M. Shahidehpour, H. Yamin, and Zuyi Li, “Market Operations in Electric Power<br />
Systems”, John Wiley & Sons, Inc., February 2002<br />
M. Shahidehpour and Zuyi Li, “Operation and Control <strong>of</strong> Electric Energy Systems”,<br />
Under Contract, John Wiley & Sons, Inc., <strong>2008</strong><br />
Y. Fu, M. Shahidehpour, and Zuyi Li, “Security-constrained optimal coordination <strong>of</strong><br />
generation and transmission maintenance outage scheduling,” IEEE Transactions on<br />
Power Systems, Vol. 22, No. 3, pp. 1302-1313, August 2007<br />
H. KhorashadiZadeh and Zuyi Li, “An ANN Based Approach to Improve the Distance<br />
Relaying Algorithm,” Turkish Journal <strong>of</strong> Electrical Engineering & Computer<br />
Sciences, Vol. 14, pp. 345-354, 2006<br />
215
Y. Fu, M. Shahidehpour, and Zuyi Li, “Long-term security-constrained unit commitment:<br />
hybrid Dantzig-Wolfe decomposition and subgradient approach,” IEEE Transactions<br />
on Power System, Vol. 20, No. 4, pp. 2093-2106, November 2005<br />
Y. Fu, Zuyi Li, and M. Shahidehpour, “Pr<strong>of</strong>it-based generation resource planning,” The<br />
IMA Journal <strong>of</strong> Management Mathematics, Vol. 15, No. 4, pp. 273-289, October<br />
2004<br />
Zuyi Li and M. Shahidehpour, “Generation scheduling with thermal stress constraints”,<br />
IEEE Transactions on Power System, Vol. 18, No. 2, pp. 1402-1409, May 2003<br />
Scientific and pr<strong>of</strong>essional societies <strong>of</strong> which a member<br />
Member <strong>of</strong> IEEE Power Engineering Society<br />
Honors and awards<br />
None<br />
Institutional and pr<strong>of</strong>essional service in the last five years<br />
Graduate Special, Certificate and BSEET Advisors<br />
Undergraduate Program Committee<br />
2005: Participant in NSF Small Business Innovative Research (SBIR) review panel<br />
2007: Participant in NSF Small Business Innovative Research (SBIR) review panel<br />
<strong>2008</strong>: Serve on the Editorial Board <strong>of</strong> Electric Power Components and Systems<br />
NSF Sponsored Workshop – Teaching <strong>of</strong> First Course in Power Systems, Orlando,<br />
Florida, February 11-13, 2005.<br />
Armour College <strong>of</strong> Engineering Teaching Workshop, Chicago, <strong>Illinois</strong>, April 13, 2007<br />
ONR-EPRI-AEP sponsored workshop to discuss the Curriculum in Electric Energy<br />
Systems, Napa, California, February 7-9, <strong>2008</strong>.<br />
Percentage <strong>of</strong> time available for research or scholarly activities<br />
67%<br />
Percentage <strong>of</strong> time committed to the program<br />
33%<br />
216
Name and Academic Rank<br />
Joseph L. LoCicero, Pr<strong>of</strong>essor<br />
Degrees with fields, institution, and date<br />
Ph.D. (EE), The City University <strong>of</strong> New York, 1976<br />
M.E.E, The City College <strong>of</strong> New York, 1971<br />
B.E.E (Magna Cum Laude), The City College <strong>of</strong> New York, 1970<br />
Number <strong>of</strong> years <strong>of</strong> service on this faculty, including date <strong>of</strong> original appointment and<br />
dates <strong>of</strong> advancement in rank<br />
32 years <strong>of</strong> service:<br />
Original Appointment to <strong>IIT</strong>, August 1976<br />
2007-present Motorola Chair Pr<strong>of</strong>essor <strong>of</strong> Electrical & Computer Engineering<br />
Interim Chairman<br />
1987-present Pr<strong>of</strong>essor<br />
1986-1988 Acting Chairman<br />
1982-1987 Associate Pr<strong>of</strong>essor<br />
1982-1986 Assistant Chairman<br />
Other related experience--teaching, industrial, etc.<br />
Part-Time Lecturer, The City College <strong>of</strong> New York, 1972-75.<br />
Graduate Research Associate, NASA Grant, The City College <strong>of</strong> New York, 1975-76.<br />
Taught short courses in Communication Systems; Digital Modulation, Coding and Signal<br />
Processing; Digital Transmission and its Potential.<br />
Technical book reviewer for Brook/Cole Publishing Co., MacMillan Publishing Co.,<br />
Prentice-Hall Publishing Co., Addison-Wesley Publishing Co.<br />
Sabbatical Leave under Research Contracts, AT&T Bell Laboratories, Naperville, IL,<br />
1988-89.<br />
Consulting, patents, etc.<br />
"Utterance Verification using Word-Based Minimum Verification Error Training for<br />
Recognition <strong>of</strong> a Keyword String," (with R. A. Sukkar, G. Szeszko, and A. R. Setler),<br />
Patent No. 5,717,826, Feb. 10, 1998, 8 claims.<br />
Charles Industries Advanced Development Contract, "Discrete Multi-Tone<br />
Communications,” 1997-99.<br />
Fish & Naeve - barge-in patent analysis for speech recognition and response, 1999-2000.<br />
Charles Industries Advanced Development Contract, "Multi-User High Speed Wireline<br />
Communications,” 2000-2001.<br />
Cooper Power Systems, “Wireless Communications for Power Line Monitoring and<br />
Control,” 2002.<br />
Excelon Corporation (ComEd) – developed and taught PE review course in Analog &<br />
Digital Communications & Op Amp Filters, 2003-08.<br />
McAndrews, Held & Malloy – review and analysis <strong>of</strong> patents and technical product<br />
specifications for cell phone technology patent infringement, 2006-07.<br />
State(s) in which registered<br />
None<br />
Principle publications <strong>of</strong> last five years<br />
217
“Bandlimited Covert Data Communications Using Zinc Functions,” (with M. S. Nowak,<br />
D. R. Ucci), in Proc. IEEE Military Commun. Conf., Oct. 2002.<br />
“Interference Mitigation in IEEE 802.11g OFDM Systems with Smart Antennas and<br />
Tapped Delay Lines,” (with A. Z. Al-Banna and D. R. Ucci), in Proc. IEEE Military<br />
Commun. Conf., Milcom’06, Oct. 2006.<br />
“Characteristics <strong>of</strong> an Unintentional Wi-Fi Interference Device – The Residential<br />
Microwave Oven,” (with T. M. Taher, A. Z. Al-Banna, and D.R. Ucci), in Proc.<br />
IEEE Military Commun. Conf., Milcom’06, Oct. 2006.<br />
“Adaptive Antennas for Interference Mitigation <strong>of</strong> Barker/CCK Spread Wi-Fi Signals,”<br />
(with A. Z. Al-Banna and D.R. Ucci), in Proc. 31 st Annual IEEE Local Computer<br />
Networks Conf., LCN’06, in 2 nd Wkshp Perf. & Mgt Wireless & Mobile Nets,<br />
P2MNet’06, Nov. 2006.<br />
“Multi-Element Adaptive Arrays for Interference Mitigation for Multiple Barker/CCK<br />
Signals in IEEE 802.11b WLANs,” (with A. Z. Al-Banna and D. R. Ucci), in Proc.<br />
2007 IEEE Sarn<strong>of</strong>f Symp., April 2007.<br />
“Microwave Oven Signal Modeling,” (with T. M. Taher and D. R. Ucci), accepted for<br />
publication in Proc. IEEE Wireless Communications and Networking Conference,<br />
WCNC’08, April <strong>2008</strong>.<br />
Scientific and pr<strong>of</strong>essional societies <strong>of</strong> which a member<br />
IEEE, Communications Society, Signal Processing Society, Sigma Xi, N.Y. Academy <strong>of</strong><br />
Science, ASEE<br />
Honors and awards<br />
AT&T Bell Labs Patent Recognition Award, 1986<br />
<strong>IIT</strong> Award for Excellence in Teaching, 1987<br />
Donald W. McLellan IEEE Meritorious Service Award, 1993<br />
IEEE Communications Society Publication Exemplary Service Award, 1999<br />
IEEE Third Millennium Medal, 2000<br />
Motorola Chair Pr<strong>of</strong>essorship <strong>of</strong> Electrical & Computer Engineering, 2007<br />
Institutional and pr<strong>of</strong>essional service in the last five years<br />
Director <strong>of</strong> Journals for IEEE Communications Society, Jan. 2002 - Dec. 2003.<br />
Chair <strong>of</strong> IEEE ComSoc Bylaws Committee, Jan. 2007 - Dec. <strong>2008</strong><br />
Chair <strong>of</strong> ECE Department/University Scholarship Committee, 2007-<strong>2008</strong>.<br />
Percentage <strong>of</strong> time available for research or scholarly activities<br />
67%<br />
Percentage <strong>of</strong> time committed to the program<br />
33%<br />
218
Name and academic rank<br />
Erdal Oruklu, Ph.D, Assistant Pr<strong>of</strong>essor<br />
Degrees with fields, institution, and date<br />
Ph.D. CPE, <strong>Illinois</strong> <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong>, Chicago, <strong>Illinois</strong>, 2005<br />
M.Sc. EE, Bogazici University, Istanbul, Turkey, 1999<br />
B.Sc. EE, Technical University <strong>of</strong> Istanbul, Turkey, 1995<br />
Number <strong>of</strong> years <strong>of</strong> service on this faculty, including date <strong>of</strong> original appointment and<br />
dates <strong>of</strong> advancement in rank<br />
Three years <strong>of</strong> service:<br />
2006- now Assistant Pr<strong>of</strong>essor<br />
Visiting Assistant Pr<strong>of</strong>essor<br />
Other related experience, i.e., teaching, industrial, etc.<br />
1999-2000 System and Network Administrator, Chemical and Environmental<br />
Engineering Department, <strong>Illinois</strong> <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong>.<br />
1998-1999 IT consultant, OMAS ltd, Istanbul, Turkey<br />
Consulting, patents, etc.<br />
None<br />
States in which pr<strong>of</strong>essionally licensed or certified, if applicable<br />
None<br />
Principal publications <strong>of</strong> the last five years<br />
Y. Lu, E. Oruklu and J. Saniie, “Fast Chirplet Transform with FPGA implementation”,<br />
accepted for publication, IEEE Signal Processing Letters, March 2007.<br />
Xin Xiao, E. Oruklu and J. Saniie, “An Efficient FFT Engine with Reduced Addressing<br />
Logic”, under revision, IEEE Transactions on Circuits and Systems-II, December<br />
2007.<br />
E. Oruklu, S. Maharishi and J. Saniie, “Analysis <strong>of</strong> Ultrasonic 3-D Image Compression<br />
Using Non-Uniform, Separable Wavelet Transforms Ultrasonics Symposium”, IEEE<br />
Ultrasonics Symposium, pp. 154-157, October. 2007.<br />
S. Yoon, E. Oruklu, and J. Saniie, “Performance Evaluation <strong>of</strong> Neural Network Based<br />
Ultrasonic Flaw Detection”, IEEE Ultrasonics Symposium 2007, pp. 1579-1582,<br />
October 2007.<br />
V. Dave, E. Oruklu, and J. Saniie, “Design and Synthesis <strong>of</strong> a Three Input Flagged Prefix<br />
Adder”, ISCAS 2007 IEEE International Symposium on Circuits and Systems, pp.<br />
1081-1084, May 2007.<br />
J. Moskal, E. Oruklu and J. Saniie, “Design and Synthesis <strong>of</strong> a Carry-Free Signed-Digit<br />
Decimal Adder”, ISCAS 2007 IEEE International Symposium on Circuits and<br />
Systems, pp. 1089-1092, May 2007.<br />
E. Oruklu, G. Cardoso, and J. Saniie, “Reconfigurable Architecture for Ultrasonic Signal<br />
Compression and Target Detection”, IEEE International Conference on Acoustics,<br />
Speech, and Signal Processing (ICASSP '05), vol. 5, pp. 129-132, March 2005.<br />
Scientific and pr<strong>of</strong>essional societies <strong>of</strong> which a member<br />
IEEE Circuits and Systems Society Member<br />
IEEE Signal Processing Society Member<br />
219
Eta Kappa Nu member<br />
Honors and awards<br />
None<br />
Institutional and pr<strong>of</strong>essional service in the last five years<br />
Reviewer for IEEE Transactions on Instrumentation and Measurement, IEEE<br />
Transactions on VLSI, ACM GLVLSI Symposium, IEEE Electro Information<br />
<strong>Technology</strong> Conference.<br />
Conference Technical Session Chair in IEEE UFFC 2007 Symposium, and IEEE EIT<br />
2007 Conference.<br />
Eta Kappa Nu Faculty Advisor<br />
Student Supervision: 4 M.S. Theses and 1 Ph.D. Thesis.<br />
New courses developed and taught at <strong>IIT</strong>:<br />
ECE 584- VLSI Architectures for Signal Process. and Comm.<br />
ECE 743 – Signal and Data Compression<br />
Revised ECE-583, ECE-587 and ECE-242<br />
Percentage <strong>of</strong> time available for research or scholarly activities<br />
83%<br />
Percentage <strong>of</strong> time committed to the program<br />
17%<br />
220
Name and academic rank<br />
Kui Ren, Assistant Pr<strong>of</strong>essor<br />
Degrees with fields, institution, and date<br />
B.E., CHE, Zhejiang University, 1998<br />
M.E., MSE, Zhejiang University, 2001<br />
Ph.D., ECE, Worcester Polytechnic <strong>Institute</strong>, 2007<br />
Number <strong>of</strong> years <strong>of</strong> service on this faculty, including date <strong>of</strong> original appointment and<br />
dates <strong>of</strong> advancement in rank<br />
One year <strong>of</strong> service:<br />
Original Appointment to <strong>IIT</strong>, August 2007<br />
Other related experience, i.e., teaching, industrial, etc.<br />
Graduate Research Assistant, Teaching Assistant, Worcester Polytechnic <strong>Institute</strong>, 2004-<br />
2007<br />
Consulting, patents, etc.<br />
None<br />
States in which pr<strong>of</strong>essionally licensed or certified, if applicable<br />
None<br />
Principal publications <strong>of</strong> the last five years<br />
K. Ren and W. Lou, ``Communication Security in Wireless Sensor Networks," ISBN:<br />
978-3-8364-3668-7, VDM Verlag Dr. Muller, Germany, Jan., <strong>2008</strong><br />
K. Ren, W. Lou, and Y. Zhang, ``LEDS: Providing Location-aware End-to-end Data<br />
Security in Wireless Sensor Networks," To Appear, IEEE Transactions on Mobile<br />
Computing (TMC)<br />
K. Ren and W. Lou, ``A Sophisticated Privacy-enhanced Yet Accountable Security<br />
Framework for Wireless Mesh Networks," Accepted, IEEE ICDCS, Jun. 17-20,<br />
Beijing, China, <strong>2008</strong><br />
K. Ren, K. Zeng and W. Lou, ``Secure and Fault-tolerant Event Boundary Detection in<br />
Wireless Sensor Networks," IEEE Transactions on Wireless Communications<br />
(TWC), Vol. 7, No. 1, pp. 354-363, Jan., <strong>2008</strong><br />
K. Ren, W. Lou, K. Zeng, and P. Moran, ``On Broadcast Authentication in Wireless<br />
Sensor Networks," IEEE Transactions on Wireless Communications (TWC), Vol. 6,<br />
No. 11, pp. 4136-4144, Nov., 2007<br />
K. Ren and W. Lou, ``Privacy-enhanced, Attack-resilient Access Control in Pervasive<br />
Computing Environments with Optional Context Authentication Capability," ACM<br />
Mobile Networks and Applications (MONET), Vol. 12, pp.79-92, 2007<br />
K. Ren, W. Lou, R. Deng, and K. Kim, ``A Novel Privacy Preserving Authentication and<br />
Access Control Scheme in Pervasive Computing Environments," IEEE Transactions<br />
on Vehicular <strong>Technology</strong> (TVT), Vol. 55, No. 4, pp.1373-1384, July 2006<br />
K. Ren, K. Zeng, and W. Lou, ``A New Approach for Random Key Pre-distribution in<br />
Large Scale Wireless Sensor Networks," Wiley Journal <strong>of</strong> Wireless Communication<br />
and Mobile Computing (WCMC), Vol. 6, Issue 3, pp.307-318, 2006<br />
221
K. Ren, W. Lou, K. Zeng, F. Bao, J. Zhou, and R.. Deng, ``Routing Optimization<br />
Security in Mobile IPv6," Computer Networks (COMNET), Vol. 50, Issue 13,<br />
pp.2401-2419, Elsevier, 2006<br />
K. Ren, T. Li, Z. Wan, F. Bao, R. Deng, and K. Kim, ``Highly Reliable Trust<br />
Establishment Scheme in Ad-hoc Networks," Computer Networks (COMNET), Vol.<br />
45, Issue 6, pp.687-699, Elsevier, 2004<br />
Scientific and pr<strong>of</strong>essional societies <strong>of</strong> which a member<br />
Sigma Xi, IEEE Computer Society, Communication Society, ACM SIGMOBILE,<br />
SIGSAC<br />
Honors and awards<br />
Educational and Research Initiative Fund (ERIF) Award, <strong>Illinois</strong> <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong>,<br />
<strong>2008</strong><br />
Best Paper Award, International Conference on Wireless Algorithms, Systems, and<br />
Applications (WASA 2006), Xi'an, China, August 15-18, 2006<br />
<strong>Institute</strong> Fellowship, Worcester Polytechnic <strong>Institute</strong>, 2005-2006<br />
Institutional and pr<strong>of</strong>essional service in the last five years<br />
Exhibits and Sponsorship Chair, Qshine <strong>2008</strong><br />
Track co-Chair, IEEE WTS <strong>2008</strong>, Wireless Security Track<br />
TPC member for IEEE ICC 2009, ICICS <strong>2008</strong>, IWCMC <strong>2008</strong>, IEEE PIMRC <strong>2008</strong>, IEEE<br />
SPAWN <strong>2008</strong>, ProvSec <strong>2008</strong>, IEEE ICCCN <strong>2008</strong>, IEEE WCNC <strong>2008</strong>, IEEE VTC<br />
<strong>2008</strong>-Spring, ARES <strong>2008</strong>, IEEE Globecom 2007<br />
Journal Reviewer for IEEE Transactions on Wireless Communications, IEEE<br />
Transactions on Vehicular <strong>Technology</strong>, IEEE Communication Letters, IEEE Wireless<br />
Communications Magazine, ACM Wireless Networks, Journal <strong>of</strong> Wireless<br />
Communications and Mobile Computing, Ad Hoc Networks, Information Sciences,<br />
International Journal <strong>of</strong> Communication Systems, Journal <strong>of</strong> Computer Science and<br />
<strong>Technology</strong>, International Journal <strong>of</strong> Wireless Information Networks, International<br />
Journal <strong>of</strong> Information Security (IJIS)<br />
Percentage <strong>of</strong> time available for research or scholarly activities<br />
67%<br />
Percentage <strong>of</strong> time committed to the program<br />
33%<br />
222
Name and Academic Rank<br />
Jafar Saniie, Filmer Pr<strong>of</strong>essor<br />
Degrees with fields, institution, and date<br />
Ph.D. Electrical Engineering, Purdue University, West Lafayette, August 1981.<br />
M.S. Biomedical Engineering, Case Western Reserve University , August 1977.<br />
B.S. with High Honors, Electrical Engineering, University <strong>of</strong> Maryland, May 1974.<br />
Number <strong>of</strong> years service on this faculty, including date <strong>of</strong> original appointment and<br />
dates <strong>of</strong> advancement in rank<br />
25 years <strong>of</strong> service:<br />
Assistant Pr<strong>of</strong>essor, 1983-1986<br />
Associate Pr<strong>of</strong>essor, 1987-1992<br />
Pr<strong>of</strong>essor, 1993-Present<br />
Filmer Distinguished Pr<strong>of</strong>essor, 2007- present<br />
Other related experience--teaching, industrial, etc.<br />
Research Associate (1981-1982), Department <strong>of</strong> Applied Physics, Electronics Research<br />
Laboratory, University <strong>of</strong> Helsinki, Finland; research in Ultrasonics, Photothermal<br />
and Photoacoustic Imaging.<br />
Graduate Research Assistant (1978-1981), Department <strong>of</strong> Electrical Engineering, Purdue<br />
University; research in Ultrasonic Imaging and Digital Signal Processing.<br />
Graduate Research Assistant (1974-1977), Department <strong>of</strong> Biomedical Engineering, Case<br />
Western<br />
Reserve University, and Pulmonary Division, Veterans Administrative Hospital;<br />
research in Digital Signal Processing and Biological System Analysis<br />
Consulting, patents, etc.<br />
None<br />
State(s) in which registered<br />
None<br />
Principal publications <strong>of</strong> last five years<br />
“Reconfigurable Finite Field Instruction Set Architecture” and “Embedded<br />
Multiprocessor Platform Prototyping and Development on an FPGA” by J. Saniie<br />
with F. Martinez Vallina and F. Jachimiec, Proceedings <strong>of</strong> the Fifteenth ACM/SIGDA<br />
International Symposium on Field-Programmable Gate Arrays. pp. 216-220 and p.<br />
229, February 2007.<br />
“A Comparative <strong>Study</strong> <strong>of</strong> Echo Estimation Techniques for Ultrasonic NDE<br />
Applications”, by J. Saniie with Y. Lu, R. Demirili, and G. Cardoso, IEEE<br />
International Ultrasonic Symposium Proceedings, vol. 1, pp. 436-439, October 2006.<br />
This paper received the 2006 Best Paper Award.<br />
“Ultrasonic Data Compression via Parameter Estimation”, by G. Cardoso and J. Saniie,<br />
IEEE Transactions on Ultrasonics, Ferroelectrics and Frequency Control, pp. 313-<br />
325, February 2005.<br />
“Distributed Processing Network Architecture for Reconfigurable Computing”, by J.<br />
Saniie with F. Martinez-Vallina and E. Oruklu, IEEE International Conference on<br />
Electro Information <strong>Technology</strong>, 2005, 6 pages, May 2005.<br />
223
“Ultrasonic Flaw Detection Using Discrete Wavelet Transform for NDE Applications”,<br />
by J. Saniie with E Oruklu, IEEE International Ultrasonic Symposium Proceedings,<br />
pp. 1054-1057, August 2004.<br />
Scientific and pr<strong>of</strong>essional societies <strong>of</strong> which a member<br />
Senior Member <strong>of</strong> <strong>Institute</strong> <strong>of</strong> Electrical and Electronics Engineers.<br />
Honors and awards<br />
2007 University Excellence in Teaching Award<br />
2006 Outstanding Faculty Award for Excellence and Contributions to Computer<br />
Engineering Program<br />
Filmer Distinguished Pr<strong>of</strong>essorship<br />
IEEE Ultrasonics Best Student Paper Award (2006).<br />
Institutional and pr<strong>of</strong>essional service in the last five years<br />
Associate Editor <strong>of</strong> IEEE Transactions on Ultrasonics, Ferroelectrics and Freq. Control<br />
(1994 - present)<br />
Technical Program Committee Chair/Member <strong>of</strong> IEEE Ultrasonics Symposium,(1988 -<br />
present)<br />
Editorial Advisory Board Member, Journal <strong>of</strong> Nondestructive Testing and Evaluation<br />
(1990-1996)<br />
Local Chair, Conference on Properties and Applications <strong>of</strong> Magnetic Materials (1985 -<br />
2005)<br />
Percentage <strong>of</strong> time available for research or scholarly activities<br />
33%<br />
Percentage <strong>of</strong> time committed to the program<br />
50%<br />
224
Name and Academic Rank:<br />
Mohammad Shahidehpour, Carl Bodine Pr<strong>of</strong>essor and Chairman<br />
Degrees with fields, institution, and date:<br />
Ph.D., Electrical Engineering Department, University <strong>of</strong> Missouri, Columbia, 1981<br />
MSEE, University <strong>of</strong> Missouri, Columbia, 1978<br />
BSEE, Sharif University <strong>of</strong> <strong>Technology</strong>, Iran, 1977 (High Honors)<br />
Number <strong>of</strong> years <strong>of</strong> service on this faculty, including date <strong>of</strong> original appointment and<br />
dates <strong>of</strong> advancement in rank:<br />
25 years<br />
1991-present: Pr<strong>of</strong>essor; 1986-1991: Associate Pr<strong>of</strong>essor; 1983-1986: Assistant Pr<strong>of</strong>essor<br />
Other related experience--teaching, industrial, etc.<br />
2003-present: IEEE Distinguished Lecturer (40 presentations in 25 countries)<br />
2005-present: Chairman, ECE Department<br />
2001-2005: Director, Electric Power and Power Electronics Center<br />
1999-2000: Associate VP for Research and Dean <strong>of</strong> the Graduate College<br />
1994-1999: Dean <strong>of</strong> the Graduate College<br />
1993-1994: Associate Dean <strong>of</strong> Engineering for Research and Graduate Studies<br />
1985: Associate Chairman, Electrical and Computer Engineering Department<br />
1985-1986: Director <strong>of</strong> Graduate Studies, ECE Department<br />
Consulting, Patents, etc.:<br />
Technical consultant: American Transmission Company, GEMS, LCG, New England<br />
ISO, Nexant, OM Technologies, KEMA Consulting, Siemens, Amoco, C.E. Neih<strong>of</strong>f<br />
Electric, Exelon, Acciona, Trans-Elect, <strong>IIT</strong> Research <strong>Institute</strong>, Open Access<br />
Technologies, Davis Control Corporation, United Nations<br />
States in which pr<strong>of</strong>essionally licensed or certified, if applicable:<br />
None<br />
Principal publications <strong>of</strong> last five years<br />
J. Wang, M. Shahidehpour, Z. Li, “Security-Constrained Unit Commitment with Volatile<br />
Wind Power Generation,” IEEE Transaction on Power Systems, Vol. 23, No. 3, Aug.<br />
<strong>2008</strong><br />
L. Wu and M. Shahidehpour, “Cost <strong>of</strong> Reliability Analysis based on Stochastic Unit<br />
Commitment,” IEEE Transaction on Power Systems, Vol. 23, No. 3, Aug. <strong>2008</strong><br />
O. Tor, A. Guven, and M. Shahidehpour, “Congestion-Driven Transmission Planning<br />
Considering the Impact <strong>of</strong> Generator Expansion,” IEEE Transaction on Power<br />
Systems, Vol. 23, No. 2, pp. 781-790-137, May. <strong>2008</strong><br />
L. Wu and M. Shahidehpour “GENCO’s Risk-Based Maintenance Outage Scheduling,”<br />
IEEE Transaction on Power Systems, Vol. 23, No. 1, pp. 127-137, Feb. <strong>2008</strong><br />
Y. Fu and M. Shahidehpour, “Fast SCUC for Large Scale Power Systems,” IEEE<br />
Transaction on Power Systems, Vol. 22, No. 4, pp. 2144-2151, November 2007<br />
J. Roh, M. Shahidehpour, and Y. Fu, “Market-based Coordination <strong>of</strong> Transmission and<br />
Generation Capacity Planning,” IEEE Transaction on Power Systems, Vol. 22, No. 4,<br />
pp. 1406-1419, November 2007<br />
225
T. Li and M. Shahidehpour, “Risk-Constrained Generation Asset Arbitrage in Power<br />
Systems,” IEEE Transaction on Power Systems, Vol. 22, No. 3, pp. 330 – 1339,<br />
Aug. 2007<br />
Y. Fu, M. Shahidehpour, and Z. Li, “Security-Constrained Optimal Coordination <strong>of</strong><br />
Generation and Transmission Maintenance Outage Scheduling,” IEEE Transaction on<br />
Power Systems, Vol. 22, No. 3, pp.1302 – 1313, Aug. 2007<br />
T. Li and M. Shahidehpour, “Dynamic Ramping in Unit Commitment,” IEEE<br />
Transaction on Power Systems, Vol. 22, No. 3, pp. 1379-1381, Aug. 2007<br />
J. Roh, M. Shahidehpour, and Y. Fu, “Security-Constrained Resource Planning in<br />
Electricity Markets,” IEEE Transaction on Power Systems, Vol. 22, No. 3, pp. 812 –<br />
820, May 2007<br />
L. Wu, M. Shahidehpour, and T. Li, “Stochastic Security-Constrained Unit<br />
Commitment,” IEEE Transaction on Power Systems, Vol. 22, No. 3, pp. 800 – 811,<br />
May 2007<br />
Scientific and pr<strong>of</strong>essional societies <strong>of</strong> which a member:<br />
IEEE, HKN<br />
Honors and Awards:<br />
<strong>2008</strong> IEEE/PES Award, Chair <strong>of</strong> Working-Group on Power Transmission Planning<br />
2007: IEEE/PES T. Burke Hayes Faculty Recognition Award (Best Paper)<br />
2007: IEEE/PES Award, Chair <strong>of</strong> Working-Group on Aging Power Systems<br />
2006: IEEE/PES Award, Chair <strong>of</strong> Working-Group on Power Asset Management<br />
2005: IEEE/PES Transactions Prize Paper Award<br />
2004: IEEE/PSO Transactions Prize Paper Award<br />
2003: Sigma Xi Outstanding Senior Research Faculty Award<br />
2001: Fellow <strong>of</strong> IEEE (for contributions to power system operation)<br />
1993: Edison Electric <strong>Institute</strong>'s Power Engineering Educator Award<br />
1990: C. Holmes MacDonald Outstanding Young Electrical Engineering Pr<strong>of</strong>essor<br />
Award<br />
Institutional and Pr<strong>of</strong>essional Service:<br />
<strong>2008</strong>-present Vice President, Publications, IEEE Power Engineering Society<br />
2005-<strong>2008</strong> Guest Editor, IEEE Power and Energy Magazine<br />
2006-<strong>2008</strong> Chair, IEEE/PES Power System Operation Committee<br />
1995-<strong>2008</strong> Editor, IEEE Transactions on Power Systems<br />
2004-2006 Chairman, Transactions Committee, IEEE Technical Activities Board<br />
2003-2006 Member, IEEE Fellows Committee<br />
2004-present Member <strong>of</strong> the Editorial Board KIEE Journal <strong>of</strong> Power Engineering,<br />
IEEE Transaction on Mobil Communication, Journal <strong>of</strong> Emerging Power<br />
Technologies, Journal <strong>of</strong> Electric Power System Research<br />
Percentage <strong>of</strong> time available for research or scholarly activities:<br />
33%<br />
Percentage <strong>of</strong> time Committed to the Program:<br />
17%<br />
226
Name and Academic Rank<br />
Hasan M. Shanechi, Senior Lecturer<br />
Degrees<br />
Ph.D. (System Science), Michigan State University 1980<br />
M.Sc. (Electrical Engineering), Tehran University 1974<br />
Number <strong>of</strong> years <strong>of</strong> service on this faculty<br />
One year <strong>of</strong> service:<br />
Original Appointment to <strong>IIT</strong>, August 2007<br />
Other related experience<br />
2006-07 Pr<strong>of</strong>essor Sharif University <strong>of</strong> <strong>Technology</strong>, Tehran, Iran<br />
2004-06 Pr<strong>of</strong>essor Ferdowsi University, Mashhad, Iran<br />
2001-04 Associate Pr<strong>of</strong>essor New Mexico <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong>, Socorro, NM<br />
2001-Aug Visiting Pr<strong>of</strong>essor <strong>Illinois</strong> <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong>, Chicago, <strong>Illinois</strong><br />
1997-01 Associate Pr<strong>of</strong>essor Ferdowsi University, Mashhad, Iran<br />
1997-00 Research Pr<strong>of</strong>essor Intelligent Systems Research Center, Tehran, Iran<br />
1996-97 Visiting Pr<strong>of</strong>essor University <strong>of</strong> Toronto, Toronto, Canada<br />
1985-86 Senior Guest Scholar EE Dept., Kyoto University, JAPAN<br />
1980-00 Tenured Faculty Ferdowsi University, Mashhad, Iran<br />
Consulting<br />
1998-99 Consultant, Almahdi Aluminum Corporation, Consulted in procurement <strong>of</strong><br />
a power plant<br />
1997-00 Energy Advisor, Authority <strong>of</strong> Qeshm Free Area<br />
1981-83 Technical Advisor to the Minister <strong>of</strong> Energy, Iran<br />
States in which registered<br />
Have passed all exams and eligible for PE in the Province <strong>of</strong> Ontario, Canada<br />
Principal publication <strong>of</strong> last five years<br />
R. Shahnazi, H. Shanechi, and N. Pariz, “Position Control <strong>of</strong> Induction and DC<br />
Servomotors: A Novel Adaptive Fuzzy PI Sliding Mode Control”, IEEE Transactions<br />
on Energy Conversion, Vol. 23 No. 1, March <strong>2008</strong><br />
M. Oloomi Buygi, H. Shanechi, G. Balzer, M. Shahidehpour, and N. Pariz “Network<br />
Planning in Unbundled Power Systems”, IEEE Transactions on Power Systems,<br />
August 2006<br />
M. Eidiani and H. Shanechi, “FAD-ATC: A new method for computing dynamic ATC”,<br />
Journal <strong>of</strong> Electrical Power & Energy Systems, # 28, February 2006<br />
M. Oloomi Buygi, G. Balzer, H. Shanechi, and M. Shahidehpour, “Market Based<br />
Transmission Expansion Planning”, IEEE Transactions on Power Systems, November<br />
2004<br />
H. Shanechi, N. Pariz, and E. Vaahedi, “General Nonlinear Modal Representation <strong>of</strong><br />
Large Scale Power Systems” , IEEE Transactions on Power Systems, August 2003<br />
H. R. Mashhadi, H. Shanechi, and Caro Lucas, “A New Genetic Algorithm with<br />
Lamarckian Individual Learning for Generation Scheduling”, IEEE Transactions on<br />
Power Systems, August 2003<br />
227
N. Pariz, H. Shanechi, and E. Vaahedi, “Explaining and Validating Stressed Power<br />
Systems Behavior Using Modal Series ”, IEEE Transactions on Power Systems, May<br />
2003<br />
Scientific and pr<strong>of</strong>essional Societies <strong>of</strong> which a member<br />
IEEE Power Engineering Society<br />
Honors and Awards<br />
“Best Teacher Award”, Ferdowsi University<br />
Institutional and pr<strong>of</strong>essional services in the last five years<br />
Member; Curriculum Committee, Search Committee, EE Dept, New Mexico Tech<br />
Member, Curriculum Committee, Graduate Committee, Research Committee, Search<br />
Committee, EE Dept, Ferdowsi University<br />
Reviewer for many journals amongst them, IEEE PES, IEEE TEC, International Journal<br />
<strong>of</strong> Circuits and Systems<br />
Percentage <strong>of</strong> time available for research or scholarly activities<br />
33%<br />
Percentage <strong>of</strong> time committed to the program<br />
67%<br />
228
Name and Academic Rank<br />
Donald R. Ucci, Associate Pr<strong>of</strong>essor<br />
Degrees with fields, institution, and date<br />
Ph.D. Electrical Engineering, City University <strong>of</strong> New York, 1979<br />
Ph.M Electrical Engineering, City College <strong>of</strong> New York, 1979<br />
M.E. Electrical Engineering, City College <strong>of</strong> New York, 1972<br />
B.E (Electrical Engineering), City College <strong>of</strong> New York, 1970<br />
Number <strong>of</strong> years service on this faculty, including date <strong>of</strong> original appointment and<br />
dates <strong>of</strong> advancement in rank<br />
21 years <strong>of</strong> service:<br />
Original appointment: August, 1987<br />
Awarded tenure: August, 1990<br />
Other related experience--teaching, industrial, etc. (responsibility, location, dates)<br />
Research Staff Engineer, Hazeltine Corporation, June 1981 to August 1982.<br />
Engineering Systems Assistant, Consolidated Edison Corporation, Summer 1969<br />
Consulting, patents, etc.<br />
SCS Telecom, Inc., May 1985 to June 1990<br />
Grumman Aerospace Corporation, June 1984 to August 1987<br />
Stern Telecommunications Corporation, November 1980 to June 1981<br />
S-Consulting Service, August 1979 to August 1980<br />
Scientific American, April 1977 to November 1979<br />
State(s) in which registered<br />
N/A<br />
Principal publications <strong>of</strong> last five years (Give title and references.)<br />
“Robust Quality <strong>of</strong> Service Backbone for Mobile Ad Hoc Networks,” (with K.M.<br />
Alzoubi and M.S. Ayyash), in Proceedings IEEE Military Communications<br />
Conference (MILCOM), Oct. 2005.<br />
“Effect <strong>of</strong> Cyclic Prefix and Symbol Shaping on Inter-Carrier and Inter-Channel<br />
Interference in OFDM System,” (with A. Z. Al-Banna and J.L. LoCicero), in<br />
Proceedings World Wireless Congress (WWC), May 2006.<br />
“Preemptive Quality <strong>of</strong> Service Infrastructure for Wireless Mobile As Hoc Networks,”<br />
(with M.S. Ayyash, K. M. Alzoubi, and Y. Alsbou) in Proceedings IEEE/ACM<br />
International Wireless Communications and Mobile Computing Conference<br />
(IWCMC), Jul. 2006<br />
“A New Entity Stability Measure for Mobile Ad Hoc Networks,” (with M.S. Ayyash,<br />
K.M. Alzoubi, and R. Tandukar), in Proceedings IEEE Military Communications<br />
Conference (MILCOM), Oct. 2006.<br />
“Multi-Element Adaptive Arrays for Interference Mitigation for Multiple Barker/CCK<br />
Signals in IEEE 802.11b WLANS,” (with A.Z. Al-Banna, and J.L. LoCicero), in<br />
Proceedings IEEE Sarn<strong>of</strong>f Symposium, Apr. – May 2007.<br />
“Interference Temperature Limits <strong>of</strong> IEEE 802.11 Protocol Radio Channels,” (with J.T.<br />
MacDonald), in Proceedings IEEE Electro/Information <strong>Technology</strong> Conference<br />
(EIT), May 2007.<br />
229
“Symbol Shaping for Barker Spread Wi-Fi Communications,” (with T.M. Taher, M.J.<br />
Misurac, and J.L. LoCicero), in Proceedings IEEE Electro Information <strong>Technology</strong><br />
Conference (EIT), May 2007.<br />
“Extrapolation and Interpolation for Simplified Multi-User Channel Estimation<br />
Techniques in a 4G OFDM System,” (with A. A. Tahat), in Proceedings Seventh<br />
IASTED International Conference <strong>of</strong> Wireless and Optical Communications (WOC),<br />
May – Jun. 2007.<br />
“Interference Characterization <strong>of</strong> Mitigation <strong>of</strong> 5.5 MBPS CCK Wi-Fi Signals,” (with<br />
A.Z. Al-Banna, X.L. Zhou, and J.L. LoCicero), in Proceedings IEEE International<br />
Symposium Electromagnetic Compatibility (EMC), Jul. 2007.<br />
“Spectrum Occupancy Estimation in Wireless Channels with Asymmetric Transmitter<br />
Powers,” with (J.T. MacDonald), Second International Conference on Cognitive<br />
Radio Oriented Wireless Networks and Communications (CROWNCOM), Aug.<br />
2007.<br />
“Multi-Element Adaptive Arrays with Tapped Delay Lines for Interference Mitigation”<br />
(with A.Z. Al-Banna, and J.L. LoCicero), in Proceedings IEEE Military<br />
Communications Conference (MILCOM), Oct. 2007.<br />
“Microwave Oven Interference Mitigation,” (with T.M. Taher, M.J. Misurac, and J.L.<br />
LoCicero), in Proceedings IEEE Consumer Communications and Networking<br />
Conference (CCNC), Jan. <strong>2008</strong>.<br />
“Microwave Oven Signal Modeling,” (with T.M. Taher, M.J. Misurac, and J.L.<br />
LoCicero), accepted for publication in Proceedings IEEE Wireless Communications<br />
and Networking Conference (WCNC), Mar. <strong>2008</strong>.<br />
Scientific and pr<strong>of</strong>essional societies <strong>of</strong> which a member<br />
Senior Member, IEEE<br />
Member, Eta Kappa Nu<br />
Life Member, Tau Beta Pi<br />
Life Member, Sigma Xi<br />
Honors and awards<br />
Special Achievement Award, Ph. D. Alumni Association, May 2004<br />
Institutional and pr<strong>of</strong>essional service in the last five years<br />
N/A<br />
Percentage <strong>of</strong> time available for research or scholarly activities<br />
33%<br />
Percentage <strong>of</strong> time committed to the program<br />
67%<br />
230
Name and Academic Rank<br />
Miles N. Wernick, Ph.D., Pr<strong>of</strong>essor<br />
Degrees with fields, institution, and date<br />
B.S., Physics, Northwestern Unversity, 1983<br />
Ph.D., Optics, University <strong>of</strong> Rochester, 1990<br />
Number <strong>of</strong> years <strong>of</strong> service on this faculty, including date <strong>of</strong> original appointment and<br />
dates <strong>of</strong> advancement in rank<br />
14 years <strong>of</strong> service:<br />
1994 Assistant Pr<strong>of</strong>essor<br />
2002 Associate Pr<strong>of</strong>essor<br />
2006 Pr<strong>of</strong>essor<br />
Other related experience--teaching, industrial, etc.<br />
Postdoc and Research Assistant Pr<strong>of</strong>essor, University <strong>of</strong> Chicago, 1990-1994<br />
Consulting, patents, etc.<br />
President, Predictek, Inc. – R&D company (engineering) – 2001-present<br />
Miles N. Wernick and Chin-Tu Chen, “Method <strong>of</strong> recovering tomographic signal<br />
elements in a projection pr<strong>of</strong>ile or image by solving linear equations,” U.S. Patent<br />
Number 5,323,007, June 21, 1994.<br />
Miles N. Wernick, L. Dean Chapman, Oral Oltulu, and Zhong Zhong, “Imaging method<br />
based on attenuation, refraction, and ultra-small-angle scattering <strong>of</strong> x-rays,” U.S.<br />
Patent Number 6,947,52, September 20, 2005.<br />
Miles N. Wernick, Daniel Roberts, Yongyi Yang, and Ana S. Lukic, “Method and<br />
apparatus for diagnosing conditions <strong>of</strong> the eye with infrared light,” applied for<br />
December 6, 2007.<br />
State(s) in which registered<br />
None<br />
Principal publications <strong>of</strong> last five years<br />
Miles N. Wernick and John N. Aarsvold, eds., Emission Tomography: The Engineering and<br />
Physics <strong>of</strong> PET and SPECT, San Diego: Academic Press, 2004, pp. 596.<br />
Yongyi Yang, Miles N. Wernick, and Jovan Brankov, “A fast approach for accurate<br />
content-adaptive mesh generation,” IEEE Transactions on Image Processing, vol. 12,<br />
pp. 866-881, 2003.<br />
Jovan G. Brankov, Yongyi Yang, and Miles N. Wernick, “Tomographic image<br />
reconstruction based on a content-adaptive mesh model,” IEEE Transactions on<br />
Medical Imaging, vol. 23, pp. 202-212, 2004.<br />
Liyang Wei, Yongyi Yang, Robert M. Nishikawa, and Miles N. Wernick, “Relevance<br />
vector machine for automatic detection <strong>of</strong> clustered microcalcifications,” IEEE<br />
Transactions on Medical Imaging, vol. 24, pp. 1278-1285, 2005.<br />
Ahmad Abu Naser, Nikolas P. Galatsanos, and Miles N. Wernick, “Methods to detect<br />
objects in photon-limited images,” Journal <strong>of</strong> the Optical Society <strong>of</strong> America A, vol.<br />
23, pp. 272-278, 2006.<br />
Miles N. Wernick, Yongyi Yang, Indrasis Mondal, Dean Chapman, Christopher Parham,<br />
and Zhong Zhong, “Computation <strong>of</strong> mass density images from refraction-gradient<br />
231
images,” Physics in Medicine and Biology, vol. 51, pp. 1769-1778, 2006.<br />
[Recognized by IOP Select]<br />
Cheng-Ying Chou, Mark A. Anastasio, Jovan G. Brankov, Miles N. Wernick, Eric M.<br />
Brey, Dean M. Connor, Jr., and Zhong Zhong, “An extended diffraction-enhanced<br />
imaging method for implementing multiple-image radiography,” Physics in Medicine<br />
and Biology, vol. 52, pp. 1923-1945, 2007.<br />
Scientific and pr<strong>of</strong>essional societies <strong>of</strong> which a member<br />
IEEE, OSA<br />
Honors and awards<br />
2005 British Medical Association, “High Commendation” for the book Emission<br />
Tomography: The Fundamentals <strong>of</strong> PET and SPECT.<br />
2006 Two papers in Physics in Medicine and Biology recognized by <strong>Institute</strong> <strong>of</strong> Physics<br />
(IOP) Select (“selected by the Editors for their novelty, significance and potential<br />
impact on future research”)<br />
2006 <strong>IIT</strong> Pr<strong>of</strong>essor <strong>of</strong> the Month (voted by students)<br />
2006 Outstanding Faculty Award, ECE Dept., <strong>IIT</strong> (first annual recipient).<br />
2006 Co-author, Best Student Paper award, 2006 IEEE Medical Imaging Conference<br />
Institutional and pr<strong>of</strong>essional service in the last five years<br />
Associate Editor, IEEE Transactions on Image Processing, 2007-present<br />
Associate Editor, Journal <strong>of</strong> Electronic Imaging, 2005-present<br />
Representative, Main Campus Faculty Council, <strong>IIT</strong><br />
Chair, Main Campus Sabbatical Leaves Committee, <strong>IIT</strong><br />
Founding Director, Medical Imaging Research Center (MIRC).<br />
Percentage <strong>of</strong> time available for research or scholarly activities<br />
95%<br />
Percentage <strong>of</strong> time committed to the program<br />
0%<br />
232
Name and Academic Rank<br />
Ge<strong>of</strong>frey A. Williamson, Pr<strong>of</strong>essor<br />
Degrees with fields, institution, and date<br />
Ph.D. in Electrical Engineering, Cornell University, August 1989.<br />
M.S. in Electrical Engineering, Cornell University, January 1988.<br />
B.S. (with distinction) in Electrical Engineering, Cornell University, May 1983<br />
Number <strong>of</strong> years <strong>of</strong> service on this faculty, including date <strong>of</strong> original appointment and<br />
dates <strong>of</strong> advancement in rank<br />
19 years <strong>of</strong> service:<br />
Appointed as Assistant Pr<strong>of</strong>essor, Department <strong>of</strong> Electrical and Computer Engineering,<br />
August 1989<br />
Promoted to Associate Pr<strong>of</strong>essor with tenure, Department <strong>of</strong> Electrical and Computer<br />
Engineering, August 1995<br />
Promoted to Pr<strong>of</strong>essor, Department <strong>of</strong> Electrical and Computer Engineering, August<br />
2004<br />
Other related experience, i.e., teaching, industrial, etc.<br />
ECE Department Graduate Program Director, August 1995 – July 1997.<br />
Associate Dean for Academic Affairs, <strong>IIT</strong> Graduate College, August 1997 – August<br />
1999.<br />
Consulting, patents, etc.<br />
N/A<br />
State(s) in which registered<br />
N/A<br />
Principal publications <strong>of</strong> last five years<br />
R. Hacioglu, G.A. Williamson, I. Abu-Amarah, K.A. Griffin, and A.K. Bidani,<br />
“Characterization <strong>of</strong> dynamics in renal autoregulation using Volterra models,” IEEE<br />
Trans. on Biomed. Engr., vol. 53, no. 11, pp. 2166-2176, November 2006.<br />
A. Emadi, A. Khaligh, C. Rivetta, and G.A. Williamson, “Constant power loads and<br />
negative impedance instability in automotive systems: definition, modeling, stability,<br />
and control <strong>of</strong> power electronic converters and motor drives,” IEEE Trans. on<br />
Vehicular <strong>Technology</strong>, vol. 55, no. 4, pp. 1112-1125, July 2006.<br />
I. Abu-Amarah, A.K. Bidani, R. Hacioglu, G.A. Williamson, and K.A. Griffin,<br />
“Differential effects <strong>of</strong> salt on renal hemodynamics and potential pressure<br />
transmission in stroke-prone and stroke-resistant spontaneously hypertensive rats,”<br />
Am. J. Physiol. Renal Physiol., vol. 289, pp. F305-F313, 2005.<br />
B.E. Dunne and G.A. Williamson, “Analysis <strong>of</strong> gradient algorithms for TLS-based<br />
adaptive IIR filters,” IEEE Trans. on Signal Processing, vol. 52, no. 12, pp. 3345-<br />
3356, December 2004.<br />
K.A. Griffin, R. Hacioglu, I. Abu-Amarah, R. Loutzenhiser, G.A. Williamson, and A.K.<br />
B.E. Dunne and G.A. Williamson, “QR based TLS and mixed LS-TLS algorithms with<br />
applications to adaptive IIR filtering,” IEEE Trans. on Signal Processing, vol. 51, no.<br />
2, pp. 386-394, February 2003.<br />
Scientific and pr<strong>of</strong>essional societies <strong>of</strong> which a member<br />
233
<strong>Institute</strong> <strong>of</strong> Electrical and Electronics Engineers, Circuits and Systems Society<br />
Control Systems Society, Signal Processing Society<br />
Engineering in Medicine and Biology Society<br />
Honors and awards<br />
Myril B. Reed Best Paper Award, 2002 Midwest Symposium on Circuits and Systems<br />
(with Daniel A. Bailey).<br />
Instituitional and Pr<strong>of</strong>essional service in the last five years<br />
University Faculty Council (ECE Dept. representative), 2003-04, 2004-05, 2005-06,<br />
2006-07, and 2007-08.<br />
Search committee for Dean <strong>of</strong> Armour College, 2007-08.<br />
Search committee for <strong>IIT</strong> Provost, 2002.<br />
Graduate Program Review Committee (reviewing Department <strong>of</strong> Computer Science),<br />
chair, Fall 2004 to Fall 2007<br />
ECE Dept. ABET Committee, chair, 2002-03, 2003-04, 2004-05.<br />
ECE Dept. Undergraduate Program Committee, chair, 2007-08.<br />
ECE Dept. Chair Search Committee, 2004-05.<br />
ECE Faculty Search Committee, 2005-06, 2004-05, 2003-04, 2002-03.<br />
ECE Department Special and Admissions Event Coordination Committee, 2004-05.<br />
Member, Technical Committee for the 2006 IEEE DSP Workshop<br />
Service as reviewer for several journals and conferences.<br />
Percentage <strong>of</strong> time available for research or scholarly activities<br />
50%<br />
Percentage <strong>of</strong> time committed to the program<br />
50%<br />
234
Name and Academic Rank<br />
Thomas Wong, Pr<strong>of</strong>essor<br />
Degrees with fields, institution, and date<br />
Ph.D. in Electrical Engineering and Computer Science, Northwestern University, 1980<br />
M.S. in Electrical Engineering, Northwestern University, 1978<br />
B.Sc. in Electrical Engineering, University <strong>of</strong> Hong Kong, 1975<br />
Number <strong>of</strong> years <strong>of</strong> service on this faculty, including date <strong>of</strong> original appointment and<br />
dates <strong>of</strong> advancement in rank<br />
26 years <strong>of</strong> service:<br />
Original Appointment at <strong>IIT</strong>, 1981<br />
1996-present Pr<strong>of</strong>essor<br />
1986-1995 Associate Pr<strong>of</strong>essor<br />
1981-1986 Assistant Pr<strong>of</strong>essor<br />
Other related experience--teaching, industrial, etc.<br />
Postdoctoral Fellow, The Materials Research Center and Department <strong>of</strong> Electrical<br />
Engineering and Computer Science, Northwestern University, 1981<br />
Product Engineer, Motorola Semiconductor (Hong Kong), Inc., 1975-1976<br />
Engineering Trainee, Fairchild Semiconductor, Inc., Summer, 1974<br />
Consulting, patents, etc.<br />
Director <strong>of</strong> Research and Development, Telecommunications Equipment Corporation,<br />
1995-2001<br />
Prior consultant to Microw-Now Instruments Co., <strong>IIT</strong>RI, Quintech, and Champion<br />
Technologies.<br />
“Multi-function interactive communications system with circularly/elliptically polarized<br />
signal transmission and reception,” U.S. Patent No. 5701591, issued 1997<br />
“Method and apparatus for controlling frequency <strong>of</strong> a multi-channel transmitter,” U.S.<br />
Patent No. 5768693, issued 1998<br />
“Dielectric resonator phase shifting frequency discriminator,” U.S. Patent No. 5847620,<br />
1998<br />
“3D MMIC VCO and Methods <strong>of</strong> Making the Same”, U.S. Patent 7276981 B2, 2007<br />
State(s) in which registered<br />
None<br />
Principal publications <strong>of</strong> last five years<br />
“Electromagnetic Fields and Waves,” (with Robert Yang) Higher Education Press,<br />
Beijing, 2006<br />
“Mode Analysis <strong>of</strong> a Multilayered Dielectric-Loaded Accelerating Structure”, C. Jing, W.<br />
M. Liu, W. Gai, J.G. Power, and T. Wong, Nuclear Instruments & Methods in<br />
Physics Research, A 539, pp. 445-454, 2005<br />
“Temperature-Compensated Frequency Discriminator Based on Dielectric Resonator”, E.<br />
Yuksel, T. Nagode,and T. Wong, IEE Proceedings (U.K.). Microwave, Antennas and<br />
Propagation, v. 151, pp. 221-226, 2004.<br />
235
“Dipole-Mode Wakefields in Dielectric-Loaded Rectangular Waveguide Accelerating<br />
Structures”, C. Jing, W. Liu, L. Xiao, W. Gai, and T. Wong, Phys. Rev. E, v. 68,<br />
016502, 2003.<br />
Scientific and pr<strong>of</strong>essional societies <strong>of</strong> which a member<br />
<strong>Institute</strong> <strong>of</strong> Electrical and Electronics Engineers<br />
American Physical Society<br />
American Association <strong>of</strong> University Pr<strong>of</strong>essors<br />
Nuclear Electromagnetic Pulse Society<br />
American Society for Engineering Education<br />
Honors and awards<br />
Service Award, IEEE Microwave Theory and Techniques Society, 1988<br />
Service Award, IEEE Antennas and Propagation Society, 1988<br />
Institutional and pr<strong>of</strong>essional service in the last five years<br />
Chairman <strong>of</strong> committee for graduate program review in civil and architectural<br />
engineering<br />
External doctoral thesis examiner for City University <strong>of</strong> Hong Kong, 2007<br />
Technical program chair, IEEE EIT Conference, Chicago, May 2007<br />
Member <strong>of</strong> review panels <strong>of</strong> SBIR/STTR programs, National Science Foundation<br />
Member <strong>of</strong> organizing committee, URSI General Assembly, to be held in Chicago,<br />
August <strong>2008</strong><br />
Served as reviewer for IEEE Electron Device Letters, IEEE Transactions on Education,<br />
IEEE Transactions on Electron Devices, IEEE Transactions on Microwave Theory<br />
and Techniques, IEEE Jounal <strong>of</strong> Solid State Circuits, IEEE Transactions on Circuits<br />
and Systems.<br />
Attended IEEE APS-URSI International Symposium regularly<br />
Attended IEEE International Microwave Symposium regularly<br />
Attended ARFTG Conferences<br />
Attended IEEE EIT Conferences<br />
Percentage <strong>of</strong> time available for research or scholarly activities<br />
17%<br />
Percentage <strong>of</strong> time committed to the program<br />
83%<br />
236
Name and Academic Rank<br />
Yang Xu, Assistant Pr<strong>of</strong>essor<br />
Degrees with fields, institution, and date<br />
Ph.D., Electrical and Computer Engineering, Carnegie Mellon University<br />
Pittsburgh,2004<br />
M.S., Electronics Engineering, Fudan University, Shanghai, China. 1999<br />
B.S., Electronics Engineering, Fudan University, Shanghai, China. 1997<br />
Number <strong>of</strong> years <strong>of</strong> service on this faculty, including date <strong>of</strong> original appointment and<br />
dates <strong>of</strong> advancement in rank<br />
One year <strong>of</strong> service:<br />
2007-present: Assistant Pr<strong>of</strong>essor<br />
Other related experience--teaching, industrial, etc.<br />
2005-2007, Senior researcher, Qualcomm Inc, San Diego, CA<br />
2003, Senior consultant, Barcelona Design, Newark, CA<br />
1999-2000, Member <strong>of</strong> technical staff, Bell Labs, Lucent Technologies, Shanghai, China<br />
Consulting, patents, etc.<br />
Six US patents pending<br />
Yang Xu, L. Pileggi and M. Asheghi, “Configurable RF and analog Circuits Using<br />
Phase-change Material Switches,” Filed in Oct. 2004.<br />
Yang Xu, S. Boyd and L. Pileggi, “Optimization and design method for configurable<br />
analog circuit and devices” Filed in Mar. 2004<br />
State(s) in which registered<br />
None<br />
Principal publications <strong>of</strong> last five years<br />
Yang Xu, K. Wang, T. Pals, A. Hadjichristos, K. Sahota and C. Persico, "A Low-IF<br />
CMOS Simultaneous GPS Receiver Integrated in a Multimode Transceiver ", IEEE<br />
Custom Integrated Circuits Conference (CICC), San Jose, CA, Sept, 2007<br />
Yang Xu, P. Gazzerro, et. al, “A Dual-Channel Direct-Conversion CMOS Receiver for<br />
Mobile Multimedia Broadcasting”, International Solid-State Circuit<br />
Conference(ISSCC), San Francisco, CA, Feb, 2006<br />
Yang Xu, K. Hsiung, X. Li, I. Nausieda, S. Boyd, and L. Pileggi, “OPERA: optimization<br />
with ellipsoidal uncertainty for robust analog IC design,” 42th IEEE/ACM Design<br />
Automation Conference, Anaheim, CA. June 2005<br />
Yang Xu, C. Boone and L. Pileggi, “Metal-mask configurable RF circuits”, IEEE/MTTS<br />
RFIC symposium, Fort Worth, TX. June 2004<br />
X. Li; P. Li; Yang Xu; L. Pileggi;”Analog and RF circuit macromodels for system-level<br />
analysis” Design Automation Conference, 2003. Proceedings , June 2-6, 2003<br />
X. Li, P. Li, Yang Xu, R. Dimaggio and L. Pileggi, “A frequency separation macromodel<br />
for system-level simulation <strong>of</strong> RF circuits,” in Proc. <strong>of</strong> IEEE/ACM Asia and South<br />
Pacific Design Automation Conference (ASP-DAC03), January, 2003<br />
Yang Xu, H. Min, “A low-power video 10-bit CMOS D/A converter using modified<br />
look-ahead circuit”, IEEE ASIC/SOC conference, Washington D.C. Sept. 1999.<br />
237
X. Li, P. Gopalakrishnan, Yang Xu and L. Pileggi, “Robust Analog/RF Circuit Design<br />
with Projection-Based Performance Modeling,” To appear in IEEE transaction <strong>of</strong><br />
Computer-Aided Design<br />
Yang Xu, C. Boone and L. Pileggi, “Metal-mask configurable RF circuits”, in IEEE<br />
Journal <strong>of</strong> Solid-State Circuits August 2004<br />
Scientific and pr<strong>of</strong>essional societies <strong>of</strong> which a member<br />
Member <strong>of</strong> IEEE solid-state circuit society, since 1998<br />
Member <strong>of</strong> Association <strong>of</strong> Computing Machinery (ACM) since 2000.<br />
Honors and awards<br />
Inventor Recognition Award, Microelectronics Advanced Research Consortium<br />
(MARCO)<br />
Three-time Innovator’s Award, Qualcomm Inc.<br />
Best Paper Award nomination, IEEE Transaction on Computer Aided Design<br />
ECE Graduate Fellowship, Carnegie Mellon University<br />
Murphy Fellowship, Northwestern University<br />
Highest Student Prize, Fudan University<br />
Philips Elite Student Awards, Fudan University<br />
Percentage <strong>of</strong> time available for research or scholarly activities<br />
67%<br />
Percentage <strong>of</strong> time committed to the program<br />
33%<br />
238
Name and Academic Rank<br />
Yongyi Yang, Associate Pr<strong>of</strong>essor<br />
Degrees:<br />
B.S., Electrical Engineering, Northern Jiaotong University, Beijing, China, July 1985<br />
M.S., Electrical Engineering, Northern Jiaotong University, Beijing, China, July 1988<br />
M.S., Applied Mathematics, <strong>Illinois</strong> <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong>, Chicago, IL, May 1992<br />
Ph.D., Electrical Engineering, <strong>Illinois</strong> <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong>, Chicago, IL, May 1994<br />
Number <strong>of</strong> years <strong>of</strong> service on this faculty, including date <strong>of</strong> original appointment and<br />
dates <strong>of</strong> advancement in rank<br />
11 years <strong>of</strong> service:<br />
August 2003 – present: Associate Pr<strong>of</strong>essor, Electrical and Computer Engineering (also<br />
Department <strong>of</strong> Biomedical Engineering)<br />
Other related experience--teaching, industrial, etc.<br />
Tokyo <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong>, Graduate School <strong>of</strong> Engineering and Science<br />
September 2004 – November 2004: Visiting Pr<strong>of</strong>essor (Sabbatical leave from <strong>IIT</strong>)<br />
Consulting, Patents, etc.:<br />
None.<br />
Pr<strong>of</strong>essional License or Certification:<br />
None<br />
Principal publications <strong>of</strong> last five years<br />
E. Gravier, Y. Yang, and M. Jin, “Tomographic reconstruction <strong>of</strong> dynamic cardiac image<br />
sequences,” IEEE Trans. on Image Processing, vol. 16, pp. 932-942, 2007.<br />
G. Khelashvili, J. G. Brankov, D. Chapman, M. A. Anastasio, Y. Yang, Z. Zhong, and M.<br />
N. Wernick, “A physical model <strong>of</strong> multiple-image radiography,” Phys. Med. Biol.,<br />
vol. 51, pp. 221-236, 2006.<br />
P. Dong, J. Brankov, N. P. Galatsanos, Y. Yang, and F. Davoine, “Digital watermarking<br />
robust to geometric distortions,” IEEE Trans. on Image Processing, vol. 14, pp.<br />
2140-2150, 2005.<br />
I. El-Naqa, Y. Yang, N. P. Galatsanos, and M. Wernick, “A similarity learning approach<br />
to content based image retrieval: application to digital mammography,” IEEE Trans.<br />
on Medical Imaging, vol. 23, pp. 1233-1244, 2004.<br />
J. Brankov, Y. Yang, M. N. Wernick, “Content-adaptive mesh modeling for tomographic<br />
image reconstruction,” IEEE Trans. on Medical Imaging, vol. 23, pp. 202-212, 2004.<br />
M. N. Wernick, O. Wirjadi, D. Chapman, Z. Zhong, N. P. Galatsanos, Y. Yang, J.<br />
Brankov, O. Oltulu, M. A. Anastasio, and C. Muehleman, “Multiple-image<br />
radiography,” Physics in Medicine and Biology, vol. 48, pp. 3875-3895, 2003.<br />
J. Brankov, N. P. Galatsanos, Y. Yang, and M. Wernick, “Segmentation <strong>of</strong> dynamic PET<br />
or fMRI images based on a similarity measure,” IEEE Trans. on Nuclear Science,<br />
vol. 50, no. 5, pp. 1410-1414, 2003.<br />
Y. Yang, J. Brankov, and M. Wernick, “A computationally efficient approach for<br />
accurate content-adaptive mesh generation,” IEEE Trans. on Image Processing, vol.<br />
12, no. 8, pp. 866-881, 2003.<br />
Pr<strong>of</strong>essional Societies:<br />
239
IEEE<br />
Honors and Awards:<br />
Whitaker Foundation Investigator Award<br />
Institutional and Pr<strong>of</strong>essional Service:<br />
NSF Review Panels<br />
NIH <strong>Study</strong> Sections<br />
NIH <strong>Study</strong> Section, Bioengineering Research Partnership Grant Applications, April 2001.<br />
Associate Editor, IEEE Transactions on Image Processing, 2007 - present.<br />
Guest Editor, Pattern Recognition, special issue on “Digital image processing and pattern<br />
recognition techniques for detection <strong>of</strong> cancer,” 2007-<strong>2008</strong>.<br />
Percentage <strong>of</strong> time available for research or scholarly activities<br />
83%<br />
Percentage <strong>of</strong> time committed to the program<br />
17%<br />
240
Name and Academic Rank<br />
Imam Samil Yetik Assistant Pr<strong>of</strong>essor<br />
Education:<br />
July 2004, Ph.D., University <strong>of</strong> <strong>Illinois</strong> at Chicago, Dept. <strong>of</strong> Electrical Engineering.<br />
July 2000, M. S., Bilkent University, Dept. <strong>of</strong> Electrical Engineering.<br />
June 1998, B. S., Bogazici University, Dept. <strong>of</strong> Electrical Engineering.<br />
Service at <strong>IIT</strong>:<br />
Two years <strong>of</strong> service:<br />
Assistant Pr<strong>of</strong>essor, Aug 2006-present<br />
Experience:<br />
Postoctorate Researcher, University <strong>of</strong> <strong>Illinois</strong> at Chicago, Aug 2004-July 2005<br />
Postoctorate Researcher, University <strong>of</strong> California at Davis, July 2005-July 2006<br />
Consulting, patents, etc.<br />
None<br />
States in which pr<strong>of</strong>essionally licensed or certified, if applicable<br />
None<br />
Publications:<br />
I. S. Yetik and A. Nehorai, "Beamforming using the Fractional Fourier Transform," IEEE<br />
Trans. Signal Processing, Vol. 51, pp. 1663-1668, June 2003.<br />
I. S. Yetik, A. Nehorai, J. D. Lewine, C. H. Muravchik, "Distinguishing between moving<br />
and stationary sources using EEG/MEG measurements with an application to<br />
epilepsy," IEEE Trans. Biomedical Engineering, Vol. 52, pp. 471-479, Mar. 2005.<br />
I. S. Yetik, A. Nehorai, C. H. Muravchik, J. Haueisen, "Line-source modeling and<br />
estimation with magnetoencephalography," IEEE Trans. Biomedical Engineering,<br />
Vol. 51, pp. 839-851, May 2005.<br />
I. S. Yetik, A. Nehorai, "Performance bounds for image registration," IEEE Trans. Signal<br />
Processing, Vol. 54, pp. 1737-1749, May 2006.<br />
I. S. Yetik, A. Nehorai, C. H. Muravchik, J. Hauesien, "Surface-source modeling and<br />
estimation with magnetoencephalography," Vol. 53, pp. 1872-1882, Oct. 2006.<br />
N. Cao, I. S. Yetik, A. Nehorai, C. H. Muravchik, J. Haueisen, “Parametric Surfacesource<br />
Modeling and Estimation with Electroencephalography,” Vol. 53, pp. 2414-<br />
2424, Dec 2006.<br />
N. Cao, I. S. Yetik, A. Nehorai, C. H. Muravchik, J. Haueisen, “Line-source Modeling<br />
and Estimation with Electroencephalography,” Vol. 53, pp. 2156-2165, Nov. 2006.<br />
Membership:<br />
Member <strong>of</strong> IEEE<br />
Honors and Awards:<br />
None<br />
Pr<strong>of</strong>essional Development and Activities:<br />
Reviewer for IEEE Transactions on Signal Processing, IEEE Signal Processing Letters,<br />
Signal Processing, IEEE Transactions on Medical Imaging, Physics in Medicine and<br />
Biology, and several conferences and workshops.<br />
241
Chaired a committee that revised the digital signal processing curriculum <strong>of</strong> the Electrical<br />
and Computer Engineering Department<br />
Percentage <strong>of</strong> time available for research or scholarly activities<br />
83%<br />
Percentage <strong>of</strong> time committed to the program<br />
17%<br />
242
Name and Academic Rank<br />
Chi Zhou, Assistant Pr<strong>of</strong>essor<br />
Degrees with fields, institution, and date<br />
Ph.D. (ECE), Northwestern University, 2002<br />
M.S. (ECE), Northwestern University, 2000<br />
B.S. (Automation), Tsinghua University, 1997<br />
B.S. (Business Administration), Tsinghua University, 1997<br />
Number <strong>of</strong> years <strong>of</strong> service on this faculty, including date <strong>of</strong> original appointment and<br />
dates <strong>of</strong> advancement in rank<br />
Two years <strong>of</strong> service:<br />
2006-present Assistant Pr<strong>of</strong>essor<br />
Other related experience – teaching, industrial, etc.<br />
Summer visiting pr<strong>of</strong>essor in Air Force Research Lab, Dayton, OH, Summer 2007<br />
Assistant Pr<strong>of</strong>essor, Florida International University, 2002-2006<br />
Graduate Research Assistant, Northwestern University, 1998-2002<br />
Summer Intern, First International Digital, Summer 1999<br />
Consulting, patents, etc.<br />
C. Liu, C. Zhou, N. Pissinou, and K. Makki, “Quality-<strong>of</strong>-Service Provisioning in IEEE<br />
802.11 WLAN”, in process<br />
State(s) in which registered<br />
None<br />
Principle publications <strong>of</strong> last five years<br />
Chi Zhou, “Mobile Radio Communications”, in The Handbook <strong>of</strong> Computer Networks,<br />
Book chapter, authored/edited by Hossein Bidgoli, John Wiley & Sons, Inc, ISBN:<br />
978-0-471-78459-3, December 2007<br />
C. Zhou, M. L. Honig, and S. Jordan, “Utility-Based Power Control for a Two-Cell<br />
CDMA Data Network”, in IEEE Transactions on Wireless Communications, vol. 4,<br />
num. 6, pp. 2764 - 2776, November 2005.<br />
C. Zhou, P. Zhang, M. L. Honig, and S. Jordan, “Two-Cell Power Allocation for<br />
Downlink CDMA”, in IEEE Transactions on Wireless Communications, vol. 3, num.<br />
6, pp. 2256 – 2266, November 2004.<br />
C. Liu and C. Zhou, “QoS Provisioning in 802.11 WLAN Coupled with UMTS<br />
Network”, in IEEE Wireless Communications and Networking Conference, Las<br />
Vegas, NV, March, 2006<br />
C. Liu and C. Zhou, “HCRAS: A Novel Hybrid Internetworking Architecture between<br />
WLAN and UMTS Cellular Networks”, in IEEE Consumer Communications and<br />
Networking Conference (CCNC'05), Las Vegas, NV, January, 2005.<br />
C. Zhou, D. Qian, and H. Lee, “Utility-Based Routing in Wireless Ad hoc Networks”, in<br />
Proc. <strong>of</strong> First IEEE International Conference on Mobile Ad Hoc and Sensor Systems<br />
(MASS'04), Pages 588 - 593, Ft. Lauderdale, FL, October, 2004.<br />
C. Zhou, M. L. Honig, S. Jordan, and R. Berry, “Forward-Link Resource Allocation for a<br />
Two-Cell Voice Network with Multiple Service Classes”, in Proceedings 2003 IEEE<br />
Wireless Communications and Networking Conference, March, 2003<br />
243
Scientific and pr<strong>of</strong>essional societies <strong>of</strong> which a member<br />
IEEE<br />
Honors and awards<br />
Graduate Teacher <strong>of</strong> the Year, Kappa Delta Chapter <strong>of</strong> Florida International University,<br />
2006<br />
Murphy Fellowship, Northwestern University, Evanston, IL, 9/1997 – 6/1998<br />
Excellent Student Scholarship, Tsinghua University, Beijing, China (1993 - 1996)<br />
Institutional and pr<strong>of</strong>essional service in the last five years<br />
Faculty advisor for IEEE student branch at <strong>IIT</strong> (2006-present)<br />
Graduate program committee for the department (2007-<strong>2008</strong>)<br />
Faculty search committee member (2006-2007, 2007-<strong>2008</strong>)<br />
Reviewer for several conferences and journals.<br />
Percentage <strong>of</strong> time available for research or scholarly activities<br />
83%<br />
Percentage <strong>of</strong> time committed to the program<br />
17%<br />
244
Name and Academic Rank<br />
Bruce Briley, Adjunct Pr<strong>of</strong>essor<br />
Degrees with fields, institution, and date<br />
BSEE: U. <strong>of</strong> <strong>Illinois</strong>, Champaign - 1958<br />
MSEE: U. <strong>of</strong> <strong>Illinois</strong>, Champaign - 1959<br />
Ph.D., EE/CS: U. <strong>of</strong> <strong>Illinois</strong>, Champaign – 1963 (Worked on Design <strong>of</strong> Illiac II under<br />
contract to the Office <strong>of</strong> Naval Research and the Atomic Energy Commission)<br />
Number <strong>of</strong> years <strong>of</strong> service on this faculty, including date <strong>of</strong> original appointment and<br />
dates <strong>of</strong> advancement in rank<br />
43 years <strong>of</strong> service:<br />
Original Appointment: 1965 – Adjunct, Part-Time<br />
Other related experience, i.e., teaching, industrial, etc.<br />
3 Years with Automatic Electric Research Labs (GT&E): Senior Engineer, Supervisor,<br />
Dept. Head<br />
30 Years with Bell Laboratories/ Lucent: Many Activities<br />
11 Years with Motorola: Many Activities<br />
Advisor to occasional Ph.D. student at <strong>IIT</strong><br />
Present Research Activities:<br />
Advancing the field <strong>of</strong> Reliability Polynomial Analysis and System Synthesis<br />
Applying Electromagnetics to Arthropod Control<br />
Consulting, patents, etc.<br />
21 US Patents<br />
2 Textbooks:<br />
Introduction to Telephone Switching, Addison-Wesley<br />
Introduction to Fiber Optics System Design, North-Holland<br />
States in which pr<strong>of</strong>essionally licensed or certified, if applicable<br />
NA<br />
Principal publications <strong>of</strong> the last five years<br />
“An Engineering Approach to Controlling Certain Arthropods,” <strong>2008</strong> International<br />
Congress <strong>of</strong> Entomologists<br />
“Fixed Mobile Convergence,” 2007 Communications and Networking Conference<br />
“Event Storm Detection and Identification in Communication Systems,” Reliability and<br />
System Safety Journal, 2006<br />
“Reliability Polynomial Forensics,” Motorola <strong>Technology</strong> Journal, 2005<br />
“A Framework for Event Correlation in Communication Systems,” Springer-Verlag,<br />
LINK: Lecture Notes in Computer Science, 2004<br />
Scientific and pr<strong>of</strong>essional societies <strong>of</strong> which a member<br />
IEEE: (Senior Member) Communications Society<br />
Honors and awards<br />
Distinguished Technical Staff Award – Bell Laboratories, 1982<br />
Nomination for Alexander Graham Bell Medal (won by Dr. Arun Netravali, who became<br />
President <strong>of</strong> Bell Labs).<br />
Appointed first Alva C. Todd Pr<strong>of</strong>essor<br />
245
Elected Member <strong>of</strong> the Governing Board <strong>of</strong> the IEEE Computer Society National<br />
Chairman <strong>of</strong> the Chicago Chapter <strong>of</strong> the IEEE Computer Society<br />
Institutional and pr<strong>of</strong>essional service in the last five years<br />
Employed full time in Industry<br />
Percentage <strong>of</strong> time available for research or scholarly activities:<br />
30%<br />
Percentage <strong>of</strong> time committed to the program:<br />
Part-time instructor, 1 course per semester<br />
246
Name and Academic Rank<br />
Kamen P. Ivanov, Lecturer<br />
Degrees with fields, institutions, and dale<br />
Diploma <strong>of</strong> Communication Engineering, Czech Technical University Prague Czech<br />
Republic 1952<br />
Ph.D in Electrical Engineering Moscow Engineering <strong>Institute</strong> (MEI) Moscow, Russia<br />
1961<br />
Number <strong>of</strong> years <strong>of</strong> service on this faculty, including date <strong>of</strong> original appointment<br />
Seven years <strong>of</strong> service:<br />
Original appointment date as part-time faculty, January 2001<br />
Other related experience-teaching, industrial, etc.<br />
Taught graduate courses <strong>of</strong> Electromagnetics and Microwave Theory and technique<br />
Consulting, patents, etc.<br />
Consulting Ph.D students at Femuniversitaet Hagen, Gennany<br />
"Waveguide Dielectric Phase ShiRer" Bulgarian authorship certificate No. 23416<br />
February 17. 1976<br />
"Waveguide Device for Microwave Diagnostics <strong>of</strong> Semiconductor Materials" Bulgarian<br />
authorship certificate No. 27203 December 30,1977<br />
State(s) in which registered<br />
Republic <strong>of</strong> Bulgaria<br />
Principal publications <strong>of</strong> last five years<br />
Research papers on anisotropic waveguides published in leading pr<strong>of</strong>essional journals<br />
andlor presented at international symposia, conferences, workshops, etc.<br />
Scientific and pr<strong>of</strong>essional societies <strong>of</strong> which a member<br />
Union <strong>of</strong> scientific and technical societies <strong>of</strong> Bulgaria.<br />
Distinguished member <strong>of</strong> the society <strong>of</strong> Czechoslovak-Bulgarian friendship<br />
Honors and Awards<br />
Recipient <strong>of</strong> the medal <strong>of</strong> merit <strong>of</strong> Polish Academy <strong>of</strong> Sciences.<br />
Grantee for research on anisoptropic waveguides with Femuniversitaet Hagen, Germany<br />
<strong>of</strong> the European Union Commission for scientific research.<br />
Institutional and Pr<strong>of</strong>essional service in the last fiveyears<br />
Teaching undergraduate course <strong>of</strong> the Electrodynamics <strong>of</strong> the Electrical and Computer<br />
Engineering Department at <strong>Illinois</strong> <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong><br />
In depth treatment <strong>of</strong> the major topics that form the foundation <strong>of</strong> Electromagnetics<br />
Consulting Ph.D students in Germany<br />
Pr<strong>of</strong>essional development activities in the last five years<br />
Recitations and seminars for solving drill and end- <strong>of</strong>- chapter problems <strong>of</strong> increased<br />
complexity for students <strong>of</strong> ECE department <strong>of</strong> TIT. Extensive consulting <strong>of</strong> student's<br />
homework assignments.<br />
Percentage <strong>of</strong> time available for research or scholarly activities<br />
0%<br />
247
Percentage <strong>of</strong> time committed to the program<br />
Part-time instructor, 1 course per semester<br />
248
Name and Academic Rank:<br />
Dr. Ronald A. Nordin (Adjunct Associate Pr<strong>of</strong>essor))<br />
Degrees with fields, institution, and date<br />
PhD-EE, Northwestern University, 1984<br />
MS-EE, Northwestern University, 1979<br />
BS-EE, Purdue University, 1977<br />
Number <strong>of</strong> years <strong>of</strong> service on this faculty, including date <strong>of</strong> original appointment and<br />
dates <strong>of</strong> advancement in rank<br />
20 years <strong>of</strong> service:<br />
Adjunct Associate Pr<strong>of</strong>essor (1998 - present)<br />
Instructor <strong>IIT</strong> 1988-1998<br />
Instructor: Midwest College <strong>of</strong> Engineering 1984 - 1988<br />
Other related experience--teaching, industrial, etc.<br />
Pr<strong>of</strong>essional Engineering Instructor<br />
Research Director – Panduit Corporation<br />
Research Manager – Bell Telephone Laboratories<br />
Consulting, Patents, etc.:<br />
Over 20 Patents<br />
Seven Technical books (chapter author in each one)<br />
Over 50 technical publications<br />
States in which pr<strong>of</strong>essionally licensed or certified, if applicable<br />
<strong>Illinois</strong><br />
Principal publications <strong>of</strong> last five years<br />
The nature and properties <strong>of</strong> crosstalk in cabling systems<br />
IEEE 10G-Base-T development<br />
IEEE Power over Ethernet cabling<br />
Scientific and pr<strong>of</strong>essional societies <strong>of</strong> which a member<br />
IEEE<br />
Honors and Awards:<br />
Outstanding Engineer Award (IEEE 1995)<br />
1997 Fall Semester <strong>IIT</strong> Teaching Award (A. C. Todd Pr<strong>of</strong>essor)<br />
1998 Spring Semester <strong>IIT</strong> Teaching Award (A. C. Todd Pr<strong>of</strong>essor)<br />
2001 Technical Manager Diversity Role Model Award (Lucent Technologies)<br />
Institutional and Pr<strong>of</strong>essional Service:<br />
Various IEEE activities.<br />
Percentage <strong>of</strong> time available for research or scholarly activities<br />
Over 90% as this is my full time activity at work.<br />
Percentage <strong>of</strong> time Committed to the Program:<br />
Part-time instructor, 1 course per semester<br />
249
Name and Academic Rank<br />
Joseph A. Pinnello, Instructor<br />
Degrees with fields, institution, and date<br />
BSEE, <strong>Illinois</strong> <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong>, January, 1962<br />
MSEE, <strong>Illinois</strong> <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong>, June, 1968<br />
Number <strong>of</strong> years in service on this faculty, including orginal date <strong>of</strong> appointment and<br />
dates <strong>of</strong> advancement in rank.<br />
11 years <strong>of</strong> service:<br />
Instructor in Electrical and Computer Engineering, <strong>Illinois</strong> <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong><br />
Instructor for EI/PE review course, <strong>Illinois</strong> <strong>Institute</strong> <strong>of</strong> <strong>Technology</strong><br />
Other related experience-teaching, industrial, etc.<br />
System Reliability Engineer, Bulk Power Operations<br />
December 1990 to December 1997<br />
Senior Staff Engineer, System Planning<br />
October 1979 to December 1990<br />
Project Engineer, Station Electrical Engineering Department<br />
Design and construction <strong>of</strong> electric substation facilities<br />
Field Engineer, Division Engineering<br />
Design and construction <strong>of</strong> electric distribution facilities<br />
Consulting, patents, etc.<br />
None<br />
State(s) in which registered<br />
Registered Pr<strong>of</strong>essional Engineer in the State <strong>of</strong> <strong>Illinois</strong><br />
Principal publications <strong>of</strong> the last five years<br />
None<br />
Scientific and pr<strong>of</strong>essional societies <strong>of</strong> which a member<br />
Senior Member <strong>of</strong> the IEEE.<br />
Honors and awards<br />
None.<br />
Institutional and pr<strong>of</strong>essional service in the last five years.<br />
None.<br />
Percentage <strong>of</strong> time available for research or scholarly activities<br />
0%<br />
Percentage <strong>of</strong> time committed to the program<br />
Part-time instructor, 1 course per semester<br />
250
Name and Academic Rank<br />
Peter C. Simko, Lecturer<br />
Degrees with fields, institution, and date<br />
MS Computer Engineering, <strong>IIT</strong> 2005<br />
MS Mechanical Engineering, Boston University, 1994<br />
BS Physics, University <strong>of</strong> Rochester, 1992<br />
Number <strong>of</strong> years <strong>of</strong> service on this faculty, including date <strong>of</strong> original appointment and<br />
dates <strong>of</strong> advancement in rank<br />
Two years <strong>of</strong> service:<br />
Instructor <strong>IIT</strong>, 2005-2007<br />
Other related experience--teaching, industrial, etc.<br />
S<strong>of</strong>tware Engineer, Videojet Inc, Wood Dale IL, 1999-2002<br />
S<strong>of</strong>tware Engineer, Rauland-Borg Corp, Skokie IL, 1998-1999<br />
Scientific Programmer, Chiron Diagnostics, Medfield MA, 1994-1998<br />
Consulting, Patents, etc.:<br />
None.<br />
States in which pr<strong>of</strong>essionally licensed or certified, if applicable<br />
N/A.<br />
Principal publications <strong>of</strong> last five years<br />
“Computational Time Reversal Ultrasonic Array Imaging <strong>of</strong> Multipoint Targets,” IEEE<br />
Ultrasonics Symposium, 2007.<br />
Scientific and pr<strong>of</strong>essional societies <strong>of</strong> which a member<br />
Acoustical Society <strong>of</strong> America<br />
Honors and Awards:<br />
None.<br />
Institutional and Pr<strong>of</strong>essional Service:<br />
None.<br />
Percentage <strong>of</strong> time available for research or scholarly activities<br />
Over 80%<br />
Percentage <strong>of</strong> time Committed to the Program:<br />
Part-time instructor, 1 course per semester<br />
251
APPENDIX C – LABORATORY EQUIPMENT<br />
Room Model Quantity Equipment Type<br />
310D 12 Dell 19 inch LCD Monitor<br />
310D 33220A 12 Agilent Technologies 20MHz Function/Waveform Generator<br />
310D DS03062A 12 Agilent Technologies 60MHz Digital Oscilloscope<br />
310D 34405A 12 Agilent Technologies 51/2 Digital Multimeter<br />
310D E3630 12 Agilent Tehnologies Triple Output DC Power Supply<br />
310D 3010 6 TIMS PC Enabled Modelling System<br />
310D SR760 4 Stanford Research Systems FFT Spectrum Analyzer<br />
310<br />
Corridor 100 10 Sun Microsystem Sunray system<br />
001 lab LA302 3 LeCroy 100MHz Oscilloscope<br />
001 lab HM407-2 3 Hameg 40MHz Analog Digital Scope<br />
001 lab LM4500 2 LN Universal Power Supply/Function Generator<br />
001 lab LM6113 2 LN isolation Amplifier<br />
001 lab LM4501 1 LN Universal Power Supply/Function Generator<br />
001 lab 1350VA 3 LN Three Phase Transformer for Scott circuits<br />
001 lab 1 PHYWE DC Power Supply<br />
001 lab SE2662-AP 3 Resistor load<br />
001 lab ST 7007 3 3 Phase Power Supply<br />
001 lab SE 2662-8C 3 8C Inductive Load<br />
001 lab SE 2663-6B 4 Auto Transformer<br />
001 lab 3 PHYWE Variable Transformer, Isolated<br />
001 lab 1006 2 Peak Tech 6MHz function generator<br />
001 lab SE2662-6H 3 Capacitive Loads<br />
001 lab 2 Learning Workstations for Special Machines<br />
001 lab 24 Motors<br />
001 lab 3<br />
001 lab 3<br />
001 lab 4<br />
Learning Workstations For Renewable Enegy (consist <strong>of</strong><br />
different components)<br />
Learning Workstations For Fundamentals <strong>of</strong> Power<br />
Engineering (consist <strong>of</strong> different components)<br />
Learning Workstations for Power Electronics/Motor<br />
Drives (consist <strong>of</strong> different components)<br />
022B GX240 12 Dell Optiplex Intel Pentium IV PC<br />
022B 12 Dell CRT Monitors<br />
252
ECE Server Room Computing Facility (room 308)<br />
Hardware Model Quantity Features<br />
Sun Fire V440 Server 3 Application Server<br />
Processor: 4 SparcV9 1.6GHz each<br />
Memory: 8GB<br />
Sun Fire V240 Server 1 File Server<br />
Processor: 2 SparcV9 1.6GHz<br />
Memory: 8GB<br />
Sun V420R Enterprise Server 1 Application Server<br />
Processor: 4 SparcV9 450MHz<br />
Memory: 4GB<br />
Sun Netra X1 2 License Server and NIS+ Server<br />
Processor: 1 SparcV9 450MHz<br />
Memory: 128MB<br />
Sun Fire V240 Server 1 Application Server<br />
Processor: 2 SparcV9 1.0GHz<br />
Memory: 2GB<br />
Sun Storedge 3300 1 File Server Storage<br />
5 x 280GB storage for Department Files<br />
Sun Storedge D2 1 App. Server Storage<br />
Addition 7 slots available to increase storage Capacity<br />
6 x 33GB storage for Applications<br />
Additional 6 slots available to increase storage capacity<br />
Overland Loader Express 1 Backup For Files and Applications<br />
Quantum DLT V160 1 Backup For Web and Email Server<br />
Dell Poweredge 2850 1 Web and Email Server<br />
Processor: 4 Intel Xeon 3GHz<br />
Memory: 3GB<br />
Tripplite UPS + Additional Battery 2 Backup Power System<br />
APC Smart UPS 1 Backup Power System<br />
253