31.10.2012 Views

TUESDAY KEYNOTE MIKE MULLER - DAC

TUESDAY KEYNOTE MIKE MULLER - DAC

TUESDAY KEYNOTE MIKE MULLER - DAC

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

<strong>TUESDAY</strong> <strong>KEYNOTE</strong><br />

June 5, 8:30 - 9:30am<br />

SUMMARY:<br />

Comparing the original ARM design of 1985 to those of<br />

today’s latest microprocessors, Mike will look at how far<br />

has design come and what EDA has contributed to enabling<br />

these advances in systems, hardware, operating systems,<br />

and applications and how business models have evolved<br />

over 25 years. He will then speculate on the needs for scaling<br />

designs into solutions for 2020 from tiny embedded sensors<br />

through to cloud based servers which together enable the<br />

internet of things. He will look at what are the major challenges<br />

that need to be addressed to design and manufacture these<br />

systems and proposes some solutions.<br />

6<br />

Room: 102/103 General Interest<br />

SCALING FOR 2020 SOLUTIONS<br />

<strong>MIKE</strong> <strong>MULLER</strong><br />

ARM, Inc., Cambridge, United Kingdom<br />

www.<strong>DAC</strong>.com<br />

BIO:<br />

Mike Muller was one of the founders of ARM. Before joining<br />

the Company, he was responsible for hardware strategy and<br />

the development of portable products at Acorn Computers<br />

and was part of the original ARM design team. He was<br />

previously at Orbis Computers who developed network<br />

computers. At ARM he was VP, Marketing from 1992 to 1996<br />

and EVP, Business Development until October 2000 when<br />

he was appointed Chief Technology Officer. In October 2001,<br />

he was appointed to the board of ARM Holdings plc.


GENERAL SESSION<br />

Tuesday, June 5, 8:30 - 9:45am Room: 102/103<br />

OPENING REMARKS - Patrick Groeneveld - 49th <strong>DAC</strong> General Chair<br />

AWARDS PRESENTATIONS<br />

MARIE R. PISTILLI WOMEN IN EDA<br />

ACHIEVEMENT AWARD<br />

For her significant contributions in helping women advance in<br />

the field of EDA technology.<br />

Dr. Belle W. Y. Wei - Don Beall Dean of the Charles W.<br />

Davidson College of Engineering, San Jose State Univ.<br />

P.O. PISTILLI UNDERGRADUATE<br />

SCHOLARSHIPS FOR ADVANCEMENT<br />

IN COMPUTER SCIENCE AND<br />

ELECTRICAL ENGINEERING<br />

The objective of the P.O. Pistilli Scholarship program is to<br />

increase the pool of professionals in Electrical Engineering,<br />

Computer Engineering, and Computer Science from<br />

under-represented groups (women, African-American,<br />

Hispanic, Native American, and physically challenged). In<br />

1989, the ACM Special Interest Group on Design Automation<br />

(SIGDA) began providing the program. Beginning in 1993,<br />

the Design Automation Conference provided the funds for the<br />

scholarship and a volunteer committee continues to administer<br />

the program for <strong>DAC</strong>. <strong>DAC</strong> funds a $4000 scholarship,<br />

renewable up to five years, to graduating high school seniors.<br />

The 2012 recipient is:<br />

Catherine Agor Mullings<br />

A. RICHARD NEWTON<br />

GRADUATE SCHOLARSHIPS<br />

Each year the Design Automation Conference sponsors the<br />

A. Richard Newton Graduate Scholarship to support<br />

graduate research and study in Design Automation (EDA).<br />

Each scholarship is awarded directly to a University for the<br />

Faculty Investigator to expend in direct support of the project<br />

and students named in the application. The criteria are: the<br />

quality and applicability of the proposed research; the impact<br />

of the award on the EDA program at the institution; the<br />

academic credentials of the student(s); and financial need.<br />

This year’s scholarship goes to:<br />

Advisor:<br />

Prof. Yiran Chen – Univ. of Pittsburgh<br />

Student:<br />

Wujie Wen – Univ. of Pittsburgh<br />

Project:<br />

NVSim-VX: Variation Aware Emerging<br />

Nonvolatile Memory Simulator<br />

2011 PHIL KAUFMAN AWARD<br />

FOR DISTINGUISHED<br />

CONTRIBUTIONS TO EDA<br />

Sponsored by the EDA Consortium and IEEE Council on EDA<br />

Dr. C. L. David Liu – William Mong honorary chair professor<br />

of Computer Science and former president of the National<br />

Tsing Hua University in Hsinchu, Taiwan<br />

Dr. C. L. David Liu received this award for his distinguished<br />

technical contributions, leadership skills, and business<br />

acumen in electronic design automation.<br />

Dave Liu is honored for his work in leading the transformation<br />

from ad hoc EDA to algorithmic EDA.<br />

IEEE CEDA OUTSTANDING<br />

SERVICE CONTRIBUTION<br />

For significant services as <strong>DAC</strong> General Chair 2011.<br />

Leon Stok – IBM Corp.<br />

IEEE FELLOW<br />

For contributions to analysis and modeling of VLSI interconnects.<br />

Luis Miguel Silveira – Technical Univ. of Lisbon<br />

IEEE FELLOW<br />

For contributions to circuits, architectures, and software technology<br />

for field-programmable gate arrays.<br />

Steve Trimberger – Xilinx Inc.<br />

IEEE FELLOW<br />

For contributions to system-level power characterization, including<br />

thermal management.<br />

Naehyuck Chang – Seoul National Univ.<br />

DONALD O. PEDERSON BEST PAPER<br />

AWARD FOR THE IEEE TRANSACTION<br />

ON CAD<br />

For the paper titled: “An Analytical Approach for Network-on-<br />

Chip Performance Analysis,” IEEE Transaction on Computer-<br />

Aided Design of Integrated Circuits and Systems, December<br />

2010, Vol. 29, No. 12, pp. 2001-2013.<br />

Umit Ogras – Intel Corp.<br />

Paul Bogdan – Carnegie Mellon Univ.<br />

Radu Marculescu – Carnegie Mellon Univ.<br />

ACM/IEEE A. RICHARD NEWTON<br />

TECHNICAL IMPACT AWARD IN<br />

ELECTRONIC DESIGN AUTOMATION<br />

For advancing the theory and implementation of model<br />

order reduction for efficient circuit analysis via dominant<br />

pole/zero methods.<br />

“PRIMA: Passive Reduced-Order Interconnect Macromodeling<br />

Algorithm,” IEEE Transactions on Computer-Aided Design of<br />

Integrated Circuits and Systems, August 1998, Vol. 17, Issue<br />

8, Pages 645-654.<br />

Altan Odabasioglu – Gear Design Solutions<br />

Mustafa Celik – Synopsys, Inc.<br />

Larry Pileggi – Carnegie Mellon Univ.<br />

SIGDA OUTSTANDING<br />

NEW FACULTY<br />

Outstanding New Faculty for 2012.<br />

David Atienza – Ecole Polytechnique Fédérale de Lausanne<br />

ACM/SIGDA OUTSTANDING PH.D.<br />

DISSERTATION AWARD<br />

In recognition of the outstanding dissertation<br />

“Algorithmic Studies on PCB Routing.”<br />

Dr. Tan Yan – University of Illinois at Urbana-Champaign<br />

Prof. Martin D. Wong (Dissertation Advisor) –<br />

University of Illinois at Urbana-Champaign<br />

7


WEDNESDAY <strong>KEYNOTE</strong><br />

June 6, 10:45 - 11:45am<br />

BIO:<br />

Joshua Friedrich is a Senior Technical Staff Member and<br />

Senior Manager of POWER TM Technology Development in<br />

IBM’s Server and Technology Group. In his role, Josh leads<br />

the physical design, technology direction, and methodology of<br />

IBM’s future POWER TM processors. Josh has been part of the<br />

POWER development team since POWER4 TM , and on past<br />

POWER TM designs, Josh has led multiple design disciplines<br />

including power estimation and reduction, hardware<br />

characterization, memory subsystem circuit development,<br />

and core execution units. Before joining IBM, Josh received<br />

his Bachelor of Science in Electrical Engineering from the<br />

University of Texas at Austin.<br />

8<br />

Room: 102/103 User Track<br />

DESIGNING HIGH PERFORMANCE SYSTEMS-ON-CHIP<br />

Experience state-of-the art design through the eyes of two<br />

experts that help shape these advanced chips! In this unique<br />

dual-keynote, the design process at two leading companies<br />

will be discussed. The speakers will cover key challenges,<br />

engineering decisions and design methodologies to achieve<br />

POWER TM Processor Design<br />

and Methodology Directions<br />

JOSHUA<br />

FRIEDRICH<br />

IBM Server and Technology<br />

Group, Austin, TX<br />

SUMMARY:<br />

Processor designs and the EDA tools that support them<br />

stand at a key inflection point. The era of Dennard scaling<br />

and exponential single thread performance growth is a<br />

distant memory. Multi-thread performance continues to<br />

grow. However, the gain from simply adding more cores to<br />

a die by stepping to the next process node is diminishing<br />

due to technology challenges, application bottlenecks, and<br />

power/packaging constraints. To continue to deliver the costperformance<br />

gains that drive our industry, designers will<br />

need to bring significant innovation to bear by integrating<br />

heterogeneous system components and accelerating key<br />

portions of the software stack in hardware. This transformation<br />

from technology-driven design to innovation-driven design<br />

defines new priorities for EDA development compared to<br />

prior eras. While timing optimization, power reduction, and<br />

support for modular designs remain necessary, differentiation<br />

will be achieved by enabling designer productivity through<br />

technology simplification, design abstraction, and robust<br />

support for heterogeneous IP.<br />

www.<strong>DAC</strong>.com<br />

top performance and turn-around time. The presentations<br />

describe where EDA meets practice under the most advanced<br />

nodes, so will be of key interest to both designers and EDA<br />

professionals alike.<br />

Designing a 22nm Intel ®<br />

Architecture Multi-CPU<br />

and GPU<br />

BRAD<br />

HEANEY<br />

Intel Corp., Folsom, CA<br />

SUMMARY:<br />

With each new process technology node and integration of<br />

more system components on to a monolithic die, the design<br />

methodology challenges must advance to enable validation<br />

and implementation of these complex products. With Intel’s<br />

new 22nm technology, we are designing products with over<br />

1.4 billion transistors and integrating hardware blocks that<br />

naturally want different process and design optimizations.<br />

The recently launched 3rd Generation Intel Core Process<br />

(codename Ivy Bridge) has an integrated Graphics Processing<br />

Unit that has different process and design demands than the<br />

CPU Core Processor. With the size and diversity of the product<br />

hardware, combined with new advanced process technology<br />

features, such as Intel’s new tri-gate transistor, more<br />

capabilities for silicon debug, coverage, and manufacturing<br />

need to be planned and incorporated into the architecture<br />

and design implementation. By close collaboration between<br />

the process development and design teams at Intel, we are<br />

able to develop design methods to ramp these large, complex<br />

products into high volume manufacturing at, or ahead of, the<br />

schedule on prior products.<br />

BIO:<br />

Brad Heaney is an Intel Architecture Group Project Manager<br />

and operates out of Intel’s Folsom Design Center. Brad is a<br />

25 year veteran at Intel and started his career working on<br />

the design of the 80386 family of CPUs and is the holder<br />

of four patents for his design work. In the last few years,<br />

Brad has been managing the teams that deliver Intel’s lead<br />

vehicles for ramping new process technologies. Brad’s team<br />

developed the Penryn CPU, which was a lead vehicle for<br />

45nm process technology. In April of this year, they launched<br />

the Ivy Bridge CPU (3rd Generation Intel Core Processor),<br />

which is the lead vehicle for Intel’s 22nm process technology.<br />

Brad received his Bachelor of Science degree from Drexel<br />

University in Philadelphia and his Master of Science in<br />

Electrical Engineering degree from Stanford University prior<br />

to joining Intel.


THURSDAY <strong>KEYNOTE</strong><br />

June 7, 11:00am - 12:00pm<br />

SUMMARY:<br />

It was June 1982 that I had my first technical paper in the EDA<br />

area presented at the 19th Design Automation Conference. It<br />

was exactly 20 years after I completed my doctoral study and<br />

exactly 30 years ago from today. I would like to share with the<br />

audience how my prior educational experience prepared me<br />

to enter the EDA field and how my EDA experience prepared<br />

me for the other aspects of my professional life.<br />

Room: 102/103 General Interest<br />

MY FIRST DESIGN AUTOMATION<br />

CONFERENCE - 1982<br />

C. L. LIU<br />

National Tsing Hua Univ., Hinschu, Taiwan<br />

BIO:<br />

C. L. Liu received his B. Sc. degree (1956) from the National<br />

Cheng Kung University in Taiwan, and his S. M. (1960) and<br />

Sc. D. (1962) degrees from the Massachusetts Institute of<br />

Technology. He taught at the Massachusetts Institute of<br />

Technology, the University of Illinois at Urbana-Champaign,<br />

and the National Tsing Hua University in Taiwan. He also<br />

served as the President of the National Tsing Hua University<br />

from 1998 to 2002.<br />

He is currently the William Mong Honorary Chair Professor<br />

of Computer Science at the National Tsing Hua University,<br />

an industrial consultant, and the host of a weekly radio show<br />

(since 2005). He has published over 180 technical papers,<br />

eight technical textbooks and research monographs in the<br />

area of EDA, computer –aided instruction, real-time systems,<br />

combinatorial optimization, and discrete mathematics, and<br />

seven essay collections in the area of science and humanities.<br />

www.<strong>DAC</strong>.com 9


<strong>DAC</strong> 2012 MANAGMENT DAY<br />

<strong>TUESDAY</strong>, JUNE 5 10:00am - 4:00pm<br />

Room: 309 Additional Registration Fees Apply<br />

Organizer:<br />

Yervant Zorian - Synopsys, Inc., Mountain View, CA<br />

The <strong>DAC</strong> 2012 Management Day provides managers with timely information to help them make decisions where business and technology intersect. This is a unique<br />

opportunity for managers to gain insights from their peers in the industry.<br />

Today’s complex SoCs require different types of optimizations and the adoption of emerging solutions to meet such requirements. Optimizing for volume production,<br />

low power, and shrinking sizes necessitates accurate trade-off analysis and technical/business decision-making by management. Also, moving to new semiconductor<br />

technology nodes, such as 20nm or 28nm, can significantly affect the choices of suppliers. The Management Day sessions will discuss these changing needs<br />

and present corresponding management decision criteria that allow managers to make the right choices from a pool of alternate options for flows, methodologies,<br />

and suppliers.<br />

The Management Day is comprised of two sessions, which will feature presentations by managers representing fab-less companies, systems houses and suppliers to<br />

the design ecosystem. Senior managers will discuss the latest and emerging solutions, along with their economic impact.<br />

SESSION 1:<br />

10:00am - 11:30am<br />

Speakers:<br />

Vincent Ratford – Senior Vice President, Xilinx, San Jose, CA<br />

Chi-Feng Wu – Vice President Engineering, Realtek, Hsinchu, Taiwan<br />

Norbert Diesing – Director Engineering, PMC Sierra, Vancouver, BC, Canada<br />

SESSION 2:<br />

1:30 - 4:00pm<br />

Speakers:<br />

Ajoy Bose – President CEO, Atrenta, San Jose, CA<br />

Indavong Vongsavady – Director Engineering, ST Microelectronics,<br />

Crolles, France<br />

Pankaj Mayor – Vice President, Cadence Design Systems, San Jose, CA<br />

Jitendra Khare – Director Engineering, Applied Micro, Sunnyvale, CA<br />

William Eklow – Distinguished Engineer, Cisco Systems, San Jose, CA<br />

10<br />

www.<strong>DAC</strong>.com<br />

General Interest<br />

Decision Making for Complex ICs<br />

Moving to new semiconductor technology nodes for complex ICs can significantly<br />

affect the choices of design flow, methodologies and suppliers. This session<br />

will cover the challenges of complex chip design and present corresponding<br />

management decision criteria that allow managers to make the right choices from<br />

a pool of alternate options. This session feature presentations by senior managers<br />

representing a range of fab-less chip companies.<br />

General Interest<br />

Trade-Offs and Choices for Emerging SoCs<br />

Today’s emerging SoCs require multiple types of optimizations and the adoption<br />

of advanced solutions to meet stringent design requirements. Optimizing for<br />

volume production, low-power, and shrinking sizes necessitates accurate trade-off<br />

analysis and technical/business decision making by management. This session<br />

will feature senior managers of today’s most complex nanometer chip design<br />

suppliers, fab-less companies, and system houses.


TECHNICAL SESSIONS<br />

<strong>TUESDAY</strong>, JUNE 5<br />

1<br />

10:00 - 11:30am<br />

2<br />

10:00 - 11:30am<br />

SPECIAL SESSION: E-HEALTH:<br />

A KILLER APPLICATION FOR ELECTRONIC DEVICES?<br />

Chair:<br />

Rajesh Gupta - Univ. of California at San Diego, La Jolla, CA<br />

New electronic systems for diagnostics and care are changing the practice of<br />

health management, opening the door to personalized medicine as well as to<br />

support for remote care of chronic patients. This session starts with a survey of<br />

the field, and then presents the latest inroads in bio-sensing and data acquisition<br />

chains, and finally terminates with a presentation of security aspects as a key<br />

design problem. Design challenges and design flows for the emerging biomedical<br />

electronic systems will be presented.<br />

3<br />

10:00 - 11:30am<br />

PANEL: WILL RELIABILITY BE THE<br />

DEATH OF MOORE’S LAW?<br />

Chair:<br />

Ana Hunter - Samsung, San Jose, CA<br />

Speakers:<br />

Naresh R. Shanbhag - Univ. of Illinois at Urbana-Champaign, Urbana, IL<br />

Jose Maiz - Intel Corp., Portland, OR<br />

Sani Nassif - IBM Research, Austin, TX<br />

Subhasish Mitra - Stanford Univ., Stanford, CA<br />

Goeran Jerke - Robert Bosch GmbH, Reutlingen, Germany<br />

Room: 304<br />

Bio Design Automation<br />

2.1 Biomedical Electronics Serving as Physical,<br />

Environmental, and Emotional Guardians?<br />

Rudy Lauwereins - IMEC, Leuven, Belgium<br />

2.2 Integrated Biosensors for Personalized Medicine<br />

Giovanni De Micheli, Cristina Boero, Camilla Baj-Rossi, Irene Taurino,<br />

Sandro Carrara - Ecole Polytechnique Fédérale de Lausanne, Switzerland<br />

2.3 Design Challenges for Secure Implantable Medical Devices<br />

Wayne Burleson, Shane Clark, Ben Ransford, Kevin Fu<br />

- Univ. of Massachusetts, Amherst, MA<br />

DESIGN AUTOMATION FOR THINGS WET,<br />

SMALL, SPOOKY, AND TAMABLE<br />

Chair:<br />

Tsung-Yi Ho - National Cheng Kung Univ., Tainan, Taiwan<br />

The future of design automation may well be in novel technologies and in new<br />

opportunities. This session begins with design techniques that in the past may<br />

have applied exclusively to electronic design automation, but now are applied to<br />

the wet (microfluidics), the small (nanoelectronics), and the spooky (quantum). The<br />

papers cover routing and placement, pin assignment, cell design, and technology<br />

mapping applied to microfluidics biochips, quantum gates, and silicon nanowire<br />

transistors. The last paper, a perspective, details (tamable) challenges to solve<br />

energy arbitration, alternative energy sourcing and capacity provisioning for<br />

computational resources through dynamic deferral of energy loads.<br />

3.1 Design of Pin-Constrained General-Purpose<br />

Digital Microfluidic Biochips (10:00am)<br />

Yan Luo, Krishnendu Chakrabarty - Duke Univ., Durham, NC<br />

Room: 305<br />

Room: 300<br />

Design for Manufacturability<br />

The latest technology roadmap cites “reliability and resilience” as key long-term<br />

challenges to continue the Moore’s Law scaling. How much will electromigration,<br />

aging, and thermal effects limit the benefits of smaller process geometries? Is<br />

the cost of reliability (margins, area, power) a showstopper? To what extent will<br />

new architectures and design tools mask unreliability? This panel discusses these<br />

issues and looks at how reliability challenges could limit product design over the<br />

coming decade.<br />

Emerging Technologies<br />

3.2 Path Scheduling on Digital Microfluidic Biochips (10:15am)<br />

Daniel Grissom, Philip Brisk - Univ. of California, Riverside, CA<br />

3.3 Realizing Reversible Circuits Using a New<br />

Class of Quantum Gates (10:30am)<br />

Zahra Sasanian, Michael Miller - Univ. of Victoria, Victoria, BC, Canada<br />

Robert Wille - Univ. of Bremen, Germany<br />

3.4 Physical Synthesis onto a Sea-of-Tiles with<br />

Double-Gate Silicon Nanowire Transistors (10:45am)<br />

Shashikanth Bobba, Michele De Marchi, Yusuf Leblebici, Giovanni De<br />

Micheli - Ecole Polytechnique Fédérale de Lausanne, Switzerland<br />

3.5 A Microgrid View of Energy Efficient Systems (11:00am)<br />

Rajesh Gupta - Univ. of California at San Diego, La Jolla, CA<br />

www.<strong>DAC</strong>.com Best Paper Nominees are Denoted in Orange. Perspective Papers are Denoted in Dark Gray. 11


TECHNICAL SESSIONS<br />

<strong>TUESDAY</strong>, JUNE 5<br />

4 BE<br />

10:00 - 11:30am<br />

5<br />

12<br />

DESIGN AND DATA SECURITY: IS IT EVEN POSSIBLE?<br />

10:00 - 11:30am Room: 308<br />

Chair<br />

Mohammad Tehranipoor - Univ. of Connecticut, Mansfield, CT<br />

Threats against IC design information and data integrity are on the rise.<br />

The potential costs of IP counterfeiting and secure data exposure motivate<br />

research activity in this area. As design processes are distributed physically, the<br />

vulnerable attack surface increases dramatically, necessitating robust security<br />

approaches. This session explores hardware design approaches to protecting<br />

design information from theft or modification, and securing sensitive data onchip.<br />

The techniques investigated in the papers of this session include the design<br />

of physically unclonable functions, hardware trojan detection, dynamic power<br />

analysis defenses, and design obfuscation.<br />

5.1 A Code Morphing Methodology to Automate Power<br />

Analysis Countermeasures (10:00am)<br />

Gerardo Pelosi, Giovanni Agosta, Alessandro Barenghi<br />

- Politecnico di Milano, Milan, Italy<br />

6 SYSTEM<br />

10:00 - 11:30am<br />

EFFICIENT: LOW-POWER DESIGN TECHNIQUES<br />

Chair<br />

Hamid Mahmoodi - San Francisco State Univ., San Francisco, CA<br />

Power consumption is one of the critical challenges in advanced technologies.<br />

Exploiting power and sleep modes reduces power when the circuit is idle as in the<br />

first paper in the session, or to dynamically adjust voltage and frequency (DVFS)<br />

as in the second. Memories make up a large portion of current and future systems<br />

and it is important to accurately estimate their power consumption as in the third<br />

paper, or to reduce the energy of emerging memory technologies, as in the fourth<br />

paper.<br />

4.1 A Semiempirical Model for Wakeup Time Estimation<br />

in Power-Gated Logic Clusters (10:00am)<br />

Vivek D. Tovinakere, Olivier Sentieys, Steven Derrien<br />

- Univ. de Rennes 1, Lannion, France<br />

5.2 Security Analysis of Logic Obfuscation (10:15am)<br />

Jeyavijayan Rajendran, Ramesh Karri - Polytechnic<br />

Institute of New York Univ., Brooklyn, NY<br />

Youngok Pino - Air Force Research Lab, Rome, NY<br />

Ozgur Sinanoglu - New York Univ., Abu Dhabi, United Arab Emirates<br />

5.3 Hardware Trojan Horse Benchmark via Optimal Creation<br />

and Placement of Malicious Circuitry (10:30am)<br />

Miodrag Potkonjak, Sheng Wei - Univ. of California, Los Angeles, CA<br />

Kai Li, Farinaz Koushanfar - Rice Univ., Houston, TX<br />

5.4* On Improving the Uniqueness of Silicon-Based Physically<br />

Unclonable Functions via Optical Proximity Correction (10:45am)<br />

Domenic Forte, Ankur Srivastava - Univ. of Maryland, College Park, MD<br />

SIMULATION: THE NEED FOR SPEED!<br />

Chair<br />

Gunar Schirner - Northeastern Univ., Boston, MA<br />

Simulation is an integral part of system design and exploration. There is a continued<br />

quest for speeding and improving the performance of full system simulation<br />

by either finding the right level of abstraction or accelerating the underlying<br />

simulation infrastructure. This session presents state of the art approaches from<br />

both domains ranging from hybrid MPSoC model to GPU platforms, focusing on<br />

optimizing synchronization, parallelizing, and accelerating full system simulation.<br />

6.1 Transformer: A Functional-Driven CycleAccurate<br />

Multicore Simulator (10:00am)<br />

Zhenman Fang, Qinghao Min, Keyong Zhou, Yi Lu, Yibin Hu,<br />

Weihua Zhang, Binyu Zang - Fudan Univ., Shanghai, China<br />

Haibo Chen - Shanghai Jiao Tong Univ., Shanghai, China<br />

Jian Li - IBM Corp., Austin, TX<br />

Room: 306<br />

Room: 310<br />

4.2 Cost-Effective Power Delivery to Support Per-Core Voltage<br />

Domains for Power-Constrained Processors (10:15am)<br />

Nam Sung Kim, Hamid Reza Ghasemi,<br />

Abhishek Sinkar - Univ. of Wisconsin, Madison, WI<br />

Mike Schulte - Advanced Micro Devices, Inc., Austin, TX<br />

4.3 A Hybrid and Adaptive Model for Predicting Register File<br />

and SRAM Power Using a Reference Design (10:30am)<br />

Eric Donkoh, Alicia P. Lowery, Emily Shriver - Intel Corp., Hillsboro, OR<br />

4.4 Coding-Based Energy Minimization for<br />

Phase Change Memory (10:45am)<br />

Azalia Mirhoseini, Farinaz Koushanfar - Rice Univ., Houston, TX<br />

Miodrag Potkonjak - Univ. of California, Los Angeles, CA<br />

6.2 SAGA: SystemC Acceleration on GPU Architectures (10:15am)<br />

Sara Vinco, Franco Fummi - Univ. of Verona, Italy<br />

Debapriya Chatterjee, Valeria Bertacco - Univ. of Michigan, Ann Arbor, MI<br />

6.3 Synchronization for Hybrid MPSoC Full-System Simulation (10:30am)<br />

Luis Gabriel Murillo, Juan Eusse, Jovana Jovic, Sergey Yakoushkin,<br />

Rainer Leupers, Gerd Ascheid - RWTH Aachen Univ., Aachen, Germany<br />

6.4 A Non-Intrusive Timing Synchronization Interface for<br />

Hardware-Assisted HW/SW Co-Simulation (10:45am)<br />

Yu-Hung Huang, Yi-Shan Lu, Hsin-I Wu, Ren-Song Tsay<br />

- National Tsing Hua Univ., HsinChu, Taiwan<br />

www.<strong>DAC</strong>.com Best Paper Nominees are Denoted in Orange<br />

Low-Power Design and Power Analysis<br />

Embedded System Validation and Verification<br />

System Level Design and Communication


TECHNICAL SESSIONS<br />

<strong>TUESDAY</strong>, JUNE 5<br />

7<br />

1:30 - 3:00pm<br />

8<br />

PANEL: SYSTEM MODELS - DOES ONE SIZE FIT ALL?<br />

Chair<br />

Brian Bailey - EETimes EDA Designline, Oregon City, OR<br />

Speakers:<br />

Stuart Swan - Cadence Design Systems, Inc., San Jose, CA<br />

Rick Higgins - Qualcomm, Inc., San Diego, CA<br />

John Goodenough - ARM, Inc., San Jose, CA<br />

Frederic Risacher - Research in Motion, Ltd., Waterloo, ON, Canada<br />

Andrea Kroll - Tensilica, Inc., San Jose, CA<br />

SPECIAL SESSION: CAN EDA COMBAT THE RISE OF<br />

ELECTRONIC COUNTERFEITING?<br />

1:30 - 3:00pm Room: 304<br />

Chair<br />

Miodrag Potkonjak - Univ. of California, Los Angeles, CA<br />

The Semiconductor Industry Association (SIA) estimates that counterfeiting<br />

costs the US semiconductor companies $7.5B in lost revenue, and this is indeed<br />

a growing global problem. Repackaging the old ICs, selling the failed test parts,<br />

as well as gray marketing, are the most dominant counterfeiting practices. Can<br />

technology do a better job than lawyers? What are the technical challenges<br />

to be addressed? What EDA technologies will work: embedding IP protection<br />

measures in the design phase, developing rapid post-silicon certification, or<br />

counterfeit detection tools and methods?<br />

9<br />

RELIABILITY: FROM ATOMS TO 3-D<br />

1:30 - 3:00pm<br />

Chair<br />

Angan Das - Intel Corp., Santa Clara, CA<br />

With shrinking device sizes and increasing design complexity, reliability has<br />

become a critical issue. Besides traditional reliability issues for power delivery<br />

networks and clock signals, new challenges are emerging. This session presents<br />

papers that cover a wide spectrum of reliability issues including long-term<br />

device aging, verification of power and 3-D ICs, and high-integrity, low-power<br />

clock networks.<br />

9.1* Physics Matters: Statistical Aging Prediction<br />

under Trapping/Detrapping (1:30pm)<br />

Jyothi Bhaskarr Velamala, Ketul B. Sutaria,<br />

Yu Cao - Arizona State Univ., Tempe, AZ<br />

Takashi Sato - Kyoto Univ., Kyoto, Japan<br />

Room: 305 System Level Design and Communication<br />

System-level modeling is a critical part of product design flows. Developing<br />

a single model that simultaneously satisfies the needs of software developers,<br />

system architects, hardware developers, and verification engineers is hard. Time of<br />

availability, usage models, accuracy requirements, development effort, and speed<br />

vary greatly. Is it possible for one size to fit all? Who will provide the models? Who<br />

will pay for them? The panelists will review different aspects of system modeling<br />

and discuss which abstraction levels best address specific user requirements.<br />

General Interest<br />

8.1 Can EDA Combat the Rise of Electronic Counterfeiting?<br />

Carl McCants - Defense Advanced Research Projects Agency, Arlington, VA<br />

William Bryson - Analytical Solutions, Inc., Albuquerque, NM<br />

Matthew Sale - U.S. Naval Surface Warfare Center, Crane, IN<br />

Peilin Song - IBM Research, Yorktown Heights, NY<br />

Farinaz Koushanfar - Rice Univ., Houston, TX<br />

Saverio Fazzari - Booz Allen Hamilton, Inc., Arlington, VA<br />

Miodrag Potkonjak - Univ. of California, Los Angeles, CA<br />

Room: 300<br />

Verification and Test<br />

9.2 Library-Aware Resonant Clock Synthesis (LARCS) (1:45pm)<br />

Xuchu Hu - Cadence Design Systems, Inc., Univ. of California, Santa Cruz, CA<br />

Walter Condley, Matthew Guthaus - Univ. of California, Santa Cruz, CA<br />

9.3 Incremental Power Grid Verification (2:00pm)<br />

Abhishek, Farid N. Najm - Univ. of Toronto, Toronto, ON, Canada<br />

9.4 Analysis of DC Current Crowding in Through-Silicon-Vias<br />

and its Impact on Power Integrity in 3-D ICs (2:15pm)<br />

Sung Kyu Lim, Xin Zhao - Georgia Institute of Technology, Atlanta, GA<br />

Michael Scheuermann - IBM T.J. Watson Research Ctr., Yorktown Heights, NY<br />

Best Paper Nominees are Denoted in Orange www.<strong>DAC</strong>.com 13


TECHNICAL SESSIONS<br />

<strong>TUESDAY</strong>, JUNE 5<br />

10<br />

1:30 - 3:00pm<br />

11<br />

12<br />

14<br />

EDA FOR EMERGING APPLICATIONS AT THE KILOMETER,<br />

METER, MICRON, AND NANOMETER SCALES<br />

Chair<br />

Sai-Wang (Rocco) Tam - Marvell Semiconductor, Inc., Santa Clara, CA<br />

The design automation community has traditionally been both active and<br />

successful in accelerating the realization of hardware for emerging application<br />

spaces. Of growing interest are energy-efficient living spaces and healthcare. The<br />

first two presentations in this session address cyber physical systems that could<br />

make home appliances more energy-efficient and improve human mobility. Also of<br />

significant interest are wireless communication and new display technologies. The<br />

last two presentations provide a discussion of MEMS-based filters that could<br />

enable software-defined radio, and techniques for managing Vth and mobility<br />

variations for improved LED displays.<br />

1:30 - 3:00pm<br />

FACING DEPENDABILITY: SYSTEM-LEVEL SOLUTIONS<br />

AND CYBERCAR CHALLENGES<br />

Chair<br />

Hans-Joachim Wunderlich - Univ. of Stuttgart, Stuttgart, Germany<br />

Dependability has became a major design constraint for embedded systems.<br />

Whereas early work on dependability has concentrated at lower abstraction<br />

levels, recent research increasingly explores system-level approaches. Run-time<br />

adaptivity is a promising approach to cope with the dependability challenges at<br />

the system level. This session addresses system-level dependability from several<br />

facets such as analysis, cure, and diagnosis. The last paper, a perspective, outlines<br />

how the arrival of Cybercars calls for novel abstractions, models, protocols, design<br />

methodologies, testing and evaluation tools to automate the integration, and<br />

analysis of the sophisticated critical dependeability and security requirements.<br />

11.1 Towards Fault-Tolerant Embedded Systems<br />

with Imperfect Fault Detection (1:30pm)<br />

Jia Huang, Kai Huang, Andreas Raabe, Christian<br />

Buckl - fortiss GmbH, Munich, Germany<br />

Alois Knoll - Technische Univ. München, Germany<br />

1:30 - 3:00pm<br />

VOLATILE OR NON-VOLATILE? THAT’S THE QUESTION<br />

Chair<br />

Tei-Wei Kuo - National Taiwan Univ., Taipei City, Taiwan<br />

Non-volatile memories are attractive because they retain information even when<br />

they are powered off. However, several challenges need to be addressed before<br />

they can be successfully deployed. One major problem is the limited number of<br />

writes that non-volatile memory cells can endure before they start failing. Papers<br />

in this session propose architectural schemes to improve the lifetime and reliability<br />

of non-volatile memories with minimal power and performance overheads.<br />

12.1 Software Controlled Cell Bit-Density to<br />

Improve NAND Flash Lifetime (1:30pm)<br />

Xavier Jimenez, David Novo, Paolo Ienne -<br />

Ecole Polytechnique Fédérale de Lausanne, Switzerland<br />

Room: 306<br />

Room: 308<br />

Room: 310<br />

10.1 Tracking Appliance Usage Information in Residential Settings<br />

Using Off-the-Shelf Low-Frequency Meters (1:30pm)<br />

Deokwoo Jung - Advanced Digital Sciences Center, Singapore<br />

Athanasios Bamis - Univ. of Connecticut, Storrs, CT<br />

Andreas Savvides - Yale Univ., New Haven, CT<br />

10.2 Implementing an FPGA System for Real-Time Intent<br />

Recognition for Prosthetic Legs (1:45pm)<br />

Xiaorong Zhang, He Huang, Qing Yang - Univ. of Rhode Island, Kingston, RI<br />

10.3 Statistical Design and Optimization for Adaptive<br />

Post-silicon Tuning of MEMS Filters (2:00pm)<br />

Fa Wang, Gokce Keskin, Andrew Phelps, Jonathan Rotner, Xin Li, Gary K.<br />

Fedder, Tamal Mukherjee, Larry Pileggi - Carnegie Mellon Univ., Pittsburgh, PA<br />

10.4 Generic Low-Cost Characterization of VTH and<br />

Mobility Variations in LTPS TFTs for Non-Uniformity<br />

Calibration of Active-Matrix OLED Displays (2:15pm)<br />

Reza Chaji, Javid Jaffari - IGNIS Innovations, Inc., Waterloo, ON, Canada<br />

11.2 Steady-State Dynamic Temperature Analysis and Reliability<br />

Optimization for Embedded Multiprocessor Systems (1:45pm)<br />

Ivan Ukhov, Min Bao, Petru Eles, Zebo Peng -<br />

Linköping Univ., Linköping, Sweden<br />

11.3 Considering Diagnosis Functionality during Automatic<br />

System-Level Design of Automotive Networks (2:00pm)<br />

Michael Glass, Michael Eberl, Jürgen Teich -<br />

Univ. of Erlangen-Nuremberg, Germany<br />

Ulrich Abelein - Audi AG, Ingolstadt, Germany<br />

11.4 Meta-Cure: A Reliability Enhancement Strategy for Metadata<br />

in NAND Flash Memory Storage Systems (2:15pm)<br />

Yi Wang, Zili Shao - The Hong Kong Polytechnic Univ., Hong Kong<br />

Luis Angel D. Bathen, Nikil Dutt - Univ. of California, Irvine, CA<br />

11.5 EDA for Secure and Dependable Cybercars:<br />

Challenges and Opportunities (2:30pm)<br />

Farinaz Koushanfar - Rice Univ., Houston, TX<br />

Ing. Ahmad-Reza Sadeghi, Hervé Seudié -<br />

Technische Univ. Darmstadt, Germany<br />

12.2 Observational Wear Leveling: An Efficient Algorithm<br />

for Flash Memory Management (1:45pm)<br />

Chundong Wang, Weng-Fai Wong - National Univ. of Singapore, Singapore<br />

12.3 Cache Revive: Architecting Volatile STT-RAM Caches<br />

for Enhanced Performance in CMPs (2:00pm)<br />

Adwait Jog, Cong Xu, Yuan Xie, Vijaykrishnan Narayanan,<br />

Chita R. Das - Pennsylvania State Univ., University Park, PA<br />

Asit K. Mishra, Ravishankar Iyer - Intel Corp., Hillsboro, OR<br />

12.4 Point and Discard: A Hard-Error-Tolerant Architecture<br />

for Non-Volatile Last Level Caches (2:15pm)<br />

Jue Wang, Xiangyu Dong, Yuan Xie -<br />

Pennsylvania State Univ., University Park, PA<br />

www.<strong>DAC</strong>.com Best Paper Nominees are Denoted in Orange<br />

Emerging Technologies<br />

Embedded Design Methodology and Case Studies<br />

Embedded Architecture & Platforms


TECHNICAL SESSIONS<br />

<strong>TUESDAY</strong>, JUNE 5<br />

13 PANEL: WILL YOUR NEXT ASIC EVER BE AN FPGA?<br />

4:00 - 6:00pm Room: 305<br />

Chair:<br />

Kevin Morris - EE Journal, Portland, OR<br />

Speakers:<br />

Brent Przybus - Xilinx, Inc., San Jose, CA<br />

Misha Burich - Altera Corp., San Jose, CA<br />

Bill Lynch - Huawei Technologies Co., Ltd., Santa Clara, CA<br />

Dave Ofelt - Juniper Networks, Inc., Sunnyvale, CA<br />

Jeanne Trinko Mechler - IBM Corp., Burlington, VT<br />

John Frediani - Advantest America, Inc., Cupertino, CA<br />

14<br />

As each technology node increases in cost, the economics for FPGAs become more<br />

compelling. FPGAs have gotten larger, faster, and cooler while still maintaining<br />

flexibility. Have these factors brought us to a tipping point? Alternatives in process<br />

node, shuttles, and 3-D IC offer choices in the cost, performance, and power<br />

tradeoffs. What products are on the precipice of a decision in favor of FPGAs?<br />

Witness our expert panelists define the tradeoff point between FPGAs and ASICs.<br />

SPECIAL SESSION: SELF-AWARE AND ADAPTIVE<br />

TECHNOLOGIES: THE FUTURE OF COMPUTING SYSTEMS?<br />

4:00 - 6:00pm<br />

Chair<br />

Xiaoyun Zhu - VMware, Inc., Palo Alto, CA<br />

This session will present contributions from industry and universities toward<br />

the realization of next-generation computing systems based on Self-Aware<br />

computing. Self-Aware computing is an emerging system design paradigm aimed<br />

at overcoming the exponentially increasing complexity of modern computing<br />

systems and improving performance, utilization, reliability, and programmability.<br />

In a departure from current systems which are based on design abstractions that<br />

have persisted since the 1960s which place significant burden on programmers<br />

and chip designers, Self-Aware systems mitigate complexity by observing their own<br />

runtime behavior, learning, and taking actions to optimize behaviors automatically.<br />

14.1 Self-Aware Computing in the Angstrom Processor<br />

Srini Devadas, Henry Hoffman, George Kurian, Eric Lau, Jason E. Miller,<br />

Sabrina M. Neuman, Mahmut Sinangil, Yildiz Sinangil, Anant Agarwal, Anantha<br />

P. Chandrakasan - Massachusetts Institute of Technology, Cambridge, MA<br />

Jim Holt - Massachusetts Institute of Technology,<br />

Freescale Semiconductor, Inc., Cambridge, MA<br />

Martina Maggio - Lund Univ., Lund, Sweden<br />

15<br />

Room: 304<br />

WHY MODEL? BECAUSE REALITY IS<br />

COMPLICATED ENOUGH!<br />

4:00 - 6:00pm<br />

Chair<br />

Ibrahim Elfadel - Masdar Institute of Science and Technology,<br />

Abu Dhabi, United Arab Emirates<br />

Models are an essential part of design. Good models capture the required<br />

details of the underlying physical system while abstracting away the unimportant<br />

behavior. They allow us to bridge between levels of representation, to account for<br />

new effects, and handle complexity, both in size as well as functionality, enabling<br />

reliable predictions of behavior and performance. The papers in this session<br />

address different aspects of the extremely important and challenging problem<br />

of developing compact and efficient models for current and future technologies<br />

ranging from thermal-mechanical stress in 3-D ICs, FINFETs, interconnect<br />

effects, circuit non-linearity, and delay sensitivity.<br />

15.1 Exploring Sub-20nm FinFET Design with<br />

Predictive Technology Models (4:00pm)<br />

Saurabh Sinha, Greg Yeric, Vikas Chandra, Brian Cline - ARM, Inc., Austin, TX<br />

Yu Cao - Arizona State Univ., Tempe, AZ<br />

General Interest<br />

Emerging Technologies<br />

14.2 The Case for Elastic Operating System Services in fos<br />

Lamia Youseff - Google, Inc., Fremont, WA<br />

Nathan Beckmann, Harshad Kasture, Charles Gruenwald,<br />

Anant Agarwal - Massachusetts Institute of Technology, Cambridge, MA<br />

David Wentzlaff - Princeton Univ., Princeton, NJ<br />

14.3 A Compiler and Runtime for Heterogeneous Computing<br />

Rodric Rabbah, Joshua Auerbach, David F. Bacon,<br />

Ioana Burcea, Perry Cheng, Stephen J. Fink, Sunil Shukla -<br />

IBM T.J. Watson Research Ctr., Yorktown Heights, NY<br />

14.4 The Helix Project: Overview and Directions<br />

Simone Campanoni, Glenn Holloway, Gu-Yeon Wei,<br />

David Brooks - Harvard Univ., Cambridge, MA<br />

Timothy Jones - Univ. of Cambridge, United Kingdom<br />

Room: 300<br />

Circuit and Interconnect Analysis<br />

15.2 Fast Nonlinear Model Order Reduction via Associated<br />

Transforms of High-Order Volterra Transfer Functions (4:15pm)<br />

Ngai Wong, Yang Zhang, Haotian Liu, Qing Wang,<br />

Neric Fong - The Univ. of Hong Kong, Hong Kong<br />

15.3 AMOR: An Efficient Aggregating Based Model Order Reduction<br />

Method for Many-Terminal Interconnect Circuits (4:30pm)<br />

Xuan Zeng, Yangfeng Su, Fan Yang - Fudan Univ., Shanghai, China<br />

15.4 BLAST: Efficient Computation of Nonlinear Delay Sensitivities<br />

in Electronic and Biological Networks using Barycentric<br />

Lagrange Enabled Transient Adjoint Analysis (4:45pm)<br />

Arie Meir, Jaijeet Roychowdhury - Univ. of California, Berkeley, CA<br />

15.5 DAE2FSM: Automatic Generation of Accurate Discrete-Time<br />

Logical Abstractions for Continuous-Time Circuit Dynamics (5:00pm)<br />

Karthik V. Aadithya, Jaijeet Roychowdhury - Univ. of California, Berkeley, CA<br />

15.6* Chip/Package Co-Analysis of Thermo-Mechanical<br />

Stress and Reliability in TSV-based 3-D ICs (5:15pm)<br />

Moongon Jung, Sung Kyu Lim - Georgia Institute of Technology, Atlanta, GA<br />

David Z. Pan - Univ. of Texas, Austin, TX<br />

Best Paper Nominees are Denoted in Orange www.<strong>DAC</strong>.com 15


TECHNICAL SESSIONS<br />

<strong>TUESDAY</strong>, JUNE 5<br />

16<br />

4:00 - 6:00pm<br />

16.1 Symbolic Model Checking on SystemC Designs (4:00pm)<br />

Chun-Nan Chou, Yen-Sheng Ho, Chiao Hsieh,<br />

Chung-Yang (Ric) Huang - National Taiwan Univ., Taipei, Taiwan<br />

17<br />

18<br />

16<br />

IS FORMAL VERIFICATION READY FOR<br />

THE SYSTEM LEVEL?<br />

Chair<br />

Erik Seligman - Intel Corp., Hillsboro, OR<br />

Formal verification has largely displaced simulation for Combinational Equivalence<br />

Checking. Formal technology for Sequential Equivalence Checking has made<br />

inroads for applications such as retiming and power optimization. This session<br />

explores the application of formal techniques at the system level. The papers<br />

cover topics such as verification of SystemC designs, formally relating abstract<br />

models to synthesized RTL, equivalence checking for behaviorally synthesized<br />

pipelines, and a novel application in the domain of network security. The session<br />

also features a perspective paper on the interplay of induction and deduction in<br />

formal verification.<br />

4:00 - 6:00pm<br />

NOCS NEXT TOP MODEL:<br />

FROM SYSTEM-LEVEL TO PROTOTYPE<br />

Chair<br />

Fabien Clermidy - CEA-LETI, Grenoble, France<br />

What do “Attackboards,” “Aging,” and “Wide-I/O” have in common? They represent<br />

some of the key new contributions highlighted in recent Network-on-Chip (NoC)<br />

research. This session is an exciting mix of innovative and more accurate methods<br />

for modeling NoC designs, new architectures for NoCs, and fabricated prototype<br />

chips at relevant technology nodes. All of these provide tremendous insights into<br />

future NoCs. This session also has a significant component of 3-D NoC design<br />

and prototyping.<br />

17.1 Cost-Efficient Buffer Sizing in Shared-Memory 3-D<br />

MPSoCs using Wide I/O Interfaces (4:00pm)<br />

Sahar Foroutan, Frédéric Pétrot - TIMA Laboratory,<br />

Grenoble Institute of Technology, Grenoble, France<br />

Abbas Sheibanyrad - TIMA Laboratory/CNRS, Grenoble, France<br />

17.2 Attackboard: A Novel Dependency-Aware Traffic<br />

Generator for Exploring NoC Design Space (4:15pm)<br />

Yoshi Shih-Chieh Huang, Yu-Chi Chang, Tsung-Chan Tsai, Yuan-Ying<br />

Chang, Chung-Ta King - National Tsing Hua Univ., Hsinchu, Taiwan<br />

4:00 - 6:00pm<br />

TIMING ANALYSIS AND SOFTWARE-CONTROLLED<br />

MEMORY: ARE WE SAFE?<br />

Chair<br />

Frank Slomka - Univ. of Ulm, Germany<br />

The need to consider precise timing behavior is one of the key requirements<br />

of embedded systems integrated into a physical environment. However, the<br />

generation of tight and safe timing guarantees is very difficult. A second difficulty<br />

in the design of embedded systems results from the need to use multiple,<br />

heterogeneous memories. The corresponding memory architectures require<br />

explicit software control. The papers in this session provide novel contributions in<br />

worst-case execution-time estimation and software memory management.<br />

18.1* WCET-Centric Partial Instruction Cache Locking (4:00pm)<br />

Huping Ding, Tulika Mitra - National Univ. of Singapore, Singapore<br />

Yun Liang - Advanced Digital Sciences Center, Singapore<br />

18.2 Worst-Case Execution Time Analysis for<br />

Parallel Run-Time Monitoring (4:15pm)<br />

Daniel Lo, G. Edward Suh - Cornell Univ., Ithaca, NY<br />

Room: 306<br />

Room: 308<br />

Room: 310<br />

Verification and Test<br />

16.2 System Verification of Concurrent RTL Modules by<br />

Compositional Path Predicate Abstraction (4:15pm)<br />

Joakim Urdahl, Dominik A. Stoffel, Markus Wedler,<br />

Wolfgang Kunz - Univ. of Kaiserslautern, Germany<br />

16.3 Equivalence Checking for Behaviorally Synthesized Pipelines (4:30pm)<br />

Kecheng Hao, Fei Xie - Portland State Univ., Portland, OR<br />

Sandip Ray - Univ. of Texas, Austin, TX<br />

16.4 Proving Correctness of Regular Expression Accelerators (4:45pm)<br />

Mitra Purandare, Kubilay Atasu, Christoph Hagleitner<br />

- IBM Research - Zurich, Switzerland<br />

16.5 Sciduction: Combining Induction, Deduction, and<br />

Structure for Verification and Synthesis (5:00pm)<br />

Sanjit A. Seshia - Univ. of California, Berkeley, CA<br />

System Level Design and Communication<br />

17.3 Towards Graceful Aging Degradation in NoCs<br />

Through an Adaptive Routing Algorithum (4:30pm)<br />

Koushik Chakraborty, Kshitij Bhardwaj,<br />

Sanghamitra Roy - Utah State Univ., Logan, UT<br />

17.4* Explicit Modeling of Control and Data for<br />

Improved NoC Router Estimation (4:45pm)<br />

Siddhartha Nath, Andrew B. Kahng, Bill Lin -<br />

Univ. of California at San Diego, La Jolla, CA<br />

17.5 Approaching the Theoretical Limits of a Mesh NoC<br />

with a 16-Node Chip Prototype in 45nm SOI (5:00pm)<br />

Sunghyun Park, Tushar Krishna, Chia-Hsin O. Chen,<br />

Bhavya Daya, Li-Shiuan Peh, Anantha P. Chandrakasan -<br />

Massachusetts Institute of Technology, Cambridge, MA<br />

17.6 High Radix Self-Arbitrating Switch Fabric with Multiple<br />

Arbitration Schemes and Quality of Service (5:15pm)<br />

Sudhir K. Satpathy, Reetuparna Das, Ronald Dreslinski, Trevor Mudge,<br />

Dennis Sylvester, David Blaauw - Univ. of Michigan, Ann Arbor, MI<br />

Embedded Software<br />

18.3 Conforming the Runtime Inputs for Hard Real-<br />

Time Embedded Systems (4:30pm)<br />

Kai Huang, Gang Chen, Christian Buckl - fortiss GmbH, Munich, Germany<br />

Alois Knoll - Technische Univ. München, Germany<br />

18.4 STM Concurrency Control for Embedded Real-<br />

Time Software with Tighter Time Bounds (4:45pm)<br />

Mohammed T. El-Shambakey, Binoy Ravindran -<br />

Virginia Polytechnic Institute and State Univ., Blacksburg, VA<br />

18.5 HaVOC: A Hybrid-Memory-Aware Virtualization Layer for On-<br />

Chip Distributed ScratchPad and Non-Volatile Memories (5:00pm)<br />

Luis Angel D. Bathen, Nikil Dutt - Univ. of California, Irvine, CA<br />

18.6 Age-Based PCM Wear Leveling with Nearly Zero Search Cost (5:15pm)<br />

Pi-Cheng Hsiu - Academia Sinica, Taipei, Taiwan<br />

Chi-Hao Chen, Chia-Lin Yang - National Taiwan Univ., Taipei, Taiwan<br />

Tei-Wei Kuo - National Taiwan Univ., Academia Sinica, Taipei, Taiwan<br />

Cheng-Yuan Michael Wang - Macronix International Co., Ltd., Hsinchu, Taiwan<br />

www.<strong>DAC</strong>.com Best Paper Nominees are Denoted in Orange. Perspective Papers are Denoted in Dark Gray.


TECHNICAL SESSIONS<br />

WEDNESDAY, JUNE 6<br />

19<br />

9:00 - 10:30am<br />

20<br />

SPECIAL SESSION: ROUTING-DRIVEN DESIGN CLOSURE<br />

9:00 - 10:30am Room: 304<br />

Chair<br />

Shankar Krishnamoorthy - Mentor Graphics Corp., San Jose, CA<br />

With each subsequent technology node, routing complexity increases exponentially<br />

to handle the explosion of design rules and routing constraints. Metal layer stacks<br />

are becoming increasingly complex, with varying degrees of wire widths and<br />

interconnect parasitics becoming the norm. This session discusses design closure<br />

from a routing-centric perspective from three angles: core routing technology,<br />

guiding physical design to create a friendlier hand-off to routing, and reducing<br />

routing complexity by changing the underlying methodology.<br />

21<br />

PANEL: HIGH-LEVEL SYNTHESIS PRODUCTION<br />

DEPLOYMENT: ARE WE READY?<br />

Chair<br />

Clem Meas - quickSTART Consulting, Boulder, CO<br />

Speakers:<br />

Eli Singerman - Intel Corp., Haifa, Israel<br />

Kazutoshi Wakabayashi - NEC Corp., Tokyo, Japan<br />

Mark Johnstone - Freescale Semiconductor, Inc., Austin, TX<br />

Mark Warren - Cadence Design Systems, Inc., San Jose, CA<br />

Vinod Kathail - Xilinx, Inc., San Jose, CA<br />

Andres Takach - Calypto Design Systems, Inc., Wilsonville, OR<br />

9:00 - 10:30am<br />

20.1 Algorithms and Data Structures for Fast and Good VLSI Routing<br />

Dirk Mueller, Michael Gester, Tim Nieberg, Christian Panten,<br />

Christian Schulte, Jens Vygen - Univ. of Bonn, Germany<br />

20.2 Guiding a Physical Design Closure System to Produce<br />

Easier-to-Route Designs with More Predictable Timing<br />

Zhuo Li, Gi-Joon Nam, Cliff Sze - IBM Research - Austin, TX<br />

Charles Alpert - IBM Corp., Cedar Park, TX<br />

Natarajan Viswanathan, Nancy Zhou -<br />

IBM Systems and Technology Group, Austin, TX<br />

20.3 Rule Agnostic Routing by Using Design Fabrics<br />

Gyuszi Suto - Intel Corp., Hillsboro, OR<br />

STORING, COMPUTING, AND STORING WHILE COMPUTING:<br />

THE NEW FACE OF NON-VOLATILITY IN SYSTEMS<br />

Chair<br />

Charles Augustine - Intel Corp., Hillsboro, OR<br />

As energy-efficient designs leverage non-volatility in logic and memory, serious<br />

challenges emerge in design and design automation. How can nanomagnets be<br />

efficiently used in logic? What new applications are driven using these disruptive<br />

technologies? This session is intended to provide insights into design philosophies<br />

using nanomagnetic and resistive technologies. The four papers encompass a<br />

wide spectrum of topics from energy optimization in spin-transfer-torque memories<br />

to efficient neural algorithms mapped onto RRAM arrays.<br />

21.1 Making Non-Volatile Nanomagnet Logic Non-Volatile (9:00am)<br />

Aaron Dingler, Steve J. Kurtz, Michael T. Niemier, Xiaobo Sharon Hu,<br />

Gyorgy Csaba, Joseph Nahas, Wolfgang Porod, Gary H. Bernstein,<br />

Peng Li, Vijay Karthik Sankar - Univ. of Notre Dame, Notre Dame, IN<br />

Room: 305<br />

Room: 300<br />

High-Level and Logic Synthesis<br />

High-level synthesis has historically over-promised and under-delivered, but that is<br />

all about to change. Or, is it? Are we ready to climb the ladder up to the next level<br />

of design abstraction? Watch our panelists debate whether today’s technology<br />

can handle system validation, IP integration and optimization, power/performance<br />

constraints, and design verification challenges. Find out if we are about to connect<br />

the world of embedded software development to hardware design.<br />

Physical Design<br />

Emerging Technologies<br />

21.2 mLogic: Ultra-Low Voltage Non-Volatile Logic<br />

Circuits Using STT-MTJ Devices (9:15am)<br />

Daniel Morris, David M. Bromberg, Jian-Gang (Jimmy) Zhu,<br />

Larry Pileggi - Carnegie Mellon Univ., Pittsburgh, PA<br />

21.3 Future Cache Design using STT MRAMs for Improved Energy<br />

Efficiency: Devices, Circuits, and Architecture (9:30am)<br />

Sang Phill Park, Sumeet K. Gupta, Niladri N. Mojumder,<br />

Anand Raghunathan, Kaushik Roy - Purdue Univ., West Lafayette, IN<br />

21.4 Hardware Realization of BSB Recall Function<br />

with Memristor Crossbar Arrays (9:45am)<br />

Miao Hu, Hai Li - Polytechnic Institute of New York Univ., Brooklyn, NY<br />

Qing Wu, Garrett S. Rose - Air Force Research Lab, Rome, NY<br />

Best Paper Nominees are Denoted in Orange www.<strong>DAC</strong>.com 17


TECHNICAL SESSIONS<br />

WEDNESDAY, JUNE 6<br />

22<br />

9:00 - 10:30am<br />

22.1 A Methodology for Energy-Quality Tradeoff<br />

Using Imprecise Hardware (9:00am)<br />

Jiawei Huang, John Lach, Gabriel Robins - Univ. of Virginia, Charlottesville, VA<br />

22.2 On the Exploitation of the Inherent Error Resilience of<br />

Wireless Systems under Unreliable Silicon (9:15am)<br />

Georgios Karakonstantis, Andreas Burg -<br />

Ecole Polytechnique Fédérale de Lausanne, Switzerland<br />

Christoph Roth, Christian Benkeser - Eidgenössische<br />

Technische Hochschule Zürich, Zürich, Switzerland<br />

23<br />

24<br />

18<br />

YOU CAN COUNT ON ME: WHY IT’S OK TO BE<br />

IMPRECISE OR UNRELIABLE<br />

Chair<br />

Qinru Qiu - Syracuse Univ., Syracuse, NY<br />

For some applications, it is sometimes worth giving up a limited amount of precision<br />

or reliability if that leads to significant power savings. Similarly, being able to operate<br />

“off the grid” means one needs to give up the certainty of traditional power sources<br />

to enable power harvesting opportunities. The papers in this session illustrate the<br />

trade-offs inherent in operating in extreme low-power regimes.<br />

9:00 - 10:30am<br />

OPTIMIZATION TO THE RESCUE OF ANALOG<br />

Chair<br />

Trent McConaghy - Solido Design Automation, Inc., Saskatoon, CA<br />

Today, analog circuit design is a daunting task even for seasoned designers,<br />

involving careful sizing of transistors, characterization of RF/microwave elements,<br />

and physical placement/routing with extra constraints, all under ever-worsening<br />

variabilities and uncertainties. The papers in this session demonstrate how<br />

optimization techniques can be leveraged to address these analog design<br />

challenges. Interestingly, the papers employ rather non-traditional approaches for<br />

analog, such as Gaussian process surrogate model from machine learning, robust<br />

optimization with non-fixed uncertainty budget, discrete grid-based optimization,<br />

and integer linear programming.<br />

9:00 - 10:30am<br />

XTERMINATING BUGS<br />

Chair<br />

Sharad Kumar - Freescale Semiconductor, Inc., Noida, India<br />

Post-silicon debug impacts production cost and test times, calling for innovative<br />

work in on-line error detection. The papers in this session cover issues related to<br />

optimizing testing times and data volumes, detecting difficult bugs, and performing<br />

concurrent error detection in AES cryptographic circuitry.<br />

24.1 X-Tracer: A Reconfigurable X-Tolerant Trace<br />

Compressor for Silicon Debug (9:00am)<br />

Feng Yuan, Xiao Liu, Qiang Xu -<br />

The Chinese Univ. of Hong Kong, Shatin, Hong Kong<br />

Room: 306<br />

Room: 308<br />

Room: 310<br />

22.3 Near-Optimal, Dynamic Module Reconfiguration in a<br />

Photovoltaic System to Combat Partial Shading Effects (9:30am)<br />

Xue Lin, Yanzhi Wang, Siyu Yue, Massoud Pedram -<br />

Univ. of Southern California, Los Angeles, CA<br />

Donghwa Shin, Naehyuck Chang - Seoul National<br />

Univ., Seoul, Republic of Korea<br />

22.4 Networked Architecture for Hybrid Electrical<br />

Energy Storage Systems (9:45am)<br />

Younghyun Kim, Sangyoung Park, Naehyuck Chang -<br />

Seoul National Univ., Seoul, Republic of Korea<br />

Yanzhi Wang, Qing Xie, Massoud Pedram -<br />

Univ. of Southern California, Los Angeles, CA<br />

23.1 A New Uncertainty Budgeting Based Method for<br />

Robust Analog/Mixed-Signal Design (9:00am)<br />

Janet Roveda - Univ. of Arizona, Tucson, AZ<br />

Jin Sun - Orora Design Technologies, Inc., Issaquah, WA<br />

Priyank Gupta - Cirrus Logic, Inc., Tucson, AZ<br />

23.2 Variability-Aware, Discrete Optimization for Analog Circuits (9:15am)<br />

Seobin Jung, Yunju Choi, Jaeha Kim -<br />

Seoul National Univ., Seoul, Republic of Korea<br />

23.3 Efficient Multi-Objective Synthesis for Microwave Components<br />

Based on Computational Intelligence Techniques (9:30am)<br />

Georges Gielen, Bo Liu, Hadi Aliakbarian, Soheil Radiom,<br />

Guy A. E. Vandenbosch - Katholieke Univ. Leuven, Belgium<br />

23.4 Non-Uniform Multilevel Analog Routing<br />

with Matching Constraints (9:45am)<br />

Hung-Chih Ou, Hsing-Chih Chang Chien,<br />

Yao-Wen Chang - National Taiwan Univ., Taipei, Taiwan<br />

24.2 Quick Detection of Difficult Bugs for Effective<br />

Post-Silicon Validation (9:15am)<br />

David H. Lin, Ted Hong, Farzan Fallah,<br />

Subhasish Mitra - Stanford Univ., Stanford, CA<br />

Nagib Hakim - Intel Corp., Santa Clara, CA<br />

24.3 Test Data Volume Optimization for Diagnosis (9:30am)<br />

Hongfei Wang, Osei Poku, Xiaochun Yu, Sizhe Liu,<br />

Ibrahima Komara, Shawn Blanton - Carnegie Mellon Univ., Pittsburgh, PA<br />

24.4 Invariance-Based Concurrent Error Detection for<br />

Advanced Encryption Standard (9:45am)<br />

Xiaofei Guo, Ramesh Karri -<br />

Polytechnic Institute of New York Univ., Brooklyn, NY<br />

www.<strong>DAC</strong>.com Best Paper Nominees are Denoted in Orange<br />

Low-Power Design and Power Analysis<br />

Analog/Mixed-Signal/RF Design<br />

Verification and Test


TECHNICAL SESSIONS<br />

WEDNESDAY, JUNE 6<br />

25<br />

1:30 - 3:00pm<br />

26<br />

1:30 - 3:00pm<br />

Chair<br />

Yiran Chen - Univ. of Pittsburgh, PA<br />

27<br />

SPECIAL SESSION: BRAIN-INSPIRED AUTONOMOUS<br />

COMPUTING AND MODELING<br />

A human brain weighs ~1.5kg, and is made up of 100 billion neurons connected<br />

via an incredibly dense and complex neuron network. The network contains 4km<br />

of wire in every cubic millimeter, offering >100-million MIPS computing capability<br />

and


TECHNICAL SESSIONS<br />

WEDNESDAY, JUNE 6<br />

28<br />

1:30 - 3:00pm<br />

29<br />

The need for ever-shorter turnaround design times calls for advancements in<br />

all aspects of system-level design, including formal modeling, optimization and<br />

synthesis. Memory hierarchy design and optimization is a key determinant of<br />

system-level performance and power consumption. This session presents a novel<br />

model of computation (MoC), synthesis and mapping approaches, as well as an<br />

innovative micro-architecture for system-level memory hierarchy design. The last<br />

presentation, a perspective, is a reality check for our EDA community: are we<br />

on track for embedded system and software development to effectively serve our<br />

society?<br />

29.1 Static Dataflow with Access Patterns:<br />

Semantics and Analysis (1:30pm)<br />

Arkadeb Ghosal, Rhishikesh S. Limaye, Kaushik Ravindran,<br />

Ankita Prasad, Guoqiang Wang, Trung N. Tran, Hugo A.<br />

Andrade - National Instruments Corp., Berkeley, CA<br />

Stavros Tripakis - Univ. of California, Berkeley, CA<br />

30<br />

20<br />

STAYING COOL:<br />

MODELING THERMAL EFFECTS IN 3-D AND MULTICORE<br />

Chair<br />

Dhireesha Kudithipudi - Rochester Institute of Technology, Rochester, NY<br />

Power consumption and high junction temperatures are major challenges<br />

towards improving the performance of future computing devices. To address<br />

these challenges, new effective techniques are needed for design-time modeling<br />

and for runtime management. This session proposes new design techniques,<br />

such as thermal-sensor allocation and power-modeling validation. For runtime<br />

management, new techniques are proposed to improve the spatial thermal<br />

estimation from sensor measurements and for maximizing the performance of 3-D<br />

processors under thermal and power constraints.<br />

28.1 PowerField: A Transient Temperature-to-Power Technique<br />

based on Markov Random Field Theory (1:30pm)<br />

Seungwook Paek, Wongyu Shin, Jaehyeong Sim, Lee-<br />

Sup Kim - KAIST, Daejeon, Republic of Korea<br />

Seok-Hwan Moon - Electronics and Telecommunications<br />

Research Institute, Daejeon, Republic of Korea<br />

1:30 - 3:00pm<br />

SOS: SPECIFICATION, OPTIMIZATION, AND SYNTHESIS<br />

IN SYSTEM-LEVEL DESIGN<br />

Chair<br />

Brett Meyer - McGill Univ., Montréal, QC, Canada<br />

1:30 - 3:00pm<br />

FUTURE OF IC RELIABILITY<br />

Chair<br />

Alesandro Pinto - United Technologies Research Center, Berkeley, CA<br />

Reliable computation of embedded devices mandates hardware to be trustworthy<br />

and protected from various issues: counterfeit and confidentiality attacks,<br />

performance failures, and manufacturing defects. The session includes current<br />

innovation techniques that address the above-mentioned issues. The papers<br />

in the session cover various advancements made using formal and statistical<br />

analysis: fingerprinting ICs, dependable computation using ultra-risc processors,<br />

obfuscating data for computation in un-trusted cloud, and performance verification.<br />

30.1 A Hybrid Approach to Cyber-Physical Systems Verification (1:30pm)<br />

Pratyush Kumar, Kai Lampka, Lothar Thiele - Eidgenössische<br />

Technische Hochschule Zürich, Zürich, Switzerland<br />

Dip Goswami, Samarjit Chakraborty - Technische Univ. München, Germany<br />

Anuradha Annaswamy - Massachusetts Institute of Technology, Boston, MA<br />

Room: 306<br />

Room: 308<br />

Room: 310<br />

Low-Power Design and Power Analysis<br />

28.2 EigenMaps: Algorithms for Optimal Thermal Maps Extraction<br />

and Sensor Placement on Multicore Processors (1:45pm)<br />

Juri Ranieri, Alessandro Vincenzi, Amina Chebira, David Atienza, Martin<br />

Vetterli - Ecole Polytechnique Fédérale de Lausanne, Switzerland<br />

28.3 An Information-Theoretic Framework for Optimal Temperature<br />

Sensor Allocation and Full-Chip Thermal Monitoring (2:00pm)<br />

Xin Li, Huapeng Zhou, Shi-Chune Yao - Carnegie Mellon Univ., Pittsburgh, PA<br />

Chen-Yong Cher, Eren Kursun, Haifeng Qian - IBM T.J.<br />

Watson Research Ctr., Yorktown Heights, NY<br />

28.4 Optimizing Energy Efficiency of 3-D Multicore Systems with<br />

Stacked DRAM under Power and Thermal Constraints (2:15pm)<br />

Jie Meng, Katsutoshi Kawakami, Ayse K. Coskun - Boston Univ., Boston, MA<br />

System Level Design and Communication<br />

29.2 Executing Synchronous Dataflow Graphs on a<br />

SPM-Based Multicore Architecture (1:45pm)<br />

Junchul Choi, Soonhoi Ha - Seoul National Univ., Seoul, Republic of Korea<br />

Hyunok Oh - Hanyang Univ., Seoul, Republic of Korea<br />

Sungchan Kim - Chonbuk National Univ., Jeonju, Republic of Korea<br />

29.3 System-Level Synthesis of Memory Architecture for<br />

Stream Processing Sub-Systems of a MPSoC (2:00pm)<br />

Glenn Leary, Weijia Che, Karam S. Chatha - Arizona State Univ., Tempe, AZ<br />

29.4 Courteous Cache Sharing: Being Nice to<br />

Others in Capacity Management (2:15pm)<br />

Akbar Sharifi, Shekhar Srikantaiah, Mahmut Kandemir, Mary<br />

Jane Irwin - Pennsylvania State Univ., University Park, PA<br />

29.5 Embedded Systems - The Neural Backbone of Society (2:30pm)<br />

Rolf Ernst - Technische Univ. Braunschweig, Germany<br />

Embedded System Validation and Verification<br />

30.2 Reliable Computing with Ultra-Reduced<br />

Instruction Set Co-Processors (1:45pm)<br />

Hiren D. Patel, Aravindkumar Rajendiran, Sundaram<br />

Ananthanarayanan, Mahesh V. Tripunitara, Siddharth<br />

Garg - Univ. of Waterloo, Waterloo, ON, Canada<br />

30.3 Identification of Recovered ICs using Fingerprints<br />

from a Light-Weight On-Chip Sensor (2:00pm)<br />

Xuehui Zhang, Nicholas Tuzzio, Mohammad<br />

Tehranipoor - Univ. of Connecticut, Storrs, CT<br />

30.4 Confidentiality Preserving Integer Programming<br />

for Global Routing (2:15pm)<br />

Azadeh Davoodi, Hamid Shojaei, Parameswaran<br />

Ramanathan - Univ. of Wisconsin, Madison, WI<br />

www.<strong>DAC</strong>.com Best Paper Nominees are Denoted in Orange. Perspective Papers are Denoted in Dark Gray.


TECHNICAL SESSIONS<br />

WEDNESDAY, JUNE 6<br />

31<br />

4:00 - 6:00pm<br />

32<br />

4:00 - 6:00pm<br />

33<br />

SPECIAL SESSION: BREAKING OUT OF EDA: HOW TO<br />

APPLY EDA TECHNIQUES TO BROADER APPLICATIONS<br />

Chair<br />

Jason Cong - Univ. of California, Los Angeles, CA<br />

Throughout its history, myriads of innovations in EDA (Electronic Design<br />

Automation) have enabled high performance semiconductor products with leading<br />

edge technology. Lately we have observed several research activities where EDA<br />

innovations have been applied to broader applications with complex nature and<br />

the large scale of data sets. The session provides some tangible results of these<br />

multi-disciplinary works where non-traditional EDA problems directly benefit from<br />

the innovation of EDA research. The examples of non-EDA applications vary from<br />

bio-medical applications to smart water to human computing.<br />

4:00 - 6:00pm<br />

PANEL: HOT APPS, COOL PHONES:<br />

POWER-EFFICIENT MOBILE DESIGN<br />

Chair<br />

Ed Sperling - Low Power Engineering, San Jose, CA<br />

Speakers:<br />

Jan Rabaey - Univ. of California, Berkeley, CA<br />

Emily Shriver - Intel Corp., Hillsboro, OR<br />

Alan Gibbons - Synopsys, Inc., Mountain View, CA<br />

Narendra Konda - NVIDIA Corp., Santa Clara, CA<br />

Barry Pangrle - Mentor Graphics Corp., Fremont, CA<br />

David Greenhill - Texas Instruments, Inc., Dallas, TX<br />

THE RIGHT PLACEMENT AT THE RIGHT TIMING<br />

Chair<br />

Saurabh Adya - Magma Design Automation, Inc., Sunnyvale, CA<br />

Great chips need great placements; without this, little else matters. The papers in<br />

this session push the state of the art forward, leveraging stacked TSVs to improve<br />

cooling, and better numerical techniques for analytic placement. Underlying<br />

structure and regularity is deciphered, giving new ways to tame large designs.<br />

The session concludes with new benchmarks and metrics for placement, and the<br />

results of the 2012 <strong>DAC</strong> placement contest, where teams from around the world<br />

compete head-to-head for the title of Best Placer Ever.<br />

33.1 Exploiting Die-to-Die Thermal Coupling in 3-D IC Placement (4:00pm)<br />

Krit Athikulwongse, Mohit Pathak, Sung Kyu Lim -<br />

Georgia Institute of Technology, Atlanta, GA<br />

33.2 ComPLx: A Competitive Primal-Dual Lagrange<br />

Optimization for Global Placement (4:15pm)<br />

Myung-Chul Kim, Igor L. Markov - Univ. of Michigan, Ann Arbor, MI<br />

Room: 305 Low-Power Design and Power Analysis<br />

Room: 304<br />

Room: 300<br />

Recently, we have focused on techniques for low-power hardware design. But it is<br />

not enough. With the advent of app-driven mobile devices, battery life is paramount.<br />

We must now consider the impact of software on power consumption, and the EDA<br />

industry must look to providing environments that enable modeling, measuring and<br />

optimizing the impact of hardware and software interaction on power consumption<br />

at the system level. Our panelists explore the technical challenges and potential<br />

solutions for designing and verifying these complex power efficient systems.<br />

Bio Design Automation<br />

32.1 Design Tools for Artificial Nervous Systems<br />

Lou Scheffer - Howard Hughes Medical Institute, Chevy Chase, MD<br />

32.2 Dynamic River Network Simulation at Large Scale<br />

Frank Liu - IBM Research - Austin, TX<br />

Ben R. Hodges - Univ. of Texas, Austin, TX<br />

32.3 Humans for EDA and EDA for Humans<br />

Valeria Bertacco - Univ. of Michigan, Ann Arbor, MI<br />

32.4 Application of Logic Synthesis to the<br />

Understanding and Cure of Genetic Diseases<br />

Sunil P. Khatri, Pey-Chang Kent Lin - Texas A&M Univ., College Station, TX<br />

Physical Design<br />

33.3 PADE: A High-Performance Placer with Automatic Datapath Extraction<br />

and Evaluation through High-Dimensional Data Learning (4:30pm)<br />

Samuel Ward, Duo Ding, David Z. Pan - Univ. of Texas, Austin, TX<br />

33.4 Structure-Aware Placement for Datapath<br />

Intensive Circuit Designs (4:45pm)<br />

Sheng Chou, Meng-Kai Hsu, Yao-Wen Chang -<br />

National Taiwan Univ., Taipei, Taiwan<br />

33.5 GLARE: Global and Local Wiring Aware Routability Evaluation (5:00pm)<br />

Yaoguang Wei, Sachin S. Sapatnekar - Univ. of Minnesota, Minneapolis, MN<br />

Cliff Sze, Zhuo Li, Charles J. Alpert - IBM Research - Austin, TX<br />

Natarajan Viswanathan, Lakshmi Reddy, Andrew D. Huber, Gustavo E. Tellez,<br />

Douglas Keller - IBM Systems and Technology Group, Hopewell Jct., NY<br />

33.6 The <strong>DAC</strong> 2012 Routability-Driven Placement<br />

Contest and Benchmark Suite (5:15pm)<br />

Natarajan Viswanathan, Charles J. Alpert, Cliff Sze,<br />

Zhuo Li, Yaoguang Wei - IBM Corp., Austin, TX<br />

Best Paper Nominees are Denoted in Orange www.<strong>DAC</strong>.com 21


TECHNICAL SESSIONS<br />

WEDNESDAY, JUNE 6<br />

34<br />

4:00 - 6:00pm<br />

35<br />

22<br />

GLOBAL VIEWS OF SYNTHESIS:<br />

BROADENING THE SCOPE<br />

Chair<br />

Herman Schmit - Altera Corp., Santa Clara, CA<br />

Researchers are starting to investigate exciting avenues linking traditional synthesis<br />

to the global design environment. We present a selection of papers exploring<br />

several new directions. Static logic analysis can be used to simultaneously achieve<br />

both portable and efficient module interfaces, while logic synthesis is redirected to<br />

support approximate computing, and a high-level analysis presents new insights<br />

into the scalability of multi-function designs. For the early stages of the design<br />

flow, we present a method for RTL-based NBTI aging prediction, while for later in<br />

the flow we offer a new approach to post-mapping optimization and a new form of<br />

timing ECO optimization.<br />

34.1 Removing Overhead from High-Level Interfaces (4:00pm)<br />

Kyle Kelley, Megan Wachs, John P. Stevenson,<br />

Stephen Richardson, Mark Horowitz - Stanford Univ., Stanford, CA<br />

34.2 On the Asymptotic Costs of Multiplexer-<br />

Based Reconfigurability (4:15pm)<br />

Johnathan A. York, Derek Chiou - Univ. of Texas, Austin, TX<br />

4:00 - 6:00pm<br />

ADAPTIVE COMPUTING: WHEN, WHERE, WHY, HOW?<br />

Chair<br />

Philip Brisk - Univ. of California, Riverside, CA<br />

Embedded systems are increasingly expected to support a dynamic and diverse<br />

application landscape under tight power-performance constraints, thus mandating<br />

runtime adaptivity. The need for adaptivity is multifarious: seamless hiding of<br />

variation induced errors, trading accuracy versus energy through approximate<br />

arithmetic, trading power versus performance via hybrid on-chip network,<br />

offloading computation to a rich set of accelerators for higher efficiency, satisfying<br />

QoS through adaptive resource management. This session addresses adaptivity<br />

at different layers of an embedded computing system starting from the silicon all<br />

the way to the system-level.<br />

35.1 Accuracy-Configurable Adder for Approximate<br />

Arithmetic Designs (4:00pm)<br />

Seokhyeong Kang, Andrew B. Kahng -<br />

Univ. of California at San Diego, La Jolla, CA<br />

35.2* Recovery-Based Design for Variation-Tolerant SoCs (4:15pm)<br />

Vivek J. Kozhikkottu, Anand Raghunathan - Purdue Univ., West Lafayette, IN<br />

Sujit Dey - Univ. of California at San Diego, La Jolla, CA<br />

Room: 306<br />

Room: 308<br />

34.3 SALSA: Systematic Logic Synthesis of Approximate Circuits (4:30pm)<br />

Swagath Venkataramani, Amit Sabne, Vivek Kozhikkottu,<br />

Kaushik Roy, Anand Raghunathan - Purdue Univ., West Lafayette, IN<br />

34.4 Timing ECO Optimization Using Metal-<br />

Configurable Gate-Array Spare Cells (4:45pm)<br />

Iris Hui-Ru Jiang - National Chiao Tung Univ., Hsinchu, Taiwan,<br />

Hua-Yu Chang, Yao-Wen Chang - National Taiwan Univ., Taipei, Taiwan<br />

34.5 Early Prediction of NBTI Effects Using RTL<br />

Source Code Analysis (5:00pm)<br />

Jayanand Asok Kumar, Heesoo Kim, Shobha Vasudevan<br />

- Univ. of Illinois at Urbana-Champaign, Urbana, IL<br />

Kenneth M. Butler - Texas Instruments, Inc., Dallas, TX<br />

34.6 Generalized SAT-Sweeping for Post-Mapping Optimization (5:15pm)<br />

Tobias Welp - Univ. of California, Berkeley, CA<br />

Smita Krishnaswamy - Columbia Univ., New York, NY<br />

Andreas Kuehlmann - Coverity, Inc., San Francisco, CA<br />

35.3 A Hybrid NoC Design for Cache Coherence<br />

Optimization for Chip Multiprocessors (4:30pm)<br />

Hui Zhao, Ohyoung Jang, Wei Ding, Mahmut Kandemir,<br />

Mary Jane Irwin - Pennsylvania State Univ., University Park, PA<br />

Yuanrui Zhang - Pennsylvania State Univ., University Park, PA<br />

35.4 Architecture Support for Accelerator-Rich CMPs (4:45pm)<br />

Mohammad Ali Ghodrat, Jason Cong, Michael Gill, Beayna<br />

Grigorian, Glenn Reinman - Univ. of California, Los Angeles, CA<br />

35.5 A QoS-Aware Memory Controller for Dynamically Balancing<br />

GPU and CPU Bandwidth Use in an MPSoC (5:00pm)<br />

Min Kyu Jeong, Mattan Erez - Univ. of Texas, Austin, TX<br />

Chander Sudanthi, Nigel Paver - ARM, Inc., Austin, TX<br />

35.6 Metronome: Operating System-Level Performance<br />

Management via Self-Adaptive Computing (5:15pm)<br />

Filippo Sironi, Davide Basilio Bartolini, Fabio Cancaré, Donatella<br />

Sciuto, Marco D. Santambrogio - Politecnico di Milano, Milano, Italy<br />

Simone Campanoni - Harvard Univ., Cambridge, MA<br />

Henry Hoffmann - Massachusetts Institute of Technology, Cambridge, MA<br />

www.<strong>DAC</strong>.com Best Paper Nominees are Denoted in Orange<br />

High-Level and Logic Synthesis<br />

Embedded Architecture & Platforms


TECHNICAL SESSIONS<br />

WEDNESDAY, JUNE 6<br />

36<br />

4:00 - 6:00pm<br />

Chair<br />

Yiran Chen - Univ. of Pittsburgh, PA<br />

YIN AND YANG OF MEMORIES:<br />

THE POWER-PERFORMANCE TRADE-OFF<br />

Emerging memory technologies, along with their conventional counterparts (SRAM<br />

and DRAM,) present a plethora of options to design future memory hierarchies. A<br />

critical question to address is how to pick the right technologies and where to<br />

place them in the storage hierarchy. Answering this question demands a thorough<br />

exploration of power-performance tradeoffs. The papers in this session address<br />

different aspects of this problem, and explore a variety of solutions ranging from<br />

DRAM/PRAM based heterogeneous memory design, to multi-level STTRAMs, to<br />

power/performance tradeoffs in video memories. The session not only highlights<br />

efforts on these fronts and reveals interesting power-performance tradeoffs, but<br />

also highlights possible future directions.<br />

36.1 Adaptive Power Management of On-Chip Video<br />

Memory for Multiview Video Coding (4:00pm)<br />

Muhammad Shafique, Bruno Zatt, Joerg Henkel -<br />

Karlsruhe Institute of Technology, Karlsruhe, Germany<br />

Fábio Leandro Walter, Sergio Bampi -<br />

Univ. Federal do Rio Grande do Sul, Porto Alegre, Brazil<br />

36.2 Heterogeneous Multi-Channel: Fine-Grained DRAM Control<br />

for Both System Performance and Power Efficiency (4:15pm)<br />

Guangfei Zhang, Xinke Chen, Peng Li -<br />

Institute of Computing Tech., Beijing, China<br />

Huandong Wang - Loongson Technology Corp., Ltd., Beijing, China<br />

Shuai Huang - Loongson Technology Corp., Ltd., Beijing, China<br />

Room: 310<br />

Embedded Architecture & Platforms<br />

36.3 Joint Management of RAM and Flash Memory<br />

with Access Pattern Considerations (4:30pm)<br />

Po-Chun Huang - National Taiwan Univ., Taipei, Taiwan<br />

Yuan-Hao Chang - Academia Sinica, Taipei, Taiwan<br />

Tei-Wei Kuo - National Taiwan Univ., Academia Sinica, Taipei, Taiwan<br />

36.4 Hybrid DRAM/PRAM-Based Main Memory<br />

for Single-Chip CPU/GPU (4:45pm)<br />

Dongki Kim, Sungkwang Lee, Sungjoo Yoo, Sunggu Lee -<br />

Pohang Univ. of Science and Technology, Pohang, Republic of Korea<br />

Jaewoong Chung, Dong Hyuk Woo - Intel Corp., Santa Clara, CA<br />

DaeHyun Kim - Magma Design Automation, Inc., San Jose, CA<br />

36.5 Write Performance Improvement by Hiding R Drift<br />

Latency in Phase-Change RAM (5:00pm)<br />

Youngsik Kim, Sungjoo Yoo, Sunggu Lee -<br />

Pohang Univ. of Science and Technology, Pohang, Republic of Korea<br />

36.6 Constructing Large and Fast Multi-Level Cell STT-MRAM<br />

Based Cache for Embedded Processors (5:15pm)<br />

Lei Jiang, Bo Zhao, Youtao Zhang, Jun Yang - Univ. of Pittsburgh, PA<br />

Best Paper Nominees are Denoted in Orange www.<strong>DAC</strong>.com 23


TECHNICAL SESSIONS<br />

THURSDAY, JUNE 7<br />

37<br />

9:00 - 10:30am<br />

38<br />

39 SIMULATION-BASED VERIFICATION:<br />

NEW WAYS TO HARNESS THE WORKHORSE<br />

9:00 - 10:30am Room: 300 Verification and Test<br />

Chair<br />

Kerstin Eder - Univ. of Bristol, United Kingdom<br />

As the complexity of designs grows and time-to-market shrinks, new (and<br />

old) verification problems arise. Simulation-based verification continues to be the<br />

main workhorse for dealing with these problems. This session introduces novel<br />

applications of simulation-based and hybrid techniques to address many of these<br />

problems including: improving simulation accuracy by eliminating extraneous don’t<br />

cares in 3-valued simulation, applying SAT solvers to assist in bug localization,<br />

extracting functionality from simulation runs, and adding checking capabilities to<br />

emulation and acceleration platforms.<br />

39.1 Improving Gate-Level Simulation Accuracy<br />

when Unknowns Exist (9:00am)<br />

Kai-hui Chang, Chris Browy - Avery Design Systems, Inc., Andover, MA<br />

24<br />

PANEL: IS 3-D READY FOR THE NEXT LEVEL?<br />

Chair<br />

Sachin Sapatnekar - Univ. of Minnesota, Minneapolis, MN<br />

Speakers:<br />

Subramanian S. Iyer - IBM Corp., Fishkill, NY<br />

Shekhar Borkar - Intel Corp., Hillsboro, OR<br />

A.J. Incorvaia - Cadence Design Systems, Inc., Chelmsford, MA<br />

Liam Madden - Xilinx, Inc., San Jose, CA<br />

Suk Lee - Taiwan Semiconductor Manufacturing Co., Ltd., San Jose, CA<br />

9:00 - 10:30am<br />

SPECIAL SESSION:<br />

PROBABILISTIC EMBEDDED COMPUTING<br />

Chair<br />

Vincent Mooney - Georgia Institute of Technology, Atlanta, GA<br />

Probabilistic Embedded Computing (PEC) provides dramatic, low-cost<br />

performance boosts at the expense of guaranteed correctness and may even<br />

help overcome fundamental barriers that can otherwise not be resolved. Giving<br />

up guaranteed correctness opens up a vast challenge and solution space on all<br />

levels of embedded computing. The session presents the state of the art in PECrelated<br />

work involving hardware, hardware-software interfaces, and software.<br />

38.1 Incorrect Systems: It’s not the Problem, It’s the Solution<br />

Christoph Kirsch, Hannes Payer - Univ. of Salzburg, Austria<br />

Room: 305<br />

Room: 304<br />

General Interest<br />

Early promises of 3-D IC integration - memory bandwidth and power (wide-IO<br />

memory stacks in consumer products), or yield and cost (FPGA die integrated<br />

with a silicon interposer) - have now been realized in volume production. What<br />

have the design and supply chains learned from the experience of enabling these<br />

applications? What will be the next killer applications for 3-D, how will these be<br />

enabled across the semiconductor industry, and what key technologies must the<br />

EDA industry contribute? Come hear the experts discuss how to “take 3-D to the<br />

next level.”<br />

Embedded Design Methodology and Case Studies<br />

38.2 On Software Design for Stochastic Processors<br />

Rakesh Kumar, Joseph Sloan, John Sartori -<br />

Univ. of Illinois at Urbana-Champaign, Urbana, IL<br />

38.3 What to Do About the End of Moore’s Law, Probably!<br />

Krishna Palem - Nanyang Technological Univ., Rice Univ., Singapore<br />

Avinash Lingamneni - Rice Univ., Houston, TX<br />

38.4 Obtaining and Reasoning About Good Enough Software<br />

Martin Rinard - Massachusetts Institute of Technology, Boston, MA<br />

39.2 Automated Feature Localization for Hardware<br />

Designs Using Coverage Metrics (9:15am)<br />

Jan Malburg, Alexander Finder - Univ. of Bremen, Germany<br />

Goerschwin Fey - German Aerospace Center, Bremen, Germany<br />

39.3 Path Directed Abstraction and Refinement in<br />

SAT-Based Design Debugging (9:30am)<br />

Brian Keng, Andreas Veneris - Univ. of Toronto, Toronto, ON, Canada<br />

39.4 Checking Architectural Outputs Instruction-by-<br />

Instruction on Acceleration Platforms (9:45am)<br />

Debapriya Chatterjee, Valeria Bertacco - Univ. of Michigan, Ann Arbor, MI<br />

Anatoly Koyfman, Ronny Morad, Avi Ziv - IBM Haifa Research Lab., Haifa, Israel<br />

www.<strong>DAC</strong>.com Best Paper Nominees are Denoted in Orange


TECHNICAL SESSIONS<br />

THURSDAY, JUNE 7<br />

40<br />

9:00 - 10:30am<br />

41<br />

ULTRA-LOW POWER USING SUBTHRESHOLD AND<br />

NEARTHRESHOLD OPERATION<br />

Chair<br />

Mahadev Nemani - Intel Corp., Hillsboro, OR<br />

Ultra-low power operation can be achieved by aggressively scaling the supply<br />

voltage to values near or below the threshold voltage, but there are interesting<br />

trade-offs that show up in this regime. Designers need to revisit methodologies like in<br />

the first two papers in this session (circuit sizing and decoupling cap placement), or<br />

have to deal with the increased impact of process variations, as in the last two papers<br />

in the session (completion detection and trade-offs for SIMD architectures).<br />

40.1 Standard Cell Sizing for Subthreshold Operation (9:00am)<br />

Bo Liu, Jose Pineda de Gyvez - Technische Univ. Eindhoven, The Netherlands<br />

Maryam Ashouei, Jos Huisken - Holst Centre, Eindhoven, The Netherlands<br />

9:00 - 10:30am<br />

TOP PICKS OF RUN-TIME POWER<br />

MANAGEMENT TECHNIQUES<br />

Chair(s):<br />

Jian-Jia Chen - Karlsruhe Institute of Technology, Karlsruhe, Germany<br />

Run-time adaptivity is facing increasing interest in application-specific embedded<br />

systems. Run-time monitoring and management of system resources are<br />

necessary to meet system-level requirements. This session explores advanced<br />

strategies for power and temperature management at run-time in several<br />

application scenarios.<br />

41.1 Run-Time Power-Down Strategies for Real-<br />

Time SDRAM Memory Controllers (9:00am)<br />

Karthik Chandrasekar - Delft Univ. of Technology, Delft, The Netherlands<br />

Benny Akesson, Kees Goossens - Technische<br />

Univ. Eindhoven, The Netherlands<br />

42<br />

9:00 - 10:30am<br />

Room: 306<br />

Room: 308<br />

THE DARK SIDE OF TEST<br />

Chair<br />

Shreyas Sen - Intel Corp., Portland, OR<br />

Here are some things you don’t know: how are we going to test TSVs and how<br />

good are your analog and DRAM tests? This session presents innovative methods<br />

for dealing with TSV defects in 3-D ICs, testing DRAM memory, and verifying<br />

analog tests.<br />

42.1 Alternate Hammering Test for Application-Specific<br />

DRAMs and an Industrial Case Study (9:00am)<br />

Rei-Fu Huang - MediaTek, Inc., Hsinchu, Taiwan<br />

Hao-Yu Yang, Mango C.-T. Chao - National Chiao Tung Univ., Hsinchu, Taiwan<br />

Shih-Chin Lin - United Microelectronics Corp., Hsinchu, Taiwan<br />

Room: 310<br />

Low-Power Design and Power Analysis<br />

40.2 Decoupling Capacitor Design Strategy for Minimizing<br />

Supply Noise of Ultra-Low Voltage Circuits (9:15am)<br />

Mingoo Seok - Columbia Univ., New York, NY<br />

40.3 Regaining Throughput Using Completion Detection<br />

for Error-Resilient, Near-Threshold Logic (9:30am)<br />

Joseph Crop, Robert Pawlowski, Patrick Y. Chiang<br />

- Oregon State Univ., Corvallis, OR<br />

40.4 Process Variation in Near-Threshold Wide SIMD Architectures (9:45am)<br />

Sangwon Seo, Ronald Dreslinski, Mark Woh, Yongjun Park, Scott Mahlke,<br />

David Blaauw, Trevor Mudge - Univ. of Michigan, Ann Arbor, MI<br />

Chaitali Chakrabarti - Arizona State Univ., Tempe, AZ<br />

Embedded Design Methodology and Case Studies<br />

41.2 Embedding Statistical Tests for On-Chip Dynamic<br />

Voltage and Temperature Monitoring (9:15am)<br />

Lionel Vincent, Suzanne Lesecq, Edith Beigne -<br />

CEA-LETI Minatec, Grenoble, France<br />

Maurine Philippe - Univ. Montpellier 2, France<br />

41.3 Quality-Retaining OLED Dynamic Voltage Scaling for Video<br />

Streaming Applications on Mobile Devices (9:30am)<br />

Xiang Chen, Jian Zeng, Yiran Chen - Univ. of Pittsburgh, PA<br />

Mengying Zhao, Chun Jason Xue -<br />

City Univ. of Hong Kong, Kowloon, Hong Kong<br />

41.4 Traffic-Aware Power Optimization for Network<br />

Applications on Multicore Servers (9:45am)<br />

Jilong Kuang, Laxmi Bhuyan, Raymond Klefstad<br />

- Univ. of California, Riverside, CA<br />

Verification and Test<br />

42.2 Goal-Oriented Stimulus Generation for Analog Circuits (9:15am)<br />

Seyed Nematollah Ahmadyan, Jayanand Asok Kumar,<br />

Shobha Vasudevan - Univ. of Illinois at Urbana-Champaign, Urbana, IL<br />

42.3 TSV Open Defects in 3-D Integrated Circuits: Characterization,<br />

Test, and Optimal Spare Allocation (9:30am)<br />

Fangming Ye, Krishnendu Chakrabarty - Duke Univ., Durham, NC<br />

42.4 Small Delay Testing for TSVs in 3-D ICs (9:45am)<br />

Shi-Yu Huang, Yu-Hsiang Lin - National Tsing Hua Univ., HsinChu, Taiwan<br />

Kun-Han Tsai, Wu-Tung Cheng, Stephen Sunter -<br />

Mentor Graphics Corp., Kanata, ON, Canada<br />

Yung-Fa Chou, Ding-Ming Kwai -<br />

Industrial Technology Research Institute, Hsinchu, Taiwan<br />

Best Paper Nominees are Denoted in Orange www.<strong>DAC</strong>.com 25


TECHNICAL SESSIONS<br />

THURSDAY, JUNE 7<br />

43<br />

1:30 - 3:00pm<br />

44<br />

45 SURVIVING TIMING CHALLENGES IN<br />

NANOMETER DESIGNS<br />

1:30 - 3:00pm Room: 300 Circuit and Interconnect Analysis<br />

Chair<br />

Florentin Dartu - Synopsys, Inc., Hillsboro, OR<br />

Technology scaling and escalation of design complexity has made assurance<br />

of timing performance under various process and environmental conditions an<br />

increasing challenge. Advances in design-time timing analysis and verification<br />

and in run-time timing failure detection are vital avenues for developing current<br />

and future nanometer designs. This session highlights recent advancements in<br />

functional and static timing analysis where novel techniques are developed to<br />

account for statistical and deterministic sources of timing variations and their<br />

interactions in an efficient and incremental manner. The session also recognizes<br />

research geared towards leveraging microarchitectural-level simulation and<br />

gate-level logic analysis for prediction and tolerance of timing violations in high<br />

performance processors.<br />

45.1 Functional Timing Analysis Made Fast and General (1:30pm)<br />

Yi-Ting Chung, Jie-Hong Roland Jiang - National Taiwan Univ., Taipei, Taiwan<br />

26<br />

PANEL: IT’S THE SOFTWARE, STUPID! TRUTH OR MYTH?<br />

Chair<br />

Chris Edwards - Tech Design Forum, London, United Kingdom<br />

Speakers:<br />

Serge Leef - Mentor Graphics Corp., Wilsonville, OR<br />

Chris Rowen - Tensilica, Inc., Santa Clara, CA<br />

Debashis Bhattacharya - FutureWei Technologies, Inc., Plano, TX<br />

Kathryn S. McKinley - Microsoft Research, Univ. of Texas, Austin, TX<br />

Eli Savransky - NVIDIA Corp., Santa Clara, CA<br />

1:30 - 3:00pm<br />

SPECIAL SESSION: DESIGN CHALLENGES AND EDA<br />

SOLUTIONS FOR WIRELESS SENSOR NETWORKS<br />

Chair<br />

Roman Hermida - Complutense Univ., Madrid, Spain<br />

The objective of this session is to present a complete overview of the state-of-theart<br />

technologies and key research challenges for the design and optimization of<br />

wireless sensor networks (WSN). Thus, it will specifically cover ultra-low-power<br />

(ULP) computing architectures and circuits, system-level design methods, power<br />

management, and energy-scavenging mechanisms for WSN. A key aspect of<br />

this special session is the interdisciplinary nature of the discussed challenges<br />

in WSN conception, which go from basic hardware components to software<br />

conception, which requires an active engagement of both academic and industrial<br />

professionals in the EDA field, computer and electrical engineering, computer<br />

science, and telecommunication engineering.<br />

44.1 Circuit and System Design Guidelines for Ultra-Low Power Processing<br />

Dennis Sylvester, Yoonmyung Lee, Yejoong Kim,<br />

Dongmin Yoon, David Blaauw - Univ. of Michigan, Ann Arbor, MI<br />

Room: 305<br />

Room: 304<br />

45.2 Timing Analysis with Nonseparable Statistical<br />

and Deterministic Variations (1:45pm)<br />

Vladimir Zolotov, Jinjun Xiong -<br />

IBM T.J. Watson Research Ctr., Yorktown Heights, NY<br />

Debjit Sinha, Chandu Visweswariah, Jeffrey G. Hemmett,<br />

Jeremy Leitzen, Natesan Venkateswaran -<br />

IBM Systems and Technology Group, Hopewell Junction, NY<br />

Eric Foreman - IBM Corp., Essex Junction, VT<br />

45.3 Reversible Statistical Max/Min Operation:<br />

Concept and Applications to Timing (2:00pm)<br />

Vladimir Zolotov, Jinjun Xiong -<br />

IBM T.J. Watson Research Ctr., Yorktown Heights, NY<br />

Debjit Sinha, Chandu Visweswariah -<br />

IBM Systems and Technology Group, Hopewell Junction, NY<br />

Natesan Venkateswaran -<br />

IBM Systems and Technology Group, Hopewell Junction, NY<br />

45.4 Predicting Timing Violations Through Instruction-<br />

Level Path Sensitization Analysis (2:15pm)<br />

Sanghamitra Roy, Koushik Chakraborty - Utah State Univ., Logan, UT<br />

www.<strong>DAC</strong>.com Best Paper Nominees are Denoted in Orange<br />

Embedded Software<br />

It’s tough to differentiate products with hardware. Everyone uses the same<br />

processors, third party IP and foundries; now it’s all about software. But, is this<br />

true? Since user response, power consumption and support of standards rely<br />

on hardware, one camp claims software is only as good as the hardware it sits<br />

on. Opponents argue that software differentiates mediocre products from great<br />

ones. A third view says only exceptional design of both hardware and software<br />

creates great products - and the tradeoffs make great designers. Watch industry<br />

experts debate whether it’s really all about software.<br />

System Level Design and Communication<br />

44.2 Design Exploration of Energy-Performance<br />

Trade-Offs for Wireless Sensor Networks<br />

Vincenzo Rana, Ivan Beretta, David Atienza -<br />

Ecole Polytechnique Fédérale de Lausanne, Switzerland<br />

Francisco Rincon - Univ. Complutense Madrid, Spain<br />

Nadia Khaled - Nestlé Research Center, Lausanne, Switzerland<br />

Paolo R. Grassi - Politecnico di Milano, Italy<br />

44.3 Energy Harvesting and Power Management<br />

for Autonomous Sensor Nodes<br />

Edith Beigné, Jean-Frederic Christmann, Cyril Condemine,<br />

Jerome Willemin - CEA-LETI, Grenoble, France<br />

Christian Piguet - Centre Suisse d’Electronique et<br />

Microtechnique SA, Neuchatel, Switzerland


TECHNICAL SESSIONS<br />

THURSDAY, JUNE 7<br />

46<br />

1:30 - 3:00pm<br />

47<br />

48<br />

1:30 - 3:00pm<br />

SPECIAL DELIVERY: CHALLENGES IN PACKAGING<br />

Chair<br />

Tan Yan - Synopsys, Inc., Mountain View, CA<br />

Delivering the next generation technologies requires addressing PCB design,<br />

3-D methodology, and most importantly, packaging. The first three papers in this<br />

session explore the collaborative design between the chip, package and board, as<br />

well as IP re-use in 3-D ICs. These methodologies deliver the promise of footprint<br />

scaling at the packaging level. Pin access can however limit the scaling at the chip<br />

level. The last paper in the session overcomes that limitation.<br />

46.1 A Chip-Package-Board Co-Design Methodology (1:30pm)<br />

Hsu-Chieh Lee, Yao-Wen Chang - National Taiwan Univ., Taipei, Taiwan<br />

1:30 - 3:00pm<br />

RENOVATE ANALOG AND MIXED-SIGNAL<br />

CIRCUIT SIMULATIONS<br />

Chair<br />

Chenjie Gu - Intel Corp., Hillsboro, OR<br />

This session presents several advanced analysis methodologies for analog and<br />

mixed-signal circuits. The first topic is fast Monte Carlo analysis for parametric<br />

yield estimation. Two papers in this area aim to accurately estimate the failure rate<br />

with a minimum number of transistor-level simulation runs. The second topic is fast<br />

circuit simulation. This goal is pursued through two different avenues: novel preconditioning<br />

for iterative solvers and parallel simulation based on GPUs.<br />

47.1 Yield Estimation via Multi-Cones (1:30pm)<br />

Rouwaida Kanj - American Univ. of Beirut, New York, NY<br />

Rajiv Joshi - IBM T.J. Watson Research Ctr., Yorktown Heights, NY<br />

Zhuo Li, Sani Nassif - IBM Research - Austin, TX<br />

Jerry Hayes - IBM Research - Austin, TX<br />

SPECIAL SESSION: HETEROGENOUS PLATFORMS:<br />

CHALLENGES AND OPPORTUNITIES<br />

Chair<br />

Norbert Wehn - Univ. of Kaiserslautern, Germany<br />

Designing heterogeneous multicore architectures provides many challenges at the<br />

hardware and software levels. This session will present three different solutions to<br />

some of these problems, in which the aim of power-efficiency is one of the driving<br />

factors, while approaching different application areas.<br />

48.1 Is Dark Silicon Useful? Harnessing the Four<br />

Horsemen of the Coming Dark Silicon Apocalypse<br />

Michael B. Taylor - Univ. of California at San Diego, La Jolla, CA<br />

Room: 306<br />

Room: 308<br />

Room: 310<br />

Physical Design<br />

46.2 Obstacle-Avoiding Free-Assignment Routing<br />

for Flip-Chip Designs (1:45pm)<br />

Po-Wei Lee, Hsu-Chieh Lee, Yao-Wen Chang,<br />

Yuan-Kai Ho - National Taiwan Univ., Taipei, Taiwan<br />

Chen-Feng Chang, I-Jye Lin, Chin-Fang Shen - Synopsys, Inc., Taipei, Taiwan<br />

46.3 Clock Tree Synthesis with Methodology of Re-Use in 3-D IC (2:00pm)<br />

Fu-Wei Chen, TingTing Hwang - National Tsing Hua Univ., Hsinchu, Taiwan<br />

46.4 Can Pin Access Limit the Footprint Scaling? (2:15pm)<br />

Xiang Qiu, Malgorzata Marek-Sadowska -<br />

Univ. of California, Santa Barbara, CA<br />

Analog/Mixed-Signal/RF Design<br />

47.2 Efficient Trimmed-Sample Monte Carlo Methodology and<br />

Yield-Aware Design Flow for Analog Circuits (1:45pm)<br />

Chin-Cheng Kuo, Yi-Hung Chen, Jui-Feng Kuan, Yi-Kan Cheng -<br />

Taiwan Semiconductor Manufacturing Co., Ltd., HsinChu, Taiwan<br />

Wei-Yi Hu - Taiwan Semiconductor Manufacturing Co., Ltd.,<br />

National Taiwan Univ., Hsinchu, Taiwan<br />

47.3 Towards Efficient SPICE-Accurate Nonlinear Circuit Simulation<br />

with On-the-Fly Support-Circuit Preconditioners (2:00pm)<br />

Xueqian Zhao, Zhuo Feng - Michigan Technological Univ., Houghton, MI<br />

47.4 Sparse LU Factorization for Parallel Circuit<br />

Simulation on GPU (2:15pm)<br />

Ling Ren, Xiaoming Chen, Yu Wang, Chenxi Zhang,<br />

Huazhong Yang - Tsinghua Univ., Beijing, China<br />

Embedded Architecture & Platforms<br />

48.2 Platform 2012 - A Many-Core Computing Accelerator for Embedded<br />

SoCs: Performance Evaluation of Visual Analytics Applications<br />

Diego Melpignano, Eric Flamand, Bruno Jego, Thierry Lepley,<br />

Germain Haugou - STMicroelectronics, Grenoble, France<br />

Luca Benini - Univ. di Bologna, STMicrolectronics, Bologna, Italy<br />

Fabien Clermidy, Denis Dutoit - STMicroelectronics,<br />

CEA-LETI, Grenoble, France<br />

48.3 Big.LITTLE System Architecture from ARM: Saving Power through<br />

Heterogeneous Multiprocessing and Task Context Migration<br />

Brian Jeff - ARM, Ltd., Austin, TX<br />

Best Paper Nominees are Denoted in Orange www.<strong>DAC</strong>.com 27


TECHNICAL SESSIONS<br />

THURSDAY, JUNE 7<br />

49<br />

3:30 - 5:30pm<br />

50<br />

51 YIELDING IN AN UNCERTAIN WORLD<br />

3:30 - 5:30pm Room: 300 Design for Manufacturability<br />

Chair<br />

Rob Aitken - ARM, Inc., San Jose, CA<br />

As Moore’s Law marches into the sub-22nm regime, designers are surrounded<br />

by many uncertainties, such as lithography choices, new device architectures,<br />

and 3-D integration. These challenges have to be addressed by collective design<br />

and process integration techniques at multiple abstraction levels. EDA can play a<br />

pivotal role in bridging these disciplines. To improve yield in the uncertain world,<br />

this session covers new advancements in pattern recognition, triple patterning,<br />

EUV, novel memory, and 3-D architecture.<br />

51.1 Accurate Process-Hotspot Detection Using<br />

Critical Design Rule Extraction (3:30pm)<br />

Yen-Ting Yu, Iris Hui-Ru Jiang - National Chiao Tung Univ., Hsinchu, Taiwan<br />

Ya-Chung Chan - Mstar Semiconductor, Chupei, Taiwan<br />

Subarna Sinha - Stanford Univ., Stanford, CA<br />

Charles Chiang - Synopsys, Inc., Mountain View, CA<br />

51.2 Improved Tangent Space-Based Distance Metric for<br />

Accurate Lithographic Hotspot Classification (3:45pm)<br />

Jing Guo, Fan Yang, Xuan Zeng - Fudan Univ., Shanghai, China<br />

Subarna Sinha - Stanford Univ., Stanford, CA<br />

Charles Chiang - Synopsys, Inc., Mountain View, CA<br />

28<br />

PANEL: PARALLELIZATION AND SOFTWARE<br />

DEVELOPMENT: HOPE, HYPE, OR HORROR?<br />

Chair<br />

Igor Markov - Univ. of Michigan, Ann Arbor, MI<br />

Speakers:<br />

Anirudh Devgan - Magma Design Automation, Inc., Austin, TX<br />

Kunle Olukotun - Stanford Univ., Stanford, CA<br />

Daniel Beece - IBM Research, Yorktown Heights, NY<br />

Joao Geada - CLK Design Automation, Inc., Littleton, MA<br />

Alan J. Hu - Univ. of British Columbia, Vancouver, BC, Canada<br />

With the fear that the death of scaling is imminent, hope is widespread that<br />

parallelism will save us. Many EDA applications are described as “embarrassingly<br />

parallel,” and parallel approaches have certainly been effectively applied in many<br />

3:30 - 5:30pm<br />

SPECIAL SESSION: HOT CHIPS RUNNING COOL -<br />

ENERGY EFFICIENT NEAR-THRESHOLD<br />

COMPUTING AND ITS BARRIERS<br />

Chair<br />

David Brooks - Harvard Univ., Cambridge, MA<br />

Transistor threshold voltages have stagnated in recent technologies, deviating<br />

from constant-voltage scaling theory and limiting voltage scalability. Consequently,<br />

power and energy no longer improve as expected and the semiconductor<br />

industry now faces a power wall. To overcome this barrier, energy-efficiency must<br />

radically improve by lowering supply voltage and operating “near threshold,”<br />

where appreciable energy gains are achieved with modest performance losses.<br />

Near-threshold computing (NTC) poses new circuit and architectural challenges,<br />

including performance loss and increased variability. This special session quantifies<br />

NTC benefits and limitations, offers opinions on its suitability to commercial ICs,<br />

and suggests techniques to overcome associated challenges.<br />

50.1 Assessing the Performance Limits of<br />

Parallelized Near-Threshold Computing<br />

David Blaauw, Nathaniel Pinckney, Kory Sewell, Ronald G. Dreslinski,<br />

David Fick, Dennis Sylvester, Trevor Mudge - Univ. of Michigan, Ann Arbor, MI<br />

Room: 305<br />

General Interest<br />

areas. Before the panel begins, come hear perspective on software development<br />

and the challenges associated with writing good software that are only exacerbated<br />

by the growing need to write robust, testable, and efficient parallel applications.<br />

Then watch the panelists debate future productive directions and dead ends to<br />

developing and deploying parallel algorithms. Find out if claims to super speedups<br />

are exaggerated and if the investment in parallel algorithms is worth the high<br />

development cost.<br />

Room: 304<br />

49.1 PhD or MD - Who is Better Trained for Building<br />

Successful Software Development Tools? (3:30pm)<br />

Andreas Kuehlmann - Coverity, Inc., San Francisco, CA<br />

Low-Power Design and Power Analysis<br />

50.2 Near-Threshold Voltage (NTV) Design - Opportunities and Challenges<br />

Shekhar Borkar, Himanshu Kaul, Mark Anders, Steven Hsu,<br />

Amit Agarwal, Ram Krishnamurthy - Intel Corp., Hillsboro, OR<br />

50.3 Near-Threshold Operation for Power Efficient Computing? It Depends...<br />

Leland Chang, Wilfried Haensch -<br />

IBM T.J. Watson Research Ctr., Yorktown Heights, NY<br />

50.4 Not so Fast my Friend: Is Near-Threshold Computing<br />

the Answer for Power Reduction of Wireless Devices?<br />

Matt Severson - Qualcomm, Inc., Austin, TX<br />

Kendrick Yuen, Yang Du - Qualcomm, Inc., San Diego, CA<br />

51.3 Simultaneous Flare Level and Flare Variation<br />

Minimization with Dummification in EUVL (4:00pm)<br />

Shao-Yun Fang, Yao-Wen Chang - National Taiwan Univ., Taipei, Taiwan<br />

51.4 A Novel Layout Decomposition Algorithm for<br />

Triple Patterning Lithography (4:15pm)<br />

Shao-Yun Fang, Yao-Wen Chang, Wei-Yu Chen<br />

- National Taiwan Univ., Taipei, Taiwan<br />

51.5 PS3-RAM: A Fast, Portable, and Scalable Statistical<br />

STT-RAM Reliability Analysis Method (4:30pm)<br />

Wujie Wen, YaoJun Zhang, Yiran Chen - Univ. of Pittsburgh, PA<br />

Yu Wang - Tsinghua Univ., Beijing, China<br />

Yuan Xie - Pennsylvania State Univ., University Park, PA<br />

51.6 Exploiting Narrow-Width Values for Process<br />

Variation-Tolerant 3-D Microprocessors (4:45pm)<br />

Joonho Kong, Sung Woo Chung - Korea Univ., Seoul, Republic of Korea<br />

www.<strong>DAC</strong>.com Best Paper Nominees are Denoted in Orange. Perspective Papers are Denoted in Dark Gray.


TECHNICAL SESSIONS<br />

THURSDAY, JUNE 7<br />

52<br />

HIGH-LEVEL SYNTHESIS IS NOT JUST<br />

ABOUT TRANSLATION!<br />

3:30 - 5:30pm Room: 306 High-Level and Logic Synthesis<br />

Chair<br />

Satnam Singh - Google, Inc., Mountain View, CA<br />

High-level synthesis aims to improve the end result of hardware generation by<br />

allowing exploration of architecture and algorithm, rather than logic structure<br />

and connectivity. HLS is not just about translation: the interesting problems aim<br />

to convert designer intent into efficient and correct hardware realization. The<br />

papers in this session cover issues related to languages to express and generate<br />

hardware, synthesis of software constructs such as recursion, hardware checkpointing<br />

for resiliency, optimizing memory access, synthesizing layout-friendly<br />

hardware, and design-space exploration of sorting networks.<br />

52.1 Hardware Synthesis of Recursive Functions<br />

through Partial Stream Rewriting (3:30pm)<br />

Lars Middendorf, Christian Haubelt - Univ. of Rostock,<br />

Rostock-Warnemuende, Germany<br />

Christophe Bobda - Univ. of Arkansas, Fayetteville, AR<br />

53<br />

WILD AND CRAZY IDEAS<br />

3:30 - 5:30pm<br />

Chair<br />

Farinaz Koushanfar - Rice Univ., Houston, TX<br />

It cannot get any crazier! Your friends on Facebook verify your designs. Your<br />

sister is eavesdropping on your specification. Do not take “no” for implication. Build<br />

satisfying circuits with noise. Let spin-based synapses make your head spin. Use<br />

parasitics to build 3-D brains.<br />

53.1 CrowdMine: Towards Crowdsourced Human-<br />

Assisted Verification (3:30pm)<br />

Wenchao Li, Sanjit A. Seshia - Univ. of California, Berkeley, CA<br />

Somesh Jha - Univ. of Wisconsin, Madison, WI<br />

53.2 Extracting Design Information from Natural<br />

Language Specifications (3:45pm)<br />

Ian G. Harris - Univ. of California, Irvine, CA<br />

54.1 Communication-Aware Mapping of KPN Applications<br />

onto Heterogeneous MPSoCs (3:30pm)<br />

Jeronimo Castrillon, Andreas Tretter, Rainer Leupers,<br />

Gerd Ascheid - RWTH Aachen Univ., Aachen, Germany<br />

54.2 Unrolling and Retiming of Stream Applications<br />

onto Embedded Multicore Processors (3:45pm)<br />

Weijia Che, Karam S. Chatha - Arizona State Univ., Tempe, AZ<br />

52.2 Chisel: Constructing Hardware in a Scala<br />

Embedded Language (3:45pm)<br />

Jonathan Bachrach, Huy Vo, Brian Richards, Yunsup Lee,<br />

Andrew Waterman, Rimas Avizienis, John Wawrzynek,<br />

Krste Asanovic - Univ. of California, Berkeley, CA<br />

52.3 Specification and Synthesis of Hardware<br />

Checkpointing and Rollback Mechanisms (4:00pm)<br />

Carven Chan, Daniel Schwartz-Narbonne, Divjyot Sethi,<br />

Sharad Malik - Princeton Univ., Princeton, NJ<br />

52.4 Optimizing Memory Hierarchy Allocation with Loop<br />

Transformations for High-Level Synthesis (4:15pm)<br />

Peng Zhang, Jason Cong, Yi Zou - Univ. of California, Los Angeles, CA<br />

52.5 A Metric for Layout-Friendly Microarchitecture<br />

Optimization in High-Level Synthesis (4:30pm)<br />

Bin Liu, Jason Cong - Univ. of California, Los Angeles, CA<br />

52.6 Computer Generation of Streaming Sorting Networks (4:45pm)<br />

Marcela Zuluaga, Markus Püschel -<br />

Eidgenössische Technische Hochschule, Zürich,Switzerland<br />

Peter Milder - Carnegie Mellon Univ., Pittsburgh, PA<br />

Room: 308<br />

WACI<br />

53.3 Material Implication in CMOS: A New Kind of Logic (4:00pm)<br />

Elkim Roa, Wu-Hsin Chen, Byunghoo Jung - Purdue Univ., West Lafayette, IN<br />

53.4 Boolean Satisfiability Using Noise-Based Logic (4:15pm)<br />

Sunil Khatri, Pey-Chang Kent Lin, Ayan Mandal -<br />

Texas A&M Univ., College Station, TX<br />

53.5 Cognitive Computing with Spin-Based Neural Networks (4:30pm)<br />

Charles Augustine - Intel Corp., Hillsboro, OR<br />

Mrigank Sharad, Georgios Panagopoulos,<br />

Kaushik Roy - Purdue Univ., West Lafayette, IN<br />

53.6 Capacitance of TSVs in 3-D Stacked Chips a Problem?<br />

Not for Neuromorphic Systems! (4:45pm)<br />

Rodolphe Héliot, Antoine Joubert, Bilel Belhadj -<br />

CEA-LETI Minatec, Grenoble, France<br />

Marc Duranton - CEA-LIST, Gif-sur-Yvette Cedex, France<br />

Olivier Temam - INRIA, Saclay, France<br />

54 OPTIMIZING EMBEDDED SOFTWARE FOR<br />

HIGH PERFORMANCE AND RELIABILITY<br />

3:30 - 5:30pm Room: 310<br />

Embedded Software<br />

Chair<br />

54.3 Exploiting Spatiotemporal and Device Contexts for<br />

Rodric Rabbah - IBM Research, Hawthorne, NY<br />

Energy-Efficient Mobile Embedded Systems (4:00pm)<br />

The need to consider multiple objectives is a characteristics of embedded systems.<br />

Average performance, precise timing, energy consumption, thermal behavior, and<br />

reliability are among objectives to consider. The multitude of objectives is a key<br />

challenge in embedded system design. The papers in this session push forward<br />

the state of the art in performance- and reliability-aware embedded software<br />

Brad K. Donohoo, Chris Ohlsen, Sudeep Pasricha,<br />

Charles W. Anderson - Colorado State Univ., Fort Collins, CO<br />

54.4 EPIMap: Using Epimorphism to Map Applications on CGRAs (4:15pm)<br />

Mahdi Hamzeh, Aviral Shrivastava,<br />

Sarma Vrudhula - Arizona State Univ., Tempe, AZ<br />

design, with an emphasis on code generation.<br />

54.5 Instruction Scheduling for Reliability-Aware Compilation (4:30pm)<br />

Semeen Rehman, Muhammad Shafique,<br />

Joerg Henkel - Karlsruhe Institute of Technology, Karlsruhe, Germany<br />

54.6 Compiling for Energy Efficiency on Timing<br />

Speculative Processors (4:45pm)<br />

John Sartori, Rakesh Kumar -<br />

Univ. of Illinois at Urbana-Champaign, Urbana, IL<br />

Best Paper Nominees are Denoted in Orange www.<strong>DAC</strong>.com 29


USER TRACK<br />

<strong>TUESDAY</strong>, JUNE 5<br />

1<br />

30<br />

www.<strong>DAC</strong>.com<br />

Sponsored by:<br />

SOFTWARE AND FIRMWARE ENGINEERING FOR<br />

COMPLEX SOCS<br />

10:00 - 11:30am<br />

Chair:<br />

Pat Brouillette - Roku, Inc., Scottsdale, AZ<br />

Early software development is crucial for today’s complex SoCs, where the overall<br />

software effort typically eclipses the hardware effort. Further, delays in software<br />

directly impact the time to market of the end product. The presentations in this<br />

session explore how to architect ASIPs for wireless applications, how to bridge RTL<br />

and firmware development, and approaches in pre-silicon software development.<br />

2<br />

12:30 - 1:30pm<br />

Room: 106 - Exhibit Floor<br />

USER TRACK POSTER SESSION<br />

Front-End Silicon Design Topics / Back-End Silicon Design Topics<br />

Room: 105 - Exhibit Floor<br />

2.1 An RTL Developers Guide to the HLS Galaxy<br />

Stefen Boyd - TLM Systems, Morgan Hill, CA<br />

Sergio Ramirez - Cadence Design Systems, Inc., Austin, TX<br />

2.2 Maximizing the Reuse of UVM Components by<br />

Leveraging UVM Configuration DB Mechanism<br />

Manikandan S, Sunil Kumar, Ashish Kumar - LSI Corp., Bangalore, India<br />

2.3 Practical Application of Model Checking<br />

– A Taxonomy of Methodologies<br />

Michael G. Bartley, Anthony McIsaac -<br />

Test and Verification Solutions, United Kingdom<br />

Laurent Arditi, Bryan Dickman, Daryl Stewart -<br />

ARM, Inc., Cambridge, United Kingdom<br />

Lawrence Loh - Jasper Design Automation, Inc., Mountain View, CA<br />

2.4 A Subsystem Design Methodology Using High Level Synthesis<br />

Gagan Midha - STMicroelectronics, Greater Noida, India<br />

Nitin Chawla, Bryan Bowyer - Calypto Design Systems, Inc., Wilsonville, OR<br />

2.5 Logic Power Reduction in Data Path Oriented<br />

Designs by Re-Structuring Standard Cells<br />

Vinay S. Adavani - Infinera Corp., Bangalore, India<br />

2.6 SoC Power Budgeting and Optimization Using RTL-<br />

Spreadsheet Power Estimation of ASICs<br />

Udupi Harisharan, Jaga Shanmugavadivelu -<br />

Cisco Systems, Inc., San jose, CA<br />

Narayana Koduri - Atrenta, Inc., San jose, CA<br />

2.7 Billion Gate Semiconductor Design and Simulation and<br />

the Next Phase of the Cloud Computing Evolution<br />

James Colgan - Xuropa, Inc., San Francisco, CA<br />

Naresh K. Sehgal, Mrittika Ganguli - Intel Corp., Bangalore, India<br />

2.8 Effortless, Quick and Accurate Data Entry of IPXACT Based<br />

SoC Connectivity Information Using Exce-Based VBA Macros<br />

Saurin Patel, Silvia Costantini,<br />

Sparsh Arun - STMicroelectronics, Greater Noida, India<br />

2.9 Development of a Unified Platform for Accelerated<br />

SoC Verification and Validation<br />

Maruthy Vedam, Suman Kasam - Qualcomm, Inc., San Diego, CA<br />

Hemant K. Sharma, Anoop Saha, Hans van der Schoot<br />

- Mentor Graphics Corp., Ottawa, ON, Canada<br />

2.10 UVM Based Configurable Testbench for Verifying a Configurable IP<br />

Pusuluri V. Giri Kumar, Pramodh M. Kumar - Synopsys, Inc., Bangalore, India<br />

2.11 An Efficient Design Approach of Control Logic with the Use<br />

of High Level Synthesis for a Video Signal Conversion FPGA<br />

Ryo Yamamoto - Mitsubishi Electric Corp., Kamakura, Japan<br />

User Track - Embedded Systems and Software<br />

1.1 Finding the Right mix of Parallelism in a Coarse-<br />

Grained Array Baseband Processor (10:00am)<br />

Tom Vander Aa, Praveen Raghavan, Raf Appeltans, Martin Palkovic,<br />

Min Li, Antoine Dejonghe, Liesbet Van der Perre - IMEC, Leuven, Belgium<br />

1.2 Two for the Price of One - An Affordable Solution That Bridges<br />

the gap Between Firmware and RTL Implementations (10:15am)<br />

Alicia Strang, Robert C. Carden IV, Pei Suen -<br />

Marvell Semiconductor, Inc., Aliso Viejo, CA<br />

1.3 Enabling SoC Products with Pre-Si SW Development (10:30am)<br />

Ken Knowlson - Intel Corp., Hillsboro, OR<br />

User Track<br />

2.12 RTL Restructuring with Atrenta GenSys<br />

Cyril Vartanian, Olivier Florent - STMicroelectronics, Grenoble, France<br />

2.13 Framework and Automation for Effective<br />

Adoption of a Third Party Verification IP<br />

Abhisek Verma, Amit Sharma, Varun S - Synopsys, Inc., Bangalore, India<br />

Bhavik Vyas - Reliance Consulting, Sunnyvale, CA<br />

2.14 Extending UVM Methodology for Verifying Mixed-Signal Components<br />

Abhisek Verma, Fabian Delguste, Adiel Khan -<br />

Synopsys, Inc., Mountain View, CA<br />

2.15 Exploring AES Design Variants with C-to-<br />

Gates for FPGA at Gb/s Line Rates<br />

Kees Vissers, Fernando Martinez Vallina,<br />

Stephen Neuendorffer - Xilinx, Inc., San Jose, CA<br />

Kristof Denolf, Ronny Dewaele - Barco, Kortrijk, Belgium<br />

2.16 Real Value Modeling Enables Metric Driven<br />

Verification of Mixed Signal Design<br />

Kishore Karnane, Walter Hartong -<br />

Cadence Design Systems, Inc., Feldkirchen, Germany<br />

Thomas Fuchs, Ronald Nerlich - Texas Instruments, Inc., Freising, Germany<br />

2.17 Verification of Massive Advanced Node SoCs<br />

Daeseo Cha - Samsung, Youngin, Republic of Korea<br />

Adam Sherer - Cadence Design Systems, Inc., Chelmsford, MA<br />

2.18 Challenges and Recommendations for<br />

Modeling Complex Verification IP<br />

Imran Ali, Anuradha I. Tambad, Subashini Rajan,<br />

Shivani Upasani, Prashanth Srinivasa - LSI Corp., Bangalore, India<br />

2.19 Electrostatic Discharge Analysis on a Fullchip SoC Design<br />

Nitin S. Navale, Warren Anderson -<br />

Advanced Micro Devices, Inc., Boxborough, MA<br />

2.20 Advanced ESD Tool Flow, Testing, and Design Verification Results<br />

Mujahid Muhammad, Nicholas Palmer, Souvick Mitra, James Montstream,<br />

Robert Gauthier - IBM Systems and Technology Group, Essex Junction, VT<br />

2.21 Using Fast, High-Capacity, Attofarad-Accurate 3-D Extraction for<br />

Successful Design of High-Performance IPs in Advanced CMOS Nodes<br />

Atul Bhargava, Chittoor Parthasarthy, Srisurya Konduri<br />

- STMicroelectronics, Greater Noida, India<br />

Kiran Joseph, Claudia Relyea - Mentor Graphics Corp., Wilsonville, OR<br />

2.22 CirCAD: Technique of Intelligent Custom Design<br />

Sanjeev KR, Sumit Goswami - Intel Corp., Bangalore, India<br />

2.23 Practical Optimization Method for Multi-Corner<br />

Multi-Mode Timing Closure in 32 nm<br />

Xiaoyue Wang - STMicroelectronics, Ottawa, ON, Canada


USER TRACK<br />

<strong>TUESDAY</strong>, JUNE 5<br />

2.24 eClock: Easy Clock Generation via<br />

All-Digital Phase-Locked Loop Compiler<br />

Shi-Yu Huang, Chao-Wen Tzeng - National Tsing Hua Univ., Hsinchu, Taiwan<br />

Pei-Ying Chao, Ruo-Ting Ding - TinnoTek Inc., Hsinchu, Taiwan<br />

Ding-Ming Kwai - Industrial Technology Research Institute, Hsinchu, Taiwan<br />

Nian-Shyang Chang, Chien-Lin Huang -<br />

National Chip Implementation Center, Hsinchu, Taiwan<br />

2.25 LDE (Layout Dependent Effects) Aware Design<br />

Solution in Advanced Technologies<br />

M.J. Huang, Sharon Jiang, Steven Chen - Taiwan<br />

Semiconductor Manufacturing Co., Ltd., Hsinchu, Taiwan<br />

Cliff Hung, Captain Liu - SpringSoft, Inc., Hsin-chu, Taiwan<br />

2.26 Coupling-Aware Statistical Timing Analysis<br />

Henry Chan, Nathan Buck, Brian Dreibelbis, John Dubuque, Eric Foreman,<br />

Peter A. Habitz, David J. Hathaway,<br />

Gregory M. Schaeffer - IBM Corp., Hopewell Junction, NY<br />

Chandu Visweswariah - IBM Systems and Technology Group,<br />

Hopewell Junction, NY<br />

2.27 3-D Transient Field Simulator for Chip-Level<br />

Analysis of Electro-Thermal Coupling<br />

Dundar Dumlugol, Wim Schoenmaker, Peter Meuris,<br />

Olivier Dupuis - Magwel NV, Leuven, Belgium<br />

Aarnout Wieers, Joseph Rhayem, Yves Depret -<br />

ON Semiconductor, Oudenaarde, Belgium<br />

2.28 Adoption of Static Timing Analysis with<br />

Advanced On-Chip Variation at 28nm<br />

Steven C. Chan, Adrian Au Yeung, Tze Haw Liew,<br />

Karsten Matt - GLOBALFOUNDRIES, Milpitas, CA<br />

Ning Jin - Univ. of California, Los Angeles, CA<br />

3<br />

1:30 - 3:00pm<br />

POWER ANALYSIS AND OPTIMIZATION<br />

Chair:<br />

Laurent Chaouat - Samsung, Austin, TX<br />

Power is now one of the most critical aspects of system design. Presentations<br />

in this session include a framework for design decision making and its application<br />

to power analysis, a power-aware verification framework, approaches to make<br />

gate-level power analysis easier, and a design and verification suite for clock gating.<br />

3.1 DesignDB - A Framework for Design Decision Making<br />

and its Application to Power Analysis (1:30pm)<br />

Mosur K. Mohan, Eric Donkoh - Intel Corp., Hillsboro, OR<br />

4<br />

Room: 106 - Exhibit Floor<br />

CIRCUIT ANALYSIS AND OPTIMIZATION<br />

4:00 - 6:00pm<br />

Chair:<br />

Nagaraj NS - Texas Instruments, Inc., Dallas, TX<br />

Variability and other circuit effects constitute a significant challenge and optimization<br />

opportunity in deep submicron design. This session features circuit analysis and<br />

optimization for various electrical effects. These complex techniques extend the<br />

capabilities of existing design methods. Presentations discuss the effect of stress<br />

on variability, improving accuracy in extraction, early fast and efficient analysis for<br />

IR drop and dynamic voltage drop, and hierarchical robustness analysis.<br />

4.1 40nm and 28nm Variability-Aware Digital Designs (4:00pm)<br />

Philippe Hurat, Chris Pitchford - Cadence Design Systems, Inc.,<br />

Bracknell, United Kingdom<br />

Andrew Appleby - Cambridge Silicon Radio, Cambridge, United Kingdom<br />

Mark Zwolinski, Yangang Wang -<br />

Univ. of Southampton, Southampton, United Kingdom<br />

Mark Scoones, Sonia Caldwell, Touqeer Azam -<br />

CSR, Cambridge, United Kingdom<br />

Sponsored by:<br />

2.29 A Novel Power-Grid Early Analysis Capability and Flow for<br />

Ensuring Efficient, Robust, and Reliable Power-Grid Designs<br />

Efrat Rachevsky - Marvell Semiconductor, Inc., Adanim, Israel<br />

2.30 Symbolic SRAM Layout Analysis for<br />

Design and Technology Exploration<br />

Paul Zuber, Miguel Miranda, Petr Dobrovolny, Peter De Bisschop,<br />

Mustafa Badaroglu, Diederik Verkest - IMEC, Leuven, Belgium<br />

2.31 Variation-Aware Design Techniques for the Advanced 28nm Node<br />

Suresh Raman, Shashank Bhonge - Xilinx, Inc., Hyderabad, India<br />

2.32 Prevention of Data Loss in Physical Implementation<br />

of FIFOs and Data Path Synchronizers<br />

Ramesh Rajagopalan, Ajay Bhandari - Cisco Systems, Inc., San Jose, CA<br />

Namit Gupta - Atrenta, Inc., San Jose, CA<br />

2.33 Single Pass Stitched Metal Filling Technique<br />

for Multi-Power Domain SoCs<br />

Dibyendu Goswami, Swami Gangadharan - Intel Corp., Bangalore, India<br />

2.34 Incremental Fill: Metal Fill Legalization for ECO Layout Convergence<br />

Dibyendu Goswami, Suryanarayana Prekke,<br />

Rajesh Karturi - Intel Corp., Bangalore, India<br />

2.35 Case Study on Diagnosing Intermittent Scan Chain Hold-Time Defects<br />

Wu-Tung Cheng, Yu Huang, Dragon Hsu -<br />

Mentor Graphics Corp., HsinChu, Taiwan<br />

Augusli Kifli, Yu-Wei Chen - Faraday Technology Corp., Hsinchu City, Taiwan<br />

2.36 Clock Tree Synthesis Careabouts for Complex SoCs<br />

Rivu Das, Vishweshwara R, Venkatraman Ramakrishnan, Santhosh<br />

Thiyagaraja, Mahita Nagabhiru - Texas Instruments, Inc., Bangalore, India<br />

2.37 Modeling of Near-Device Parasitics in MOSFETs<br />

and BJTs Using 3-D Fieldsolver<br />

Prasanna Bekal, Weiping Shi - Texas A&M Univ., College Station, TX<br />

User Track - Front End<br />

3.2 Proven Techniques for a Seamless Power-<br />

Aware Verification Framework (1:45pm)<br />

Anees Sutarwala, Osama Neiroukh - Intel Corp., Haifa, Israel<br />

3.3 Taking the Pain out of Gate-Level Power Analysis (2:00pm)<br />

Krishnan Sundaresan, Ke Zhong, Wei-Lun Hung, Jaewon Oh,<br />

Mohd Jamil Mohd, Rob Mains - Oracle, Santa Clara, CA<br />

3.4 Clock Gating Design and Verification Suite (2:15pm)<br />

Masanori Kurimoto, Naoshi Ishikawa, Koichi Ishimi, Masaya Kitao, Teruyuki<br />

Ito, Satoshi Kaneko, Hiroyuki Kondo - Renesas Electronics Corp., Itami, Japan<br />

Room: 106 - Exhibit Floor<br />

User Track - Back End<br />

4.2 Accuracy Analysis of Parasitic Extraction for<br />

Advanced Nodes (28nm) (4:15pm)<br />

Hendrik T. Mau - GLOBALFOUNDRIES, Dresden, Germany<br />

4.3 HVCOM Model for Graphics IP IR Drop Analysis (4:30pm)<br />

Anand Ananthanarayanan, Basavaraj Kanthi,<br />

Srikrishnan Venkataraman, Nitin Jain - Intel Corp., Folsom, CA<br />

4.4 Rapid IR Hotspot Diffusion Using<br />

In-Design Power Grid Analysis (4:45pm)<br />

Sumit Goswami, Mysore Sriram,<br />

Srikrishnan Venkataraman - Intel Corp., Bangalore, India<br />

4.5 Hierarchical Thermal and Electromigration<br />

Analysis for Cell-Based Designs (5:00pm)<br />

Srini Krishnamoorthy, Vishak Venkatraman, Thomas Burd, James Pistole,<br />

Yuri Apanovich, Rajit Chandra - Advanced Micro Devices, Inc., Sunnyvale, CA<br />

4.6 A Novel Method for Effective Early Dynamic<br />

Voltage Drop Analysis (5:15pm)<br />

Khusro Sajid, Sorin A. Dobre, Mamta Bansal,<br />

Karim Arabi - Qualcomm, Inc., San Diego, CA<br />

www.<strong>DAC</strong>.com 31


USER TRACK<br />

WEDNESDAY, JUNE 6<br />

5<br />

9:00 - 10:30am<br />

32<br />

www.<strong>DAC</strong>.com<br />

Sponsored by:<br />

PACKAGING AND AUTOMATIC PLACE AND<br />

ROUTE MINI SESSIONS<br />

Chair:<br />

Thomas Brandtner - Infineon Technologies, Villach, Austria<br />

With the increase in integration and smaller form factor products, package design<br />

is a hot topic. The first two presentations discuss embedded packaging and<br />

package level power models. Physical design optimization constitutes a significant<br />

part of the design process, particularly in the face of increasing rule complexity in<br />

advanced semiconductor processes. The final two presentations discuss place<br />

and route methods for hierarchical mixed-signal design and for structured designs.<br />

5.1 Embedding Bare Die and Discrete Passives in an Organic<br />

Substrate Package Design, Assembly, and Fabrication (9:00am)<br />

Charles Pfeil - Mentor Graphics Corp., Longmont, CO<br />

6<br />

12:30 - 1:30pm<br />

USER TRACK POSTER SESSION<br />

Embedded Systems and Software, Front-End, and Back-End Silicon Design Topics<br />

6.1 FPGA-Based ASIC Prototyping<br />

Zied Marrakchi, Ramsis Farhat -<br />

FLEXRAS Technologies Sas., Saint-Denis, France<br />

Ramine Roane - Xilinx, Inc., San Jose, CA<br />

6.2 A Next Generation IP and SoC Development Platform<br />

Fergus Slorach, Simon M. Butler - Methodics, Inc., San Francisco, CA<br />

Bertrand Blanc - Altera Corp., San Jose, CA<br />

6.3 Nexus 5001 - Instrumentation Architectures and the New Specification<br />

Neal Stollon - HDL Dynamics, Dallas, TX<br />

6.4 Deployment of Virtual Technology for Cave Creek Network Connection<br />

Qun Wan, Alan Carew - Intel Corp., Shannon, Ireland<br />

6.5 Reusable XML-Based Methodology and Tool Chain for<br />

Concept Development and Product Verification<br />

Bas Arts - NXP Semiconductors, Eindhoven, The Netherlands<br />

6.6 Cloud-Based Parallel Design Space Exploration Using EDAxtend<br />

Harnhua Ng - Plunify, Inc., Sunnyvale, CA<br />

Cristopher Magalang - Plunify Pte., Ltd., Singapore<br />

6.7 Making Verification Environment Performance<br />

Efficient - Case Studies and Guidelines<br />

Sarath C. Valapala, Prashanth Srinivasa - LSI Corp., Bangalore, India<br />

6.8 Register Verification on a Fast Lane: Using Automation<br />

to Converge on UVM REG Coverage Models<br />

Abhisek Verma, Varun S, Amit Sharma - Synopsys, Inc., Bangalore, India<br />

6.9 Automated Monitoring and Checking of Real-Valued<br />

Behavior in Mixed-Signal Designs Using UVM<br />

Alexander W. Rath, Volkan Esen, Wolfgang Ecker -<br />

Infineon Technologies AG, Neubiberg, Germany<br />

6.10 Using Higher-Level VHDL Style in High-End Processor Design<br />

Ariel J. Birnbaum, Ilia Averbouch, Gil Shurek,<br />

Ilan Beer - IBM Haifa Research Lab., Haifa, Israel<br />

6.11 A Unified Design and Simulation Environment Using Spreadsheet<br />

Young-Il Kim, Wooseung Yang, Ju Hwan Yi,<br />

Hoon Choi - Silicon Image, Inc., Sunnyvale, CA<br />

6.12 Functional and Performance Verification of a Rate-<br />

Controlled QoS-Based Arbiter Using Formal Methods<br />

Yirng-An Chen, Saeed Shamshiri, Michael Hsieh -<br />

Marvell Semiconductor, Inc., Santa Clara, CA<br />

Room: 106 - Exhibit Floor<br />

Room: 105 - Exhibit Floor<br />

User Track - Back End<br />

5.2 Package Modeling and Verification for On-<br />

Chip Power Integrity Analysis (9:15am)<br />

Hang Li, Eileen You, Harpreet Gill - Samsung, San Jose, CA<br />

5.3 Hierarchical APR Approach for Mixed Signal Designs (9:30am)<br />

Atul Walimbe,Sumit Goswami, Victoria Kolesov,<br />

Raj Varada - Intel Corp., Santa Clara, CA<br />

5.4 Structured APR: A Hybrid Approach for<br />

Efficient Custom Design (9:45am)<br />

Sumit Goswami, Atul Walimbe, Victoria Kolesov,<br />

Raj Varada - Intel Corp., Santa Clara, CA<br />

User Track<br />

6.13 Challenges in Verifying USB3.0 Host and Device Controller<br />

Abbas Khalili, Ryan Rhodes, Adrian Yu -<br />

Broadcom Corp., Richmond, BC, Canada<br />

6.14 Utilizing Acceleration Platforms Using Off-<br />

Platform Generated Test-Cases<br />

Dmitry Krestyashyn, Wisam Kadry, Shimon Landa,<br />

Vitali Sokhin - IBM Haifa Research Lab., Haifa, Israel<br />

Amir Nahir - IBM Corp., Haifa, Israel<br />

6.15 Are You Managing Your Registers Data or Barely Striving to<br />

Manage...(Or: Executable Specification of Registers Data)<br />

Arik Shmayovitsh - Sigma Designs, Inc., Tel Aviv, Israel<br />

Doron Meiraz - Synopsys, Inc., Herzelia, Israel<br />

6.16 Architectural Coverage for Post-Silicon Exercisers<br />

Nirmal M. Kumar, Varun Mallikarjunan, Subrat K. Panda -<br />

IBM Systems and Technology Group, Bangalore, India<br />

Amir Nahir - IBM Corp., Haifa, Israel<br />

Vitali Sokhin, Avi Ziv - IBM Haifa Research Lab., Haifa, Israel<br />

6.17 Coverage Driven Requirements Management<br />

Andre Winkelmann - Wolfson Microelectronics plc, Edinburgh, United Kingdom<br />

Jason Sprott - Verilab, Inc., Glasgow, United Kingdom<br />

6.18 Effective Functional Vectors in a Partial Scan<br />

Paradigm – Challenges and Learning<br />

Ballori Banerjee - LSI Corp., Bangalore, India<br />

6.19 Starting CDC from SDC<br />

YoungChan Lee, Namdo Kim, Byeong Min -<br />

Samsung, Yongin-City, Republic of Korea<br />

Wesley Park - Mentor Graphics Corp., San Jose, CA<br />

6.20 Facilitating Debug in ESL Design with Automated Root-Cause Analysis<br />

Katsunobu Natori, Yuichi Soejima,<br />

Tetsuya Nakajima - Hitachi Ltd., Yokohama-shi, Japan<br />

6.21 Property Checking of Datapath Using Word-<br />

Level Formal Equivalency Tools<br />

Theo Drane - Imagination Technologies Ltd., Kings Langley, United Kingdom<br />

Himanshu Jain - Synopsys, Inc., Hillsboro, OR<br />

6.22 Integration of Enterprise Manager SQL Database in Verification Cockpit<br />

Mickael M. Moreau - STMicroelectronics, Grenoble, France<br />

6.23 A Structured Power Grid Design Methodology and Analysis<br />

Considerations for a Low Cost, High Performance SoC<br />

Siva Srinivas Kothamasu, Stalin SM -<br />

Texas Instruments, Inc., Bangalore, India


USER TRACK<br />

WEDNESDAY, JUNE 6<br />

6.24 Full-Chip ESD Network Extraction and Verification<br />

Dundar Dumlugol, Jiri Ocenasek, Wim Schoenmaker, Bart De Smedt,<br />

Peter Meuris, Olivier Dupuis - Magwel NV, Leuven, Belgium<br />

Edgardo Laber - Intersil Americas, Inc., Milpitas, CA<br />

6.25 Understanding and Designing for Variation in<br />

GLOBALFOUNDRIES 28-nm Technology<br />

Pei Yao, Richard Trihy - GLOBALFOUNDRIES, Milpitas, CA<br />

Jiandong Ge, Kristopher Breen, Trent McConaghy -<br />

Solido Design Automation, Inc., Saskatoon, SK, Canada<br />

6.26 Sensitivity Analysis of CMOS Devices Using a Field Solver<br />

Karen Chow, Yasu Nariki - Mentor Graphics Corp., Tokyo, Japan<br />

Kunihiko Tsuboi - STARC, Yokohama, Japan<br />

6.27 Congestion Analysis at Various Design Phases of a<br />

Complex Multi-Domain SoC and Avoidance Techniques to<br />

Improve Design Convergence and Cycle Time Improvement<br />

Rivu Das, Stalin SM, Arun Koithyar - Texas Instruments, Inc., Bangalore, India<br />

6.28 Qualcomm DSP Tool Agnostic Relative Placement Design Flow<br />

Nadeem Eleyan, Shahid Imam, Tung Pham, Dwight Galbi,<br />

Ken Lin, Paul Bassett - Qualcomm, Inc., Austin, TX<br />

Dan Bui - Ciranova, Inc., Santa Clara, CA<br />

6.29 Design Workflow Management for SoC Implementation<br />

Albert Li, Louis Liu, Reed Lee, Neil Liang, Chien-Chu(Alex) Kuo,<br />

Mei-Lin Liang - Global Unichip Corp., Yokohama, Japan<br />

6.30 Novel Inizialization and Implementation Method for HBM<br />

ESD Compliance Automated Check on Smart Power ICs<br />

Mauro Fragnoli, Eleonora Gevinti, Antonio Bogani,<br />

Lorenzo Cerati - STMicroelectronics, Agrate Brianza, Italy<br />

7<br />

1:30 - 3:00pm<br />

Chair:<br />

Gary Smith - Gary Smith EDA, Santa Clara, CA<br />

Speakers:<br />

Joshua Friedrich - IBM Server and Technology Group, Austin, TX<br />

Viresh Paruthi - IBM Server and Technology Group, Austin, TX<br />

Stephen Shuma - IBM Server and Technology Group, Austin, TX<br />

Brad Heaney - Intel Corp., Folsom, CA<br />

Madhu Ponnada - Intel Corp., Folsom, CA<br />

Rama Ramakrishnan - Intel Corp., Folsom, CA<br />

Artour Levin - Intel Corp., Folsom, CA<br />

Omar Malik - Intel Corp., Folsom, CA<br />

8<br />

4:00 - 6:00pm<br />

PRACTICAL FORMAL METHODS<br />

Sponsored by:<br />

6.31 Performance Path Test Statistical Methodology<br />

Jinjun Xiong, Vladimir Zolotov -<br />

IBM T.J. Watson Research Ctr., Yorktown Heights, NY<br />

Jeanne P. Bickford, Anthony Polson, Pamela S. Gillis, Jose M. Martinez,<br />

Francis Woytowich - IBM Systems and Technology Group, Essex Junction, VT<br />

Vikram Iyengar - IBM Systems and Technology Group, Pittsburgh, PA<br />

Kevin Bercaw - IBM Systems and Technology Group,<br />

Research Triangle Park, NC<br />

Chandu Visweswariah - IBM Systems and Technology Group,<br />

Hopewell Junction, NY<br />

6.32 Impact of Lithography and Stress on 28nm Design Performance<br />

Edward Teoh, Jianhao Zhu - GLOBALFOUNDRIES, Singapore<br />

Philippe Hurat - Cadence Design Systems, Inc., San Jose, CA<br />

6.33 On-Chip Noise Coupling Analysis<br />

Jacob Bakker, Sergei Kapora, Marcel Pelgrom, Boris Ljevar, Andries<br />

van der Veen - NXP Semiconductors, Eindhoven, The Netherlands<br />

Keith A. Sabine - ANSYS, Inc, Chinnor, United Kingdom<br />

Jerome Toublanc - Apache Design, Inc. a subsidiary of ANSYS, Inc.,<br />

Grenoble, France<br />

Ying-Shiun Li - Apache Design, Inc. a subsidiary of ANSYS, Inc., San Jose, CA<br />

6.34 Substrate and Metal Layer Noise “Heat Maps”<br />

Generated with Apache Totem Predict Improved Noise<br />

Performance in a Mass-Produced Image Sensor Chip<br />

Kenneth F. Boorom - Aptina Imaging Corp., Corvallis, OR<br />

Shaan Awasthi - ANSYS, Inc, San Jose, CA<br />

6.35 Design and Use of Stress Managing Cells for Timing Closure<br />

Puneet Sharma - Freescale Semiconductor, Inc., Austin, TX<br />

6.36 Stable Physical Synthesis for Design Iterations<br />

Cliff Sze, Gi-Joon Nam - IBM Research - Austin, TX<br />

Paul Villarrubia - IBM Corp., Austin, TX<br />

Natarajan Viswanathan - IBM Systems and Technology Group, Austin, TX<br />

DESIGNER PANEL - INTERACTIVE <strong>KEYNOTE</strong><br />

QUESTION AND ANSWER SESSION<br />

Room: 106 - Exhibit Floor<br />

Room: 106 - Exhibit Floor<br />

User Track<br />

This special session is an interactive follow-up to the morning keynotes by Joshua<br />

Friedrich (IBM) and Brad Heaney (Intel). Senior leaders from both design teams<br />

will sit for a moderated Q/A panel session with the audience. The first half of the<br />

session will be with the IBM team; the second half will be with the Intel team. Take<br />

advantage of this unusual opportunity!<br />

User Track - Front End<br />

Chair:<br />

8.3 Functional vs. Structural Verification - Case Study (4:30pm)<br />

Krishnan Sundaresan - Oracle, Santa Clara, CA<br />

Gadiel Auerbach, Hana Chocler, Shiri Moran -<br />

Formal methods are now successfully deployed in a variety of practical<br />

applications. But, significant challenges must be overcome to enable wider<br />

adoption. Presentations include verification of arbitration logic and bypass logic, a<br />

case study of formal versus structural verification, engineers’ suggestions for FV<br />

research, verification of a complex design with just three assertions, and formal<br />

IBM Haifa Research Lab., Haifa, Israel<br />

Viresh Paruthi - IBM Systems and Technology Group, Austin, TX<br />

8.4 The Wiggler’s Manifesto: What Formal Verification<br />

Engineers Really Need from EDA Research (4:45pm)<br />

Erik Seligman, Prakash Math, Tom Schubert - Intel Corp., Hillsboro, OR<br />

equivalence checking challenges of a supercomputer on chip.<br />

8.5 How we Verified 5000 Lines of a Complex Multiplexing<br />

8.1 Formal Verification of Arbitration Logic (4:00pm)<br />

Gadiel Auerbach, Fady Copty, Katia Patkin -<br />

IBM Haifa Research Lab., Haifa, Israel<br />

Krishnan Kailas - IBM T.J. Watson Research Ctr., Yorktown Heights, NY<br />

Viresh Paruthi - IBM Systems and Technology Group, Austin, TX<br />

Code with Three Assertions (5:00pm)<br />

Nalin Nimavat - Cisco Systems, Inc., San Jose, CA<br />

Vigyan Singhal - Oski Technology, Inc., Mountain View, CA<br />

8.6 Formal Equivalence Verification (FEV) Challenges<br />

of a Supercomputer-On-A-Chip (5:15pm)<br />

8.2 Deploying Model Checking for Bypass Verification (4:15pm)<br />

Vigyan Singhal, Prashant Aggarwal - Oski Technology, Inc., Gurgaon, India<br />

Amrendra Kumar, Pei-Wen Wu, Joonyoung Kim - Intel Corp., Santa Clara, CA<br />

Michelle Liu, Wanli Wu - Cisco Systems, Inc., San Jose, CA www.<strong>DAC</strong>.com<br />

33


USER TRACK<br />

THURSDAY, JUNE 7<br />

9<br />

9:00 - 10:30am<br />

3:30 - 5:30pm<br />

Chair:<br />

Srinivas Nori - GLOBALFOUNDRIES, Sunnyvale, CA<br />

Complex clocking design and timing convergence continue to be top priorities in<br />

IC design. IBM, Samsung, and Juniper Networks showcase their approaches and<br />

successes in clock network design and timing analysis/convergence for advanced<br />

nodes. Presentations in this session include clock grid construction, clock mesh<br />

tuning, SSTA topics on pessimism removal and flexibility, and two convergence<br />

approaches for ECO routing and timing window reduction.<br />

11.1 Robust Clock Grid Construction for Non-Uniform Clock Loads (3:30pm)<br />

Nancy Y. Zhou, Joseph Palumbo, Joseph Kozhaya - IBM<br />

Systems and Technology Group, Durham, NC<br />

Zhuo Li, Cliff Sze - IBM Research - Austin, TX<br />

Haifeng Qian, Phillip Restle - IBM T.J. Watson<br />

Research Ctr., Yorktown Heights, NY<br />

34<br />

VERIFICATION METHODOLOGIES<br />

Chair:<br />

Rob Aitken - ARM, Inc., San Jose, CA<br />

As the scope of system integration increases, functional verification consumes an<br />

increasing percentage of design resources. This session includes presentations<br />

on several verification Methodologies. Topics include a discussion on what can be<br />

gained in moving from OVM to UVM, dealing with the lack of written specifications,<br />

solving SoC verification challenges with a UVM configuration mechanism, and<br />

optimizing transaction-based co-emulation performance.<br />

9.1 The Big Question - Stay with OVM or Move to UVM? (9:00am)<br />

Manikandan S, Suleesh Rajendran, Sunil Kumar - LSI Corp., Bangalore, India<br />

10<br />

1:30 - 3:00pm<br />

10.1 An ESL Power Flow for Optimizing and Validating the<br />

Power Management Strategy on a MultiCore SoC (1:30pm)<br />

Xiaotao Chen - Huawei Technologies Co., Ltd., Bridgewater, NJ<br />

Philippe Garrault, Sylvian Kaiser - DOCEA Power SAS, Moirans, France<br />

10.2 SyMX -- Model Crossover between Simics and<br />

SystemC/TLM Virtual System Platforms (1:45pm)<br />

Christian Sauer, Hans-Peter Loeb -<br />

Cadence Design Systems, Inc., Munich, Germany<br />

11<br />

CLOCKS AND TIMING<br />

Room: 303<br />

www.<strong>DAC</strong>.com<br />

Sponsored by:<br />

APPLICATIONS OF VIRTUAL PLATFORMS<br />

Chair:<br />

Ismed Hartanto - Xilinx, Inc., San Jose, CA<br />

Room: 303<br />

Room: 303<br />

Virtual platforms are crucial for pre-silicon software development. They can also<br />

be used for architectural evaluation and exploration, as well as power modeling<br />

and optimization. This session includes two different ways of combining virtual<br />

platforms with a power modeling tool, along with a methodology for allowing reuse<br />

of models across both Simics and SystemC/TLM, and a system for creating and<br />

evaluating multiprocessor SoC platforms.<br />

User Track - Front End<br />

9.2 “Spec is in Designer’s Head!” Problem Demystified (9:15am)<br />

Terry Lam, Viba Viswanathan, Yirng-An Chen -<br />

Marvell Semiconductor, Inc., Santa Clara, CA<br />

Nitin Mhaske - NextOp Software, Inc., Santa Clara, CA<br />

9.3 Advanced Applications of Resources: How we Solved our SoC<br />

Verification Challenges with UVM Configuration Mechanism (9:30am)<br />

Abhisek Verma, Parag Goel, Amit Sharma - Synopsys, Inc., Bangalore, India<br />

9.4 Optimizing Transaction-Based Co-Emulation Performance (9:45am)<br />

Takashi Kawabe - Konica Minolta Holdings, Inc., Hachioji-shi, Japan<br />

Mitsuhiro Matsumoto - Nihon EVE K.K., Yokohama-shi, Japan<br />

User Track - Embedded Systems and Software<br />

10.3 SiMPLiFy: An Abstract MPSoC Platform Framework for<br />

Enabling Fast Functional/Behavioral Simulation (2:00pm)<br />

Michael Huebner - Ruhr - Univ. of Bochum, Germany<br />

Gabriel M. Almeida, Jurgen Becker -<br />

Karlsruhe Institute of Technology, Karlsruhe, Germany<br />

Oliver B. Longhi, Fabiano Hessel -<br />

Pontifíca Univ. Católica do Rio Grande do Sul, Porto Alegre, Brazil<br />

10.4 Co-Simulation of a SystemC TLM Virtual Platform with a Power<br />

Simulator at the Architectural Level: Case of a Set-Top Box (2:15pm)<br />

Jerome Cornet, Laurent Maillet-Contoz -<br />

STMicroelectronics, Grenoble Cedex, France<br />

Ilija Materic, Hela Boussetta - DOCEA Power, Moirans, France<br />

Sylvian Kaiser - DOCEA Power SAS, Moirans, France<br />

Tayeb Bouhadiba - Verimag, Gieres, France<br />

Matthieu Moy, Florence Maraninchi -<br />

Grenoble Institute of Technology, Verimag, Gieres, France<br />

User Track - Back End<br />

11.2 Design and Tuning of a Tree-Mesh Clock Distribution (3:45pm)<br />

Nikhil Jayakumar, Dave Murata, Valery Kugel -<br />

Juniper Networks, Inc., Sunnyvale, CA<br />

11.3 Pessimism Reduction in Statistical Timing Analysis Using<br />

Liberty Standard Extensions to Model OCV (4:00pm)<br />

Dileep Netrabile, Eric A. Foreman, Jeffrey G. Hemmett, Hemlata Gupta -<br />

IBM Systems and Technology Group, Hopewell Junction, NY<br />

11.4 The Flexibility of Statistical Timing (4:15pm)<br />

Stephen Shuma, Eric Foreman - IBM Corp., Essex Junction, VT<br />

11.5 ECO Routing Methodology for Timing Improvement (4:30pm)<br />

Gi-Joon Nam, Zhuo Li - IBM Research - Austin, TX<br />

Nancy Zhou, Mike Kazda -<br />

IBM Server and Technology Group, Hopewell Junction, NY<br />

11.6 A New Design Closure Methodology for Semicustom<br />

Circuit by Window Reduction (4:45pm)<br />

Hyung-Ock Kim - Samsung, Yongin, Republic of Korea


PERSPECTIVE PAPERS AT <strong>DAC</strong><br />

“Perspectives” is a new submission category that solicits content traditionally not present in the conference: surveys, new problem<br />

formulations, critiques, comparative studies, and position papers. A Perspectives presentation provides a broader view than a traditional<br />

presentation, and brings excellent speakers to the conference. This year’s Perspectives include:<br />

3<br />

DESIGN AUTOMATION FOR THINGS WET,<br />

SMALL, SPOOKY, AND TAMABLE<br />

Tuesday, June 5 - 10:00 - 11:30am<br />

3.5 A Microgrid View of Energy Efficient Systems (11:00am)<br />

Rajesh Gupta - Univ. of California at San Diego, La Jolla, CA<br />

11<br />

FACING DEPENDABILITY: SYSTEM-LEVEL SOLUTIONS AND<br />

CYBERCAR CHALLENGES<br />

Tuesday, June 5 - 1:30 - 3:00pm<br />

11.5 EDA for Secure and Dependable Cybercars: Challenges and Opportunities (2:30pm)<br />

Farinaz Koushanfar - Rice Univ., Houston, TX<br />

Ing. Ahmad-Reza Sadeghi, Hervé Seudié - Technische Univ. Darmstadt, Germany<br />

16<br />

IS FORMAL VERIFICATION READY FOR<br />

THE SYSTEM LEVEL?<br />

Tuesday, June 5 - 4:00 - 6:00pm<br />

16.5 Sciduction: Combining Induction, Deduction, and Structure for Verification and Synthesis (5:00pm)<br />

Sanjit A. Seshia - Univ. of California, Berkeley, CA<br />

27<br />

DESIGN, THE NEXT GENERATION:<br />

FROM ROUTING TO CAPTURING DESIGN EXPERTISE<br />

Wednesday, June 6 - 1:30 - 3:00pm<br />

27.5 Avoiding Game Over: Bringing Design to the Next Level (2:30pm)<br />

Mark Horowitz, Ofer Shacham, Megan Wachs, Andrew Danowitz, Sameh Galal, John Brunhaver, Wajahat Qadeer,<br />

Sabarish Sankaranarayanan, Artem Vassillev, Steve Richardson - Stanford Univ., Stanford, CA<br />

29<br />

SOS: SPECIFICATION, OPTIMIZATION, AND SYNTHESIS IN<br />

SYSTEM-LEVEL DESIGN<br />

Wednesday, June 6 - 1:30 - 3:00pm<br />

29.5 Embedded Systems - The Neural Backbone of Society (2:30pm)<br />

Rolf Ernst - Technische Univ. Braunschweig, Germany<br />

49<br />

PANEL: PARALLELIZATION AND SOFTWARE<br />

DEVELOPMENT: HOPE, HYPE, OR HORROR?<br />

Thursday, June 7 - 3:30 - 5:30pm<br />

Room: 300<br />

Room: 308<br />

Room: 306<br />

Room: 300<br />

Room: 308<br />

Room: 305<br />

49.1 PhD or MD - Who is Better Trained for Building Successful Software Development Tools? (2:45pm)<br />

Andreas Kuehlmann - Coverity, Inc., San Francisco, CA<br />

Emerging Technologies<br />

Embedded Design Methodology and Case Studies<br />

Verification and Test<br />

Physical Design<br />

System Level Design and Communication<br />

General Interest<br />

www.<strong>DAC</strong>.com 35


TUTORIALS<br />

MONDAY, JUNE 4<br />

1<br />

36<br />

SYNTHESIZING SYSTEMC TO LAYOUT<br />

8:30 - 10:30am, 11:30am - 1:30pm,<br />

3:30 - 5:30pm<br />

Speaker:<br />

Michael A. Bohm - Intel Corp., Hillsboro, OR<br />

Capturing digital designs as RTL and synthesizing to layout has been the<br />

defacto standard for thirty years. Over the years our industry has been quite<br />

successful refining this process, driving industrial growth in SoC, ASIC, and<br />

FPGA implementations. At this point, significant further refinements of the<br />

RTL methodology are difficult to achieve. Further advancements in our design<br />

efficiency will require employing new methods.<br />

2<br />

Each tutorial below is presented multiple times to allow you to cover multiple topics.<br />

Additional Registration Fees Apply<br />

ENOUGH TALK! PRACTICAL APPROACHES TO 3-D IC -<br />

TSV/SILICON INTERPOSER AND WIDE IO IMPLEMENTATION<br />

FROM PEOPLE WHO HAVE BEEN THERE AND DONE THAT<br />

8:30 - 10:30am, 11:30am - 1:30pm,<br />

3:30 - 5:30pm<br />

Speakers:<br />

Frank Lee - Taiwan Semiconductor Manufacturing Co., Ltd., Hsinchu, Taiwan<br />

Marc Greenberg - Cadence Design Systems, Inc., Austin, TX<br />

3-D IC and Silicon Interposer with Through Silicon Via (TSV) and wide IO type<br />

of DRAMs have been hot topics for the last few years, because they offer<br />

a revolutionary increase in bandwidth and reduction in power for inter-chip<br />

communication especially for the critical GPU/CPU to DRAM connection. While<br />

there is some information available on what could be achieved with 3-D IC, the<br />

question is what is the most practical approach to utilizing the best of both worlds -<br />

3-D IC and Silicon Interposer with TSVs and wide IO technology. Given challenges<br />

with designing 3-D stacks with TSVs, testing 3-D stacks, the production process<br />

and the state of the ecosystem, the most practical solution today may be using<br />

Silicon Interposers to integrate wide IO memory with the logic device.<br />

3<br />

SYSTEM-LEVEL EXPLORATION OF POWER, TEMPERATURE,<br />

PERFORMANCE, AND AREA FOR MULTICORE ARCHITECTURES<br />

8:30 - 10:30am, 11:30am - 1:30pm,<br />

3:30 - 5:30pm<br />

Speakers:<br />

Houman Homayoun - Univ. of California at San Diego, La Jolla, CA<br />

Manish Arora - Univ. of California at San Diego, La Jolla, CA<br />

Amin Ansari - Univ. of Illinois at Urbana-Champaign, Urbana, IL<br />

Room: 310<br />

Room: 302<br />

Room: 305<br />

With the proliferation of multicore architectures, system designers critically need<br />

simulation tools to perform early design space exploration of different architectural<br />

configurations. Designers typically need to evaluate the effect of different applications<br />

on power, performance, temperature, area, and reliability of multicore architectures.<br />

While architectural simulators such as Simplescalar integrated with HotSpot and<br />

Wattch have been used in the past to perform design space evaluation, several<br />

factors drive the need for new tools to address both changes in technology as<br />

well as the proliferation of multicore processor architectures. Many new simulation<br />

frameworks are emerging that attempt to accurately model power, temperature,<br />

www.<strong>DAC</strong>.com<br />

High-Level and Logic Synthesis<br />

Many companies have had success building chips using the current third<br />

generation High-Level Synthesis (HLS) tools which accept SystemC as the input<br />

language. Leveraging these quite robust HLS tools facilitates an automated<br />

approach for developing digital designs where the HLS scheduling engine accepts<br />

the timing, power, and physical constraint information early in the design process,<br />

allowing efficient semi-automatic exploration of a circuits design space with tight<br />

correlation to the final layout.<br />

Building further on this process, we are moving test, power, and reliability issues<br />

earlier into the design flow in order to optimize a design directly for manufacturability.<br />

This tutorial will demonstrate a methodology that defines a recommended flow and<br />

identifies the issues and pitfalls involved in synthesizing a High-Level SystemC<br />

model to a placed gates layout.<br />

Emerging Technologies<br />

This tutorial session walks participants through what they really need to know to<br />

be successful in their first 3-D design project. We present a practical approach<br />

to helping them prepare for and execute a successful TSV design project utilizing<br />

Silicon Interposer and wide IO memory. We start at chip planning, die, IP, and tool<br />

selection, continue through thermal planning, chip design, inter-chip routing and/<br />

or silicon interposer design, and finish with physical verification, manufacturing,<br />

assembly, packaging, and test.<br />

General Interest<br />

area, and timing characteristics. These tools allow accurate modeling the effects<br />

of deep-submicron technologies, as well as all accurately model the various<br />

subcomponents of these complex multicore platforms.<br />

This tutorial first briefly reviews the state of the art in simulators and modeling tools<br />

including; SMTSIM, GEM5, SESC as representative cycle accurate processor core<br />

performance simulators; DARSIM for cache interconnection network modeling<br />

and evaluation; HotSpot for thermal estimation; and CACTI and NVSIM for power<br />

and area modeling of various SRAM and NVM memory technologies and McPAT<br />

(Multicore Power, Area, and Timing) to model power, area, and timing of multicore<br />

architectures. The tutorial then presents example frameworks and workflows<br />

integrating these tools to allow the accurate modeling of various parameters of<br />

interest for state of the art multicore architectures.


TUTORIALS<br />

MONDAY, JUNE 4<br />

4<br />

Each tutorial below is presented multiple times to allow you to cover multiple topics.<br />

Additional Registration Fees Apply<br />

UNDERSTANDING AND OVERCOMING PATTERNING-INDUCED<br />

DESIGN CHALLENGES IN THE 20NM AND<br />

14NM TECHNOLOGY NODES<br />

8:30 - 10:30am, 11:30am - 1:30pm,<br />

3:30 - 5:30pm<br />

Speakers:<br />

Kuang-Kuo Lin - Samsung, San Jose, CA<br />

Vassilios Gerousis - Cadence Design Systems, Inc., San Jose, CA<br />

Lars Liebmann - IBM Corp., Hopewell Junction, NY<br />

Andres Torres - Mentor Graphics Corp., Wilsonville, OR<br />

5<br />

ANALOG AND MIXED-SIGNAL DESIGN AT<br />

ADVANCED PROCESS NODES<br />

8:30 - 10:30am, 11:30am - 1:30pm,<br />

3:30 - 5:30pm<br />

Speakers:<br />

Jim McMahon - Cadence Design Systems, Inc., San Jose, CA<br />

Erik Wanta - Freescale Semiconductor, Inc., Tempe, AZ<br />

Robert (Bob) Mullen - Taiwan Semiconductor<br />

Manufacturing Co., Ltd., San Jose, CA<br />

Stacy Whiteman - Cadence Design Systems, Inc., San Jose, CA<br />

Fang-Cheng Chang - Cadence Design Systems, Inc., San Jose, CA<br />

To take advantage of area and power reduction in advanced process node, analog<br />

designers must consider many issues not required in the past to be successful in<br />

realizing analog and mixed-signal circuits in silicon. Parametric variation, parasitic,<br />

layout dependent effects, and double patterning have a significant impact on<br />

performance of circuits at these geometries. Previously these were secondary,<br />

post design clean up issues; now they must be addressed from the earliest stages<br />

of the design in order to predict performance of the circuits in silicon and avoid<br />

costly design iterations.<br />

Scaling the devices down to 28/20nm and meeting specifications requires an<br />

advanced design flow which enables designers to explore the impact of the<br />

different effects during circuit design and make educated tradeoffs leading to<br />

design convergence through the layout and signoff stage. The flow must provide<br />

acceptable productivity and turn-around-time to meet the demanding-time-tomarket<br />

schedules.<br />

6<br />

PRE-SILICON, NATIVE EMBEDDED<br />

SOFTWARE DEVELOPMENT SOLUTIONS<br />

8:30 - 10:30am, 11:30am - 1:30pm,<br />

3:30 - 5:30pm<br />

Speakers:<br />

Robert Kaye - ARM, Inc., Cambridge, United Kingdom<br />

Jon McDonald - Mentor Graphics Corp., Wilsonville, OR<br />

Mark Mitchell - Mentor Graphics Corp., San Jose, CA<br />

Room: 307<br />

Room: 306<br />

Room: 309<br />

Emulation and virtual platforms enable hardware design teams to begin verification<br />

ahead of silicon. However, embedded software development and verification is<br />

increasingly a bottleneck. The challenge is to find a way for software developers<br />

to work within their native software environment, while relying on virtual and<br />

emulated representations of the design.<br />

This session will detail a process that enables the software and hardware teams to<br />

work in parallel, without requiring them to become experts in each other’s domain.<br />

www.<strong>DAC</strong>.com<br />

With the delayed availability of extreme ultraviolet (EUV) lithography and other<br />

non-optical patterning techniques, the semiconductor industry is faced with having<br />

to manufacture deep below the resolution limit of existing lithography tools. Much<br />

attention is given to wafer cost increase for these aggressively scaled technologies,<br />

but the potential loss of design efficiency is equally daunting. This tutorial will<br />

provide insight into patterning challenges, the computational scaling techniques<br />

used to overcome these challenges, and the design implications resulting from<br />

these techniques, helping designers become more efficient with these leadingedge<br />

technology nodes. The tutorial will also review design and enablement<br />

infrastructure being put in place to minimize the impact that resolution-challenged<br />

technology nodes have on design efficiency and quality.<br />

This tutorial will present methodologies and techniques for:<br />

• Advanced statistical analysis<br />

• Early parasitic estimation and parasitic-aware implementation<br />

• Circuit sensitivity to Layout Dependent Effects (LDE) and LDE-aware layout<br />

• Identifying and addressing device reliability issues<br />

• Digitally assisted analog design<br />

Results of a 28/20nm analog/mixed signal flow validation as part of TSMC AMS<br />

initiative will be presented, as well.<br />

Participants completing this tutorial will understand the issues impacting scaling<br />

of analog circuits and a methodology to address them in order to be successful<br />

designing analog and mixed signal circuits at these advanced process nodes.<br />

Specifically, the tutorial will cover:<br />

Emerging Technologies<br />

Analog/Mixed-Signal/RF Design<br />

Embedded System Validation and Verification<br />

Hardware: defining a system based on platform subsystem IP, the development<br />

and integration of hardware acceleration blocks, analyzing hardware performance<br />

criteria, verification of the SoC functionality, leveraging the embedded software to<br />

drive hardware verification.<br />

Software: the parallel development and validation of software within a native<br />

software development (ide, compile, debug) environment, enabling software<br />

developers to move seamlessly between various representations of the hardware<br />

flow, including virtual prototypes, emulation, simulation, prototypes, and real<br />

hardware.<br />

37


WORKSHOPS<br />

SUNDAY, JUNE 3<br />

38<br />

Additional Registration Fees Apply<br />

<strong>DAC</strong> INTERNATIONAL WORKSHOP ON SYSTEM-LEVEL DESIGN OF<br />

AUTOMOTIVE ELECTRONICS/SOFTWARE<br />

8:30am - 5:30pm<br />

Organizers:<br />

Paolo Giusto - General Motors Company, Palo Alto, CA<br />

Arkadeb Ghosal - National Instruments Corp., Berkeley, CA<br />

Haibo Zeng - McGill Univ., Montreal, Quebec, Canada<br />

Workshop Chair:<br />

Alberto Sangiovanni-Vincentelli- Univ. of California, Berkeley, CA<br />

Workshop Advisory Board:<br />

Raj Rajkumar - Carnegie Mellon Univ., Pittsburgh, PA<br />

Rolf Ernst - Technische Univ. Braunschweig, Germany<br />

Marco Di Natale - Scuola Superiore Sant’Anna, Pisa, Italy<br />

Speakers:<br />

Roger Melen - Toyota Motor Corp., Mountain View, CA<br />

Christopher Oster - Lockheed Martin Corp., Philadelphia, PA<br />

Raj Rajkumar - Carnegie Mellon Univ., Pittsburgh, PA<br />

Marco Di Natale - Scuola Superiore Sant’Anna, Pisa, Italy<br />

Graham Hellestrand - EST Embedded Systems Technology, Los Altos, CA<br />

In the last two decades, in-vehicle electronics and software content have increased<br />

at a faster rate than ever to address market demands for increasingly complex<br />

customer features (e.g., lane keeping) as well as tighter government regulations<br />

(e.g., fuel economy). The entire in-vehicle electronics and software eco-system<br />

(industry and academia) has been impacted. The automotive industry has faced<br />

Room: 306<br />

System Level Design and Communication<br />

design and business challenges to address the market and government regulations,<br />

while maintaining profitability. The challenges include changing supply chain roles<br />

(e.g., adding new AUTOSAR software providers), increasing the amount of software<br />

integration with several parties involved, and providing the ability to control the<br />

system-level requirements in the context of IP-protected/black-box supply chains.<br />

While some of these challenges have been addressed in other markets (such as in<br />

aerospace and by the EDA/ESL tool industry) in the past, others are quite automotivespecific.<br />

Academia has researched and developed methods and tools in this area for<br />

many years.<br />

Standardization efforts to enable competition on customer features and remove it<br />

from infrastructure software and networking have emerged (e.g., FlexRay, AUTOSAR,<br />

and ISO26262). Standards can enable re-use of software components, and enable<br />

system-level modeling, analysis, simulation, and optimization of hardware and<br />

software for in-vehicle architectures prior to the availability of the actual components.<br />

This workshop focuses on the past, present, and potential future landscape of systemlevel<br />

design with emphasis on the potential opportunities for the EDA/ESL industry and<br />

academia in providing tool support for modeling, analysis, simulation, and optimization<br />

of hardware and software automotive architectures. The workshop will cover the<br />

automotive industry requirements, the relationships and similarities with the aerospace<br />

industry, as well as the EDA/ESL industry and academia efforts in this area.<br />

<strong>DAC</strong> WORKSHOP ON THE FOURTH INTERNATIONAL WORKSHOP<br />

ON BIO-DESIGN AUTOMATION AT <strong>DAC</strong><br />

Sunday, 9:00am - 5:00pm -<br />

Monday, 10:30am - 6:30pm<br />

General Chair:<br />

Natasa Miskov-Zivanov - Univ. of Pittsburgh, PA<br />

General Secretary:<br />

Laura Adam - Virginia Polytechnic Institute and State Univ., Blacksburg, VA<br />

Program Committee Chairs:<br />

Xiling Shen - Cornell Univ., Ithaca, NY, Deepak<br />

Chandran - Univ. of Washington, Seattle, WA<br />

Leonidas Bleris - Univ. of Texas, Dallas, TX<br />

Publication Chair:<br />

Chris Myers - Univ. of Utah, Salt Lake City, UT<br />

Industry Liaison Chair:<br />

Jonathan Babb - Massachusetts Institute of Technology, Cambridge, MA<br />

Finance Chair:<br />

Aaron Adler - BBN Technologies, Cambridge, MA<br />

Fusun Yaman - BBN Technologies, Cambridge, MA<br />

<strong>DAC</strong> Liaison:<br />

Smita Krishnaswamy - Columbia Univ., New York, NY<br />

Speakers:<br />

Jasmin Fisher - Microsoft Corp., Cambridge, United Kingdom<br />

William Shih - Harvard Univ., Boston, MA<br />

Milan Stojanovic - Columbia Univ., New York, NY<br />

Room: 308<br />

Bio Design Automation<br />

The Fourth International Workshop on Bio-Design Automation (IWBDA) brings<br />

together researchers from the synthetic biology, systems biology, and design<br />

automation communities. The focus is on concepts, methodologies and software<br />

tools for the computational analysis of biological systems and the synthesis of novel<br />

biological systems.<br />

Still in its early stages, the field of synthetic biology has been driven by experimental<br />

expertise; much of its success has been attributable to the skill of the researchers<br />

in specific domains of biology. There has been a concerted effort to assemble<br />

repositories of standardized components. However, creating and integrating synthetic<br />

components remains an ad hoc process. The field has now reached a stage where<br />

it calls for computer-aided design tools. The electronic design automation (EDA)<br />

community has unique expertise to contribute to this endeavor. This workshop offers a<br />

forum for cross-disciplinary discussion, with the aim of seeding collaboration between<br />

the research communities.<br />

Topics of interest include:<br />

• Design methodologies for synthetic biology.<br />

• Standardization of biological components.<br />

• Automated assembly techniques.<br />

• Computer-aided modeling and abstraction techniques.<br />

• Engineering methods inspired by biology.<br />

<strong>DAC</strong> WORKSHOP ON CMOS DESIGN AT 60 GHZ AND BEYOND:<br />

CAPABILITIES AND CHALLENGES<br />

8:30am - 12:30pm Room: 305 Analog/Mixed-Signal/RF Design<br />

Organizers:<br />

Sotiris Bantas - Helic, Inc., San Francisco, CA<br />

Robert Mullen - Taiwan Semiconductor Manufacturing Co., Ltd., San Jose, CA<br />

Speakers:<br />

Robert Mullen - Taiwan Semiconductor Manufacturing Co., Ltd., San Jose, CA<br />

Sohrab Emami - Silicon Image, Inc., Sunnyvale, CA<br />

Sotiris Bantas - Helic, Inc., San Francisco, CA<br />

Georges Gielen - Katholieke Univ. Leuven, Belgium<br />

Sharad Kapur - Integrand Software, Inc., Berkeley Heights, NJ<br />

The anticipated proliferation of multi-gigabit applications in the 60GHz band<br />

(e.g. uncompressed video transmission, rapid file transfers) marks an uptick in<br />

millimeter-wave CMOS design. But are EDA vendors and silicon foundries ready to<br />

resolve the related challenges? This workshop brings together stakeholders from<br />

across the silicon design ecosystem to provide the audience with a comprehensive<br />

treatment of the subject.<br />

The workshop will address the challenges posed by CMOS design in the 60-GHz<br />

band and beyond, as well as the techniques being developed to address them by the<br />

ecosystem of IC designers, silicon providers, EDA tool vendors and research institutions.<br />

Presenters will offer examples from real silicon cases, discuss system-level aspects,<br />

outline design tool methodologies and address areas for further research.<br />

www.<strong>DAC</strong>.com


WORKSHOPS<br />

SUNDAY, JUNE 3<br />

Additional Registration Fees Apply<br />

<strong>DAC</strong> WORKSHOP ON MORE THAN MOORE TECHNOLOGIES<br />

8:30am - 6:00pm<br />

Organizer:<br />

Rasit Topaloglu - IBM Corp., East Fishkill, NY<br />

Speakers:<br />

Kaushik Roy - Purdue Univ., Lafayette, IN<br />

Tim Cheng - Univ. of California, Santa Barbara, CA<br />

Andrew Kahng - Univ. of California at San Diego, La Jolla, CA<br />

Sung Kyu Lim - Georgia Institute of Technology, Atlanta, GA<br />

Yuan Xie - Pennsylvania State Univ., University Park, PA<br />

Yiyu Shi - Missouri Univ. of Science and Technology, Rolla, MO<br />

Paul Franzon - North Carolina State Univ., Raleigh, NC<br />

Mike Garner - Stanford Univ., Stanford, CA<br />

Luca Carloni - Columbia Univ., New York, NY<br />

Rajiv Joshi - IBM Corp., Yorktown Heights, NY<br />

Seung Kang - Qualcomm, Inc., San Diego, CA<br />

Keren Bergman - Columbia Univ., New York, NY<br />

Onur Mutlu - Carnegie Mellon Univ., Pittsburgh, PA<br />

In order to align with system and device performance roadmaps and expectations,<br />

semiconductor devices scale to smaller dimensions with each generation. During<br />

scaling, electrical performance such as drive current needs to improve as well.<br />

While engineers have been successful to help devices scale, various innovations<br />

have been implemented along with algorithmic, computational, modeling solutions<br />

to the problems that they bring along. Examples of such important innovations<br />

that have been most relevant to the EDA and modeling domain have been stress<br />

modeling and optimization since 65 nm or double patterning since 22 nm.<br />

Reaching 10 nm technology may require extreme ultra violet lithography and<br />

there is not much certainty into what may lead us below 10 nm. Even if such<br />

a transition occurs, there seems to be an increasing gap between what can be<br />

achieved with traditional scaling versus system-level expectations. For example,<br />

memory latencies and hierarchies are lagging due to large interconnect delays in<br />

larger systems. Such system-level challenges cannot be targeted by traditional<br />

Moore scaling.<br />

<strong>DAC</strong> WORKSHOP ON COMPUTING IN HETEROGENEOUS,<br />

AUTONOMOUS ‘N’ GOAL-ORIENTED ENVIRONMENTS - (CHANGE)<br />

8:30am - 6:00pm<br />

Organizers:<br />

Marco Santambrogio - Politecnico di Milano, Italy<br />

Hank Hoffmann - Massachusetts Institute of Technology, Boston, MA<br />

Simone Campanoni - Harvard Univ., Boston, MA<br />

Martina Maggio - Lund Univ., Sweden<br />

Speakers:<br />

Satnam Singh - Google, Inc., Mountain View, CA<br />

Jonathan Eastep - Intel Corp., Portland, OR<br />

John Kubiatowicz - Univ. of California, Berkeley, CA<br />

Jian Li - IBM Research - Austin, TX<br />

Xiaoyuan Zhu - VMware, Inc., San Francisco, CA<br />

Heterogeneous, adaptable multicore systems can be considered the established<br />

trend in modern computing architectures. Silicon resources are increasingly<br />

abundant, runtime reconfigurable elements can be combined together with<br />

heterogeneous processing elements and many cores on a chip by processor<br />

designers. Such architectures provide important improvements in system<br />

performance, but also pose new research questions to be answered, i.e. will<br />

current processor interconnection mechanisms scale to thousands of cores? How<br />

can the runtime behavior, which cannot be fully understood at design time, be<br />

captured to be reflected into a physical implementation to extract high performance<br />

from the underlying hardware architecture?<br />

In this scenario, imagine a revolutionary computing system that can observe its<br />

own execution and optimize its behavior around a user’s or application’s needs.<br />

Imagine a programming capability by which users can specify their desired goals<br />

rather than how to perform a task, along with constraints in terms of an energy<br />

budget, accuracy and execution time. Imagine further a computing system that<br />

Room: 309<br />

Room: 307<br />

We propose a workshop with the key goal of discussing about potential More-than-<br />

Moore solutions and modeling and EDA challenges they bring. After identifying<br />

such challenges, we then discuss about potential solutions to such EDA problems.<br />

The workshop enables multiple More-than-Moore solutions to be discussed in the<br />

same place, thereby giving the opportunity to evaluate their impact at the system level.<br />

For this workshop, we have identified three particular areas to focus on based<br />

on their likelihood and potential impact. These are 1) 3-D integration, 2) Novel<br />

memories, and 3) On-chip optics. From each area, we invite leading experts from<br />

industry and academia for presentations. These presentations are followed by a<br />

panel and a poster session, where additional contributions outside our invitee list<br />

will have a chance to be represented.<br />

Presented papers and posters will be considered for a special issue magazine or<br />

journal at a later date.<br />

Panel: “Are Models and Design Flows Ready for More than Moore?”<br />

Panelists:<br />

Samta Bansal - Cadence Design Systems, Inc., San Jose, CA<br />

Herb Reiter - eda2asic Consulting, Inc., San Jose, CA<br />

Seung Kang - Qualcomm, Inc., San Diego, CA<br />

Leon Stok - IBM Corp., Hopewell Junction, NY<br />

Valeriy Sukharev - Mentor Graphics Corp., San Jose, CA<br />

Noel Menezes - Intel Corp., Hillsboro, OR<br />

Emerging Technologies<br />

Emerging Technologies<br />

executes more efficiently the longer it runs an application. Such a system will<br />

enable, for example, a handheld radio or a cell phone that can run cooler the<br />

longer the connection time, a computer-farm that save energy autonomously<br />

based on the computation, a recycling spot that improve its way of managing and<br />

treating different kind of waste the longer it works.<br />

Self-aware computer systems are the key technology to succeed in doing this.<br />

They will be able to configure, heal, optimize, improve interaction and protect<br />

themselves without the need for human intervention, exploiting abilities that<br />

allow them to automatically find the best way to accomplish a given goal with the<br />

resources at hand. Within this context, imagine a revolutionary computing system<br />

that can observe its own execution and optimize its behavior around the external<br />

environment, user’s and application’s needs.<br />

The Self-aware computing research leverages the new balance of resources<br />

to improve performance, utilization, reliability and programmability. Within this<br />

context, the proposed workshop is intended to present innovative works describing:<br />

• Self-aware Operating Systems<br />

• Autonomous self-aware computer architecture<br />

• Adaptive algorithm and distributed self-training algorithms<br />

• Biologically inspired systems<br />

• Surveys and/or prospective papers in self-aware computing systems<br />

www.<strong>DAC</strong>.com 39


WORKSHOPS<br />

SUNDAY, JUNE 3<br />

40<br />

Additional Registration Fees Apply<br />

<strong>DAC</strong> WORKSHOP ON EDA ON PROCESS AUTOMATION<br />

9:00am - 4:00pm<br />

Organizer:<br />

Michael Hübner - Ruhr - Univ. of Bochum, Germany<br />

Speakers:<br />

Joris Pascal - ABB Group, Daetwil, Switzerland<br />

Diana Göhringer - Karlsruhe Institute of Technology, Karlsruhe, Germany<br />

Dagan White - Xilinx, Inc., San Jose, CA<br />

Process automation is a worldwide growing topic in industrial and academic<br />

research and development. Especially the hard constraints for power consumption,<br />

real-time, extreme high reliability and low costs lead to a nearly unlimited design<br />

space which needs to be handled with novel design methodologies from EDA.<br />

Room: 310<br />

YOUNG FACULTY WORKSHOP AT <strong>DAC</strong><br />

Organizers:<br />

Steven Levitan - Univ. of Pittsburgh, PA<br />

Soha Hassoun - Tufts Univ., Medford, MA<br />

Kartik Mohanram - Univ. of Pittsburgh, PA<br />

This is a special workshop organized for current, or soon to be, young faculty in<br />

the fields of electronic design automation (EDA). The workshop will be organized<br />

as presentations by EDA senior professionals around six themes, with additional<br />

opportunities to network with some of the established researchers and funding<br />

officers in the field of Electronic Design Automation.<br />

www.<strong>DAC</strong>.com<br />

Embedded Architecture & Platforms<br />

This workshop is about the latest technologies for highly energy efficient hardware<br />

and the required design tools. Design and technology in process automation is at<br />

its limit. Novel technologies coming from ultra low power multicore technologies,<br />

also reconfigurable hardware are a relevant topic in industrial and academic<br />

research and development.<br />

The design space, even if limited by hard constraints, are no longer manageable<br />

by the designer. Especially if also novel processor cores, sometimes a number<br />

of heterogeneous cores are used to run a system. Hardware / software codesign<br />

will be in future a topic in this domain and opens a variety of scientific questions<br />

to be answered.<br />

9:00am - 6:00pm Room: 301 Other<br />

THURSDAY, JUNE 7<br />

The themes this year include: Getting an Academic Job, Research - papers,<br />

conferences and grants, The NSF proposal process for CAREER and other<br />

programs, Teaching - Best practices, Special Issues and a “Speed Networking”<br />

lunch event. There is a limited budget for travel support for attendees.<br />

Visit <strong>DAC</strong> website for additional event details.<br />

<strong>DAC</strong> WORKSHOP ON POST-SILICON DEBUG:<br />

TECHNOLOGIES, METHODOLOGIES, AND BEST-PRACTICES<br />

9:00am - 3:00pm Room: 309 Verification and Test<br />

Moderator:<br />

Harry Foster - Mentor Graphics Corp., Plano, TX<br />

Organizer:<br />

Amir Nahir - IBM Haifa Research Lab., Haifa, Israel<br />

Speakers:<br />

Alan Hu - Univ. of British Columbia, Vancouver, BC, Canada<br />

Subhasish Mitra - Stanford Univ., Stanford, CA<br />

Valeria Bertacco - Univ. of Michigan, Ann Arbor, MI<br />

Sharad Kumar - Freescale Semiconductor, Inc., Noida, India<br />

Bradley Quinton - Tektronix, Inc., Vancouver, BC, Canada<br />

Eric Rentschler - Advanced Micro Devices, Inc., Fort Collins, CO<br />

Keshavan Tiruvallur - Intel Corp., Portland, OR<br />

Nagib Hakim - Intel Corp., Santa Clara, CA<br />

Kevin Reick - IBM Corp., Austin, TX<br />

Wisam Kadry - IBM Haifa Research Lab., Haifa, Israel<br />

As the complexity of digital systems grow, designing and manufacturing a fully<br />

functional system becomes harder and harder. Despite on-going improvements<br />

to pre-silicon verification practices and technologies, they alone can no longer<br />

meet the verification goals. As a result, a significant part of the verification is now<br />

executed on actual silicon, as part of the system’s bring-up.<br />

special interest group on<br />

design automation<br />

Performing verification on real silicon introduces new and different challenges.<br />

On the one hand, real silicon offers great execution speed; on the other hand,<br />

it is lacking the controllability and observability which serve an important role in<br />

pre-silicon verification.<br />

The lack of observability into the design makes the task of debugging a fail<br />

observed in the lab to be of extreme complexity. Current practice significantly<br />

relies on designer expertise, tremendous labor, and is in many cases - pure luck.In<br />

recent years several methods and mechanisms have been proposed for the sake<br />

of easing the debug task. Since adding debug logic to the actual silicon is costly<br />

(in area and power), this brings about the challenge of determining the optimal way<br />

of instrumenting the design for silicon debug while using the minimal amount of<br />

required additions to the logic.<br />

This workshop brings together experts from academia and industry to present<br />

different instrumentation strategies, as well as the methods of using the data<br />

collected by the debug logic to facilitate fast and efficient debug.


ROUTABILITY-DRIVEN PLACEMENT<br />

CONTEST POSTER PRESENTATION<br />

WEDNESDAY, JUNE 6 - 6:00 - 7:00pm - Esplanade Foyer<br />

1. Team Name: Ripple<br />

Team Affiliation: The Chinese University of Hong Kong<br />

Team Members: Xu He, Tao Huang, Wing-Kai,<br />

Chow, Yuan Jiang, Evangeline F.Y. Young<br />

2. Team Name: mPL12<br />

Team Affiliation: UCLA / Beijing University<br />

Team Members: Jason Cong, Guojie Luo, Kalliopi Tsota, Bingjun Xiao<br />

3. Team Name: SimPLR<br />

Team Affiliation: The University of Michigan, Ann Arbor<br />

Team Members: Myung-Chul Kim, Jin Hu, Igor Markov<br />

4. Team Name: NTUplace4<br />

Team Affiliation: National Taiwan University<br />

Team Members: Meng-Kai Hsu, Yao-Wen Chang<br />

5. Team Name: NCKU-Placer<br />

Team Affiliation: National Cheng Kung University<br />

Team Members: Chung-Lin Lee, Sheng-Wen<br />

Chen, Kai-Chung Chan, Jing-Chang Wang<br />

6. Team Name: VDAPlace<br />

Team Affiliation: National Chiao Tung University<br />

Team Members: Sean Shih-Ying Liu, Ching-Yu Chin, Sheng-De Hu<br />

Co-Sponsored by:<br />

7. Team Name: LUCASTE_PDT<br />

Team Affiliation: Politecnico di Torino<br />

Team Members: Luca Sterpone<br />

8. Team Name: Allecon<br />

Team Affiliation: Tsinghua University<br />

Team Members: Zhongdong Qi, Wenchao Gao, Sifei Wang, Zekun Wu<br />

9. Team Name: UIPlacer<br />

Team Affiliation: University of Illinois at Urbana-Champaign<br />

Team Members: Haitong Tian, Zigang Xiao<br />

10. Team Name: Goal<br />

Team Affiliation: Department of Computer Science,<br />

National Chiao Tung University<br />

Team Members: Tsung-Han Wu, Shih-Tsang Liao, Ke-Ren Dai<br />

11. Team Name: NCUplacer<br />

Team Affiliation: Department of Electrical<br />

Engineering, National Central University<br />

Team Members: Tai-Chen Chen, Kuo-Ting Liu, Pei-Yu Lee<br />

www.<strong>DAC</strong>.com 41


WORK-IN-PROGRESS (WIP)<br />

WEDNESDAY, JUNE 6 6:00 - 7:00pm Esplanade Foyer<br />

Chair:<br />

Tajana Simunic - Univ. of California at San Diego, La Jolla, CA<br />

55.1 CaaS: Core as a ServiceBring SOA to<br />

Reconfigurable MPSoC for Chip Level Parallelization<br />

Chao Wang, Peng Chen, Xi Li, Junneng Zhang, Xiaojing Feng, Xuehai<br />

Zhou - Univ. of Science and Technology of China, Suzhou, China<br />

55.2 TSV-Based On-Chip Spiral Inductor and<br />

Near-Field Wireless Communications<br />

Khaled Mohamed, Alaa E. El-Rouby - Mentor<br />

Graphics Corp., Cairo, Egypt<br />

Yehea Ismail, Hani Ragai - American Univ. of Cairo, Egypt<br />

55.3 Splogd: An Open Source Hardware Description<br />

Language for Android OS Platform<br />

Anirban Chatterjee - BITS Pilani, Bangalore, India<br />

55.4 Systematic Comparison of Analog Circuits<br />

Through Dual Topological-Symbolic Matching<br />

Alex Doboli, Cristian Ferent - State Univ.of New York,<br />

Stony Brook, NY<br />

55.5 Two New Finite-State Machine-Based Topologies for<br />

Synthesizing Target Functions in Stochastic Computing<br />

Peng Li, David J. Lilja, Marc Riedel, Kia Bazargan<br />

- Univ. of Minnesota, Minneapolis, MN<br />

Weikang Qian - Shanghai Jiao Tong Univ., Shanghai, China<br />

55.6 A Multicore Architecture for Control and Emulation<br />

of Power Electronics and Smart Grid Systems<br />

Michel A. Kinsy, Jason Poon, Ivan Celanovic, Omer Khan, Srinivas<br />

Devadas - Massachusetts Institute of Technology, Cambridge, MA<br />

55.7 Addressing FPGA Design, Verification, and Debug<br />

Productivity Challenges through Increased Abstraction<br />

Bradley R. Quinton, Steven Wilton - Tektronix, Inc.,<br />

Vancouver, BC, Canada<br />

Eddie Hung - Univ. of British Columbia, Vancouver, BC, Canada<br />

55.8 Breaking the Curse of High Dimensionality: An Efficient<br />

Rare-Event Estimation Algorithm in High Dimension<br />

Yiyu Shi - Missouri Univ. of Science and Technology, Rolla, MO<br />

Fang Gong, Lara Dolecek, Lei He - Univ. of California,<br />

Los Angeles, CA<br />

55.9 Co-Synthesis of Data Paths and Clock<br />

Control Paths for Clock Period Minimization<br />

Wen-Pin Tu, Shih-Hsu Huang, Chun-Hua Cheng -<br />

Chung Yuan Christian Univ., Chung Li, Taiwan<br />

55.10 ODT - Dependence Tracking in Multicore<br />

Processors for Post-Silicon Debug<br />

Matteo Monchiero, Intel Corp., Santa Clara, CA<br />

Javier Carretero Casado,Tanausu Ramirez, Enric Herrero, Xavier Vera<br />

- Intel Corp., Barcelona, Spain<br />

Charan Sundararaman - Intel Corp., Hillsboro, OR<br />

55.11 Register and Thread Parallelism<br />

Optimization for GPGPU<br />

Yun Liang, Zheng Cui, Kyle Rupnow - Advanced<br />

Digital Sciences Center, Singapore<br />

Deming Chen - Univ. of Illinois at Urbana-Champaign, Urbana, IL<br />

42<br />

www.<strong>DAC</strong>.com<br />

55.12 A Regression-Based Entropy Distiller for RO PUFs<br />

Chi-En Yin, Gang Qu - Univ. of Maryland, College Park, MD<br />

55.13 LASIC: Loop-Aware Sleepy Instruction<br />

Caches Based on STT-RAM Technology<br />

Junwhan Ahn, Kiyoung Choi - Seoul National Univ.,<br />

Seoul, Republic of Korea<br />

55.14 Vertical Arbitration-free 3-D NoCs<br />

Ankit More, Baris Taskin - Drexel Univ., Philadelphia, PA<br />

55.15 Compiler Techniques for Energy<br />

Efficiency and Real-Time Guarantees<br />

Emilio Wuerges, Romulo Silva de Oliveira, Luiz C.V. Santos<br />

- Univ. Federal de Santa Catarina, Florianopolis, Brazil<br />

55.16 Extend Amdahl?s Law to Achieve Loading<br />

Balance in Heterogeneous On-Chip Clusters<br />

Chao Wang, Xi Li, Junneng Zhang, Xuehai Zhou - Univ.<br />

of Science and Technology of China, Suzhou, China<br />

55.17 Segmented and Adaptive NoC Architecture for<br />

Multi-Systems on Chip with Scalable Multicore<br />

Hsiang-Jen Tsai, Tien-Fu Chen, Chien-Chih Chen<br />

- National Chiao Tung Univ., HsinChu, Taiwan<br />

55.18 Using a Hardware Description Language as an<br />

Alternative to Printed Circuit Board Schematic Capture<br />

Bradley Riching, Brent E. Nelson, Richard Black -<br />

Brigham Young Univ., Provo, UT<br />

55.19 Towards Symbolic Loop Parallelization<br />

for Tightly-Coupled Processor Arrays<br />

Frank Hannig, Alexandru Tanase, Jürgen Teich -<br />

Univ. of Erlangen-Nuremberg, Germany<br />

55.20 Interactive Host-Assisted Execution<br />

Framework for Wireless Sensor Nodes<br />

Ahmad Abiri, Pai H. Chou - Univ. of California, Irvine, CA<br />

55.21 TinySPICE: A Parallel SPICE Simulator on GPU<br />

for Massively Repeated Small Circuit Simulations<br />

Lengfei Han, Xueqian Zhao, Zhuo Feng - Michigan<br />

Technological Univ., Houghton, MI<br />

55.22 Domain Wall Memory Based Cache<br />

Rangharajan Venkatesan, Vivek Kozhikkottu, Kaushik Roy,<br />

Anand Raghunathan - Purdue Univ., West Lafayette, IN<br />

Charles Augustine, Arijit Raychowdhury - Intel Corp., Hillsboro, OR<br />

55.23 Symbolic Analysis-Based Iterative<br />

Memory Partitioning for Generating Custom,<br />

Multi-Level Cache Hierarchies<br />

Emre Kultursay, Mahmut Kandemir - Pennsylvania<br />

State Univ., University Park, PA<br />

Kemal Ebcioglu - Global Supercomputing, Yorktown Heights, NY


WORK-IN-PROGRESS (WIP)<br />

WEDNESDAY, JUNE 6 6:00 - 7:00pm Esplanade Foyer<br />

55.24 Pin Density-Driven Placement for<br />

Routing Congestion Reduction<br />

Kalliopi Tsota, Jason Cong, Bingjun Xiao -<br />

Univ. of California, Los Angeles, CA<br />

Guojie Luo - Peking Univ., Beijing, China<br />

55.25 Relaxing Writes in Non-Volatile Processor<br />

Cache using Frequent Value Locality<br />

Mohammad Arjomand, Hamid Sarbazi-Azad,<br />

Amin Jadidi - Sharif Univ. of Technology, Tehran, Iran<br />

55.26 A Fine-Grained Dynamic Power Management<br />

of DRAM/PRAM-Based Main Memory<br />

Hyunsun Park, Sungjoo Yoo - Pohang Univ. of Science<br />

and Technology, Pohang, Republic of Korea<br />

55.27 Retiming for Soft Error Optimization<br />

Under Error-Latching Window Constraints<br />

Yinghai Lu - Synopsys, Inc., Mountain View, CA<br />

Hai Zhou - Northwestern Univ., Evanston, IL<br />

55.28 ARGO: An Application-Specific Run-Time Goal<br />

Management Framework for Multiprocessors Architectures<br />

Vittorio Zaccaria, Cristina Silvano, Vincenzo Consales, Gianluca<br />

Palermo, Andrea Di Gesare, Iyad Al Khatib - Politecnico di Milano, Italy<br />

Chantal Ykman-Couvreur - IMEC, Leuven, Belgium<br />

55.29 On Flexible Trace Interconnection<br />

Fabric Design for Silicon Debug<br />

Qiang Xu, Xiao Liu - The Chinese Univ. of Hong Kong,<br />

Shatin, Hong Kong<br />

55.30 Towards Cost Effective Multi-Core Processor<br />

Platforms Using 3-D Stacking Technology<br />

Alessandro Cevrero, Giulia Beanato, Panagiotis<br />

Athanasopoulos, Yusuf Leblebici - Ecole Polytechnique<br />

Fédérale de Lausanne, Switzerland<br />

55.31 DMR3D: Dynamic Memory Relocation<br />

in 3-D Multicore Systems<br />

Koushik Chakraborty, Dean Michael Ancajas,<br />

Sanghamitra Roy - Utah State Univ., Logan, UT<br />

55.32 Parallel Preconditioners Based on Fast Poisson<br />

Solvers for Efficient Large-Scale Power Grid Analysis<br />

Konstantis Daloukas, Maria-Aikaterini Rammou, George A.<br />

Drasidis, Michalis Tsiampas, Nestoras Evmorfopoulos, Panagiota<br />

Tsompanopoulou, George Stamoulis - Univ. of Thessaly, Volos, Greece<br />

55.33 Interval Arithmetic Based Input Vector Control<br />

for RTL Subthreshold Leakage Minimization<br />

Shilpa Pendyala, Srinivas Katkoori - Univ. of South Florida, Tampa, FL<br />

55.34 QoS-Aware Real-Time Scheduling<br />

for Energy Harvesting Systems<br />

Hessam Kooti, Nga Dang, Deepak Mishra, Eli Bozorgzadeh -<br />

Univ. of California, Irvine, CA<br />

55.35 A Multi-Controller Design for Solid-State Drives<br />

Chin-Hsien Wu, Jhih-Jian Liao -<br />

National Taiwan Univ. of Science and Technology, Taipei, Taiwan<br />

55.36 Automatic TLM Model Generation for<br />

Cycle-Count-Accurate Bus Simulation<br />

Chen-Kang Lo, Mao-Lin Li, Shu-Yung Chen, Ren-Song<br />

Tsay - National Tsing Hua Univ., HsinChu, Taiwan<br />

Jen-Chieh Yeh - Industrial Technology Research Institute,<br />

Hsinchu, Taiwan<br />

55.37 An RSM-Guided Exploration Framework<br />

for Custom Coprocessor Synthesis<br />

Vittorio Zaccaria, Cristina Silvano, Sotirios Xydis,<br />

Gianluca Palermo - Politecnico di Milano, Italy<br />

55.38 A Design Space Exploration Prototype for<br />

Run-Time Support on Manycore Architectures<br />

Cristina Silvano, Vittorio Zaccaria, Sotirios Xydis, Gianluca<br />

Palermo, Iyad Al Khatib - Politecnico di Milano, Italy<br />

Chantal Ykman-Couvreur - IMEC, Leuven, Belgium<br />

Alex Bartzas, Dimitrios Soudris - National<br />

Technical Univ. of Athens, Greece<br />

55.39 A Methodology for the High-Level<br />

Synthesis of Iterative Algorithms<br />

Alessandro A. Nacci, Francesco Bruschi, Vincenzo Rana -<br />

Politecnico di Milano, Italy<br />

55.40 VeriTrust: Verification for Hardware Trust<br />

Jie Zhang, Qiang Xu, Feng Yuan - The Chinese<br />

Univ. of Hong Kong, Shatin, Hong Kong<br />

55.41 Scalable Parallel Event-Driven<br />

HDL Simulation for Multi Cores<br />

Seiyang Yang - Pusan Univ., Pusan, Republic of Korea<br />

Tariq B. Ahmad, Maciej Ciesielski -<br />

Univ. of Massachusetts, Amherst, MA<br />

Namdo Kim, Byeong Min - Samsung, Yongin-City, Republic of Korea<br />

Apurva Kalia - Cadence Design Systems, Inc., Noida, India<br />

55.42 Electro-Thermal Analysis of 3-D<br />

Power Delivery Networks<br />

Aida Todri, Patrick Girard, Alberto Bosio, Luigi Dillilo,<br />

Arnaud Virazel - LIRMM, Montpellier, France<br />

Sandip Kundu - Univ. of Massachusetts, Amherst, MA<br />

55.43 Automated Architectural Synthesis of Flow-<br />

Based Microfluidic Large-Scale Integration Biochips<br />

Wajid H. Minhass, Paul Pop, Jan Madsen -<br />

Technical Univ. of Denmark, Kongens Lyngby, Denmark<br />

55.44 Efficient Software-Based Fault Tolerance<br />

Approach on Multicore Platforms<br />

Koen Bertels, Hamid Mushtaq - Delft Univ. of Technology,<br />

Delft, The Netherlands<br />

55.45 Detecting Delay Faults with Logic Implications<br />

Kundan Nepal - Univ. of St. Thomas, St. Paul, MN<br />

Jennifer Dworak - Southern Methodist Univ., Dallas, TX<br />

Iris Bahar - Brown Univ., Providence, RI<br />

55.46 A Virtual Write Buffer in Last Level<br />

Cache for STT-RAM Based Main Memory<br />

Younggeun Choi, Sungjoo Yoo - Pohang Univ. of Science<br />

and Technology, Pohang, Republic of Korea<br />

www.<strong>DAC</strong>.com 43


WORK-IN-PROGRESS (WIP)<br />

WEDNESDAY, JUNE 6 6:00 - 7:00pm Esplanade Foyer<br />

55.47 Layout Small-Angle Rotation and<br />

Shift for EUV Defect Mitigation<br />

Hongbo Zhang, Yuelin Du, Martin D. F. Wong - Univ.<br />

of Illinois at Urbana-Champaign, Urbana, IL<br />

Yunfei Deng - GLOBALFOUNDRIES, Sunnyvale, CA<br />

Pawitter Mangat - GLOBALFOUNDRIES, Malta, NY<br />

55.48 Detecting Systematic Defects with<br />

Diagnosis-Based Comparison<br />

Bharath Seshadri, Puneet Gupta, Bruce Cory<br />

- NVIDIA Corp., Santa Clara, CA<br />

55.49 Exploiting the Task-Pipelined Parallelism<br />

of Stream Programs on Many-Core GPUs<br />

Shuai Mu, Yubei Chen, Yangdong Deng -<br />

Tsinghua Univ., Beijing, China<br />

Dongdong Li - Beihang Univ., Beijing, China<br />

55.50 Multi-Target Design Space Exploration<br />

for FPGA-Based Multiprocessors<br />

Peng Chen, Chao Wang, Xi Li, Junneng Zhang,<br />

Xiaojing Feng, Xuehai Zhou - Univ. of Science<br />

and Technology of China, Suzhou, China<br />

55.51 Game-Driven Discovery of New Mapping<br />

Strategies for Custom Domain-Specific Architectures<br />

Gayatri Mehta, Samuel Cook, Krunalkumar Patel, Brandon<br />

J. Rodgers, Anil Yadav - Univ. of North Texas, Denton, TX<br />

Carson Crawford - Univ. of Nebraska, Lincoln, NE<br />

Adeola Odunsi - Jackson State Univ., Decatur, IL<br />

55.52 SIMD Performance and Yield Optimization<br />

with Multi-Granularity Redundancy<br />

Brett H. Meyer - McGill Univ., Montréal, QC, Canada<br />

Daniel A. Epstein, Kevin Skadron - Univ. of Virginia, Charlottesville, VA<br />

55.53 Single Pin Redundancy for<br />

Robust Power Grid Delivery<br />

Sheldon Logan, Matthew Guthaus - Univ. of California,<br />

Santa Cruz, CA<br />

55.54 Emulating Analog/Mixed-Signal Circuits with a<br />

45nm Discrete-Sized Programmable Device Array<br />

Naveen Suda, Cheng Xu, Jounghyuk Suh, Yu Cao,<br />

Bertan Bakkaloglu - Arizona State Univ., Tempe, AZ<br />

Nagib Hakim - Intel Corp., Santa Clara, CA<br />

55.55 OpenMP-Based Synergistic Parallelization<br />

and Hardware Acceleration for On-Chip<br />

Multi-Core Shared-Memory Clusters<br />

Andrea Marongiu, Paolo Burgio, Luca Benini - Univ. di Bologna, Italy<br />

Cyrille Chavet, Dominique Heller, Philippe Coussy<br />

- Univ. de Bretagne SUD, Lorient, France<br />

55.56 Deadline-Constrained Scheduling of Charge Migration<br />

Tasks in Hybrid Electrical Energy Storage Systems<br />

Di Zhu, Qing Xie, Yangzhi Wang, Massoud Pedram -<br />

Univ. of Southern California, Los Angeles, CA<br />

Younghyun Kim, Naehyuck Chang - Seoul<br />

National Univ., Seoul, Republic of Korea<br />

44<br />

www.<strong>DAC</strong>.com<br />

55.57 Kendall Syndrome Coding (KSC) for Group-Based<br />

Ring-Oscillator Physical Unclonable Functions<br />

Chi-En Yin, Gang Qu - Univ. of Maryland, College Park, MD<br />

55.58 Bias Runaway: An Emerging Reliability Threat<br />

in Scaled Analog and Mixed Signal Design<br />

Ketul B. Sutaria, Jyothi B. Velamala, Sule Ozev,<br />

Yu Cao - Arizona State Univ., Tempe, AZ<br />

55.59 InTimeFix: A Low-Cost and Scalable Technique<br />

for In-Situ Timing Error Masking in Logic Circuits<br />

Feng Yuan, Qiang Xu - The Chinese Univ. of Hong Kong,<br />

Shatin, Hong Kong<br />

55.60 Self-Aligned Double and Quadruple<br />

Patterning Aware Grid Routing Methods<br />

Chikaaki Kodama, Hirotaka Ichikawa, Koichi Nakayama,<br />

Toshiya Kotani, Shigeki Nojima, Shoji Mimotogi, Shinji<br />

Miyamoto - Toshiba Corp., Yokohama, Japan<br />

55.61 Redundancy and ECC Mechanisms to Improve<br />

Energy Efficiency of On-Die Interconnects<br />

Alaa R. Alameldeen - Intel Corp., Hillsboro, OR<br />

Amr Helmy - American Univ. of Cairo, Egypt<br />

55.62 Modeling and Synthesis of Energy-<br />

Optimal Approximate Adders<br />

Jin Miao, Ku He, Andreas Gerstlauer, Michael Orshansky -<br />

Univ. of Texas, Austin, TX<br />

55.63 The Effects of Temperature on<br />

Timing in 3-D Integrated Circuits<br />

Sravan K. Marella, Sanjay V. Kumar, Sachin S.<br />

Sapatnekar - Univ. of Minnesota, Minneapolis, MN<br />

55.64 Efficient Retention Register<br />

Assignment for Power Gated Designs<br />

Yiyu Shi - Missouri Univ. of Science and Technology, Rolla, MO<br />

Yu-Guang Chen, Kuan-Yu Lai, Shih-Chieh Chang -<br />

National Tsing Hua Univ., Hsinchu, Taiwan<br />

55.65 A Fair Main Memory Subsystem for CPU/GPU<br />

Sungkwang Lee, Sungjoo Yoo - Pohang Univ. of Science<br />

and Technology, Pohang, Republic of Korea<br />

55.66 Watermark-Based Identification and Implementation<br />

Efficiency of IP Embedded Processor Cores<br />

Jedrzej J. Kufel, Peter R. Wilson, Bashir Al-Hashimi<br />

- Univ. of Southampton, United Kingdom<br />

Stephen Hill - ARM, Ltd., Cambridge, United Kingdom<br />

55.67 Hybrid 3-D IC Cooling System Using<br />

Micro-Fluidic Cooling and Thermal TSVs<br />

Ankur Srivastava, Bing Shi - Univ. of Maryland, College Park, MD


ADJUNCT EVENTS<br />

IEEE CEDA PRESENTS: DIGITAL ANALOG DESIGN<br />

Tuesday, June 5 - 11:45am - 1:30pm<br />

Organizer:<br />

Joel Phillips - Cadence Design Systems, Inc., Berkeley, CA<br />

Speaker:<br />

Mark Horowitz - Stanford Univ., Stanford, CA<br />

Room: 303<br />

The past 30 years have seen an enormous growth in the power and sophistication<br />

of digital design tools, while progress in analog tools has been much more modest.<br />

Digital tools use many abstractions to allow them to validate that implementations<br />

match the functional models and the composition of cells matches the composition<br />

of the functional models.<br />

While there are many reasons why this is more difficult for analog circuits, it can be<br />

done. To prove this point, this talk presents how to leverage the fact that the result<br />

surface of analog designs is smooth to create ways to formally validate analog<br />

models to instances, define analog fault models, and even efficiently explore the<br />

effect of process variations.<br />

15TH ANNUAL SIGDA PH.D. FORUM/MEMBER MEETING<br />

Tuesday, June 5 - 7:00pm - 8:30pm<br />

Room: 303<br />

SIGDA invites you to attend our 15th annual Ph.D. Forum and Member Meeting<br />

at <strong>DAC</strong> 2012. SIGDA members are invited, as are all members of the EDA<br />

Community. We will begin with an overview of SIGDA programs, including newly<br />

created programs, followed by the presentation of this year’s ACM/SIGDA Awards.<br />

General Interest<br />

However, the main focus of the meeting will be the Ph.D. Forum. Aimed at<br />

strengthening ties between academia and industry, students will present posters<br />

and discuss their Ph.D. dissertation research with interested attendees. The Ph.D.<br />

Forum gives students feedback on their research and gives the EDA community a<br />

preview of work in progress. Light refreshments will be served.<br />

25TH ACM SIGDA UNIVERSITY BOOTH AT THE 49TH<br />

DESIGN AUTOMATION CONFERENCE<br />

Tuesday, June 5 - Wednesday, June 6<br />

10:00am - 5:00pm<br />

Booth Coordinators:<br />

Naehyuck Chang<br />

Baris Taskin<br />

Joe Zambreno<br />

South Lobby<br />

General Interest<br />

General Interest<br />

This year marks the 25th University Booth at the Design Automation Conference.<br />

The booth is an opportunity for university researchers to display their results<br />

and to interact with participants at <strong>DAC</strong>. Presenters and attendees at <strong>DAC</strong> are<br />

especially encouraged to participate, but participation is open to all members of<br />

the university community. The demonstrations include new EDA tools, EDA tool<br />

applications, design projects, and instructional materials.<br />

www.<strong>DAC</strong>.com 45


COLOCATED CONFERENCES<br />

Additional Registration Fees Apply<br />

In addition to <strong>DAC</strong>’s comprehensive technical program, there are other conferences hosting their events<br />

at <strong>DAC</strong>. There is a separate registration fee to attend these meetings. If you are attending one of the<br />

conferences below, your registration does include entrance to the <strong>DAC</strong> Exhibit Hall Monday-Wednesday.<br />

Please note that a <strong>DAC</strong> Conference Registration does not include the colocated conferences.<br />

INTERNATIONAL WORKSHOP ON LOGIC<br />

AND SYNTHESIS (IWLS)<br />

Friday, June 1- Sunday, June 3:<br />

8:00am - 6:00pm<br />

Organizers:<br />

Ilya Wagner - Intel Corp., Hillsboro, OR<br />

Philip Brisk - Univ. of California, Riverside, CA<br />

The International Workshop on Logic and Synthesis is the premier forum for<br />

research in synthesis, optimization, and verification of integrated circuits.<br />

Research on logic synthesis for emerging technologies and for novel computing<br />

platforms, such as nanoscale systems and biological systems, is also strongly<br />

encouraged. The workshop accepts complete papers as well as abstracts,<br />

highlighting important new problems in the early stages of development, without<br />

providing complete solutions. The emphasis is on novelty and intellectual rigor.<br />

Univ. of California, Berkeley<br />

ESLsyn 2012 - THE 2012 ELECTRONIC SYSTEM<br />

LEVEL SYNTHESIS CONFERENCE<br />

Saturday, June 2 - Sunday, June 3:<br />

9:00am - 5:00pm<br />

Organizers:<br />

Philippe Coussy - Lab-STICC, Lorient Cedex, France<br />

Sandeep Shukla - Virginia Polytechnic Institute and<br />

State University, Blacksburg, VA<br />

Jens Brandt - Technische Univ. Kaiserslautern, Germany<br />

Achim Rettberg - Univ. of Oldenburg, Germany<br />

Adam Morawiec - ECSI, Gieres, France<br />

The ever-increasing need for enhanced productivity in designing highly complex<br />

electronic systems drives the evolution of design methods beyond the traditional<br />

approaches. Virtual prototyping, design space exploration and system synthesis<br />

with the goal of optimized and functionally correct product implementations are<br />

needed for designing both HW and SW parts. ESL design does not only provide<br />

system architects with the right tools to make the right decisions about the system<br />

High-Level and Logic Synthesis<br />

Topics of interest include (but are not limited to): synthesis and optimization;<br />

power and timing analysis; testing, validation and verification; architectures and<br />

compilation; and design experiences. Submissions on modeling, analysis and<br />

synthesis for emerging technologies and platforms are also encouraged. Both<br />

complete papers as well as extended abstracts highlighting new problems and<br />

new topics of research are welcomed. Only original and previously unpublished<br />

material is permitted.<br />

Accepted papers are distributed only to IWLS participants. The workshop format<br />

includes paper presentations, posters, invited talks, social lunch and dinner<br />

gatherings, and recreational activities.<br />

architecture, it includes the methodologies and techniques that correlate the ESL<br />

model. A well-connected ESL-to-implementation design flow is needed.<br />

The system design teams expect newer and more efficient methods and tools<br />

supporting better management of the design complexity and reduction of the<br />

design cycle time all together, breaking the trend to compromise on the evaluation<br />

of various design implementation options. Designing at higher levels of abstraction<br />

is a viable way to better cope with the system design complexity, to verify earlier in<br />

the design process and to increase code reuse.<br />

The Electronic System Level Synthesis Conference ESLsyn focuses on automated<br />

system design methods that enable efficient modelling of systems to provide<br />

the capability to synthesize HW platforms and embedded software with particular<br />

aspects related to synthesis.<br />

SYSTEM-LEVEL INTERCONNECT PREDICTION (SLIP)<br />

Sunday, June 3: 8:00am - 5:00pm<br />

Organizers:<br />

Deming Chen - Univ. of Illinois at Urbana-Champaign, Urbana, IL<br />

Mustafa Ozdal - Intel Corp., Portland, OR<br />

Rasit Topaloglu - IBM Corp., New York, NY<br />

Room: 303<br />

Room: 300<br />

The 14th International Workshop of System Level Interconnect Prediction (SLIP)<br />

will be colocated with the 49th IEEE/ACM Design Automation Conference on June<br />

3, 2012 at the Moscone Center, San Francisco, California.<br />

The general technical scope of the workshop is the design, analysis and prediction<br />

of interconnect and communication fabrics in electronic systems. The workshop<br />

themes would include keynote speech, regular paper sessions with paper<br />

System Level Design and Communication<br />

System Level Design and Communication<br />

discussion panels, interactive poster sessions, panels on hot research topics, and<br />

embedded tutorials and invited talks.<br />

Representative technical topics include, but are not limited to: interconnect<br />

prediction and optimization at various IC design stages, interconnect design<br />

challenges and system-level NoC design, design and analysis of power and clock<br />

networks, interconnect architecture of structural designs and FPGAs, interconnect<br />

fabrics of many-core architectures, design-for-manufacturing (DFM) techniques for<br />

interconnects, high speed chip-to-chip interconnect design, design and analysis of<br />

chip-package interfaces, interconnect topologies of multiprocessor systems, 3-D<br />

interconnect design and prediction, emerging interconnect technologies, sensor<br />

networks, and synergies between chip communication networks and networks<br />

arising in other contexts such as social networks, system biology, etc.<br />

IEEE INTERNATIONAL SYMPOSIUM ON HARDWARE-<br />

ORIENTED SECURITY AND TRUST (HOST 2012)<br />

Sunday, June 3 - Monday, June 4:<br />

8:30am - 6:00pm<br />

Room: 304<br />

General Interest<br />

For example, secure electronic designs may be affected by malicious circuits,<br />

Organizers:<br />

Trojans that alter system operation. Furthermore, dedicated secure hardware<br />

Ken Mai - Carnegie Mellon Univ., Pittsburgh, PA<br />

implementations are susceptible to novel forms of attack that exploit side-channel<br />

Ramesh Karri - Polytechnic Institute of New York Univ., New York, NY<br />

leakage and faults. Third, the globalized, horizontal semiconductor business model<br />

A wide range of applications, from secure RFID tagging to high-end trusted<br />

raises concerns of trust and intellectual-property protection.<br />

computing, relies on dedicated and trusted hardware platforms. The security HOST 2012 is a forum for novel solutions to address these challenges. Innova-<br />

and trustworthiness of such hardware designs are critical to their successful tive test mechanisms may reveal Trojans in a design before they are able to<br />

deployment and operation.<br />

do harm. Implementation attacks may be thwarted using side-channel resistant<br />

Recent advances in tampering and reverse engineering show that important design or fault-tolerant designs. New security- aware design tools can assist a<br />

challenges lie ahead.<br />

designer in implementing critical and trusted functionality, quickly and efficiently.<br />

46<br />

www.<strong>DAC</strong>.com


COLOCATED CONFERENCES<br />

Additional Registration Fees Apply<br />

In addition to <strong>DAC</strong>’s comprehensive technical program, there are other conferences hosting their events<br />

at <strong>DAC</strong>. There is a separate registration fee to attend these meetings. If you are attending one of the<br />

conferences below, your registration does include entrance to the <strong>DAC</strong> Exhibit Hall Monday-Wednesday.<br />

Please note that a <strong>DAC</strong> Conference Registration does not include the colocated conferences.<br />

IEEE DESIGN FOR MANUFACTURING AND<br />

YIELD (DFM&Y) WORKSHOP<br />

Monday, June 4 - 8:30am - 7:00pm<br />

Organizers:<br />

Nagaraj NS - Texas Instruments, Inc., Dallas, TX<br />

Amith Singhee - IBM T.J. Watson Research Ctr., Yorktown Heights, NY<br />

Will Conley - Dynamic Intelligence, Austin, TX<br />

Increased manufacturing susceptibility in today’s nanometer technologies<br />

requires up to date solutions for yield optimization. In fact, designing an<br />

SoC for manufacturability and yield aims at improving the manufacturing<br />

process and consequently its yield by enhancing communications across the<br />

“design”manufacturing interface.<br />

A wide range of Design-for-Manufacturability (DFM), Design-for-Yield (DFY) and<br />

Design-for-Test (DFT) methodologies and tools are proposed today. Some of<br />

SI2 ROUND-UP @ <strong>DAC</strong>: STANDARDS IN ACTION<br />

Monday, June 4 - 9:00am - 6:00pm<br />

Organizers:<br />

Sumit DasGupta - Si2, Austin, TX<br />

Nick English - Si2, Austin, TX<br />

Jake Buurma - Si2, Austin, TX<br />

Speaker(s):<br />

Jim Culp - IBM Corp., Hopewell Junction, NY<br />

Vito Dai - GLOBALFOUNDRIES, Sunnyvale, CA<br />

S.W. Paek - Samsung, Yongin-City, Republic of Korea<br />

Concetta Riccobene - LSI Corp., San Jose, CA<br />

Cathy Rogers - Synopsys, Inc., Mountain View, CA<br />

Riko Radojcic - Qualcomm, Inc., San Diego, CA<br />

Arif Rahman - Altera Corp., San Jose, CA<br />

Aveek Sarkar - Apache Design, Inc. a subsidiary of ANSYS, Inc., San Jose, CA<br />

Keith Felton - Cadence Design Systems, Inc., San Jose, CA<br />

Dusan Petranovic - Mentor Graphics Corp., San Jose, CA<br />

Gilles Namur - STMicroelectronics, Crolles, France<br />

James Masters - Intel Corp., Folsom, CA<br />

Gilles Lamant - Cadence Design Systems, Inc., San Jose, CA<br />

SI2 OPEN LUNCHEON, A CELEBRATION OF THE<br />

10TH ANNIVERSARY OF OPENACCESS<br />

Monday, June 4 -12:15 - 1:30pm<br />

This complimentary lunch will celebrate the 10th Anniversary of the first release of OpenAccess and will also serve as the annual open Si2 meeting that will include a<br />

short presentation on the “state-of-the-union” at Si2 and announcement of the results of the annual election of Si2’s board of directors.<br />

SI2 OPEN NETWORKING RECEPTION<br />

Monday, June 4 - 4:30 - 6:00pm<br />

Room: 300<br />

Room: 301<br />

Room: 303<br />

Room: 301<br />

This complimentary reception will continue the celebration for the 10th Anniversary of OpenAccess. Free hors d’oeuvres and refreshments will be provided.<br />

www.<strong>DAC</strong>.com<br />

Design for Manufacturability<br />

these are leveraged during the back-end design stages, and others have post<br />

design utilization, from lithography up to 3-D integration, wafer sort, packaging,<br />

final test and failure analysis. These solutions can dramatically impact the<br />

business performance of chip manufacturers. They can also significantly affect<br />

age-old chip design flows.<br />

Using a DFM/DFY/DFT solution is an investment and thus choosing the most cost<br />

effective one(s) requires trade-off analysis. The workshop will analyze key trends<br />

and challenges in DFM, DFY and DFT methodologies, and provide an opportunity<br />

to discuss a range of DFM, DFT and DFY solutions for SoC designs now and in<br />

the future, including practical case studies that demonstrate the successes and<br />

failures of such solutions.<br />

General Interest<br />

Standards have been proven to reduce cost of operations, drive greater process<br />

efficiencies and offer greater opportunities for start-up companies to infuse fresh<br />

technology in the design and manufacturing of IC’s. Si2 standards have been<br />

targeted to resolve “pinch-points” in the overall semiconductor food-chain with a<br />

steadfast focus on rapid adoption of these standards.<br />

This day-long program, consisting of 4 individual events, will showcase activities<br />

currently underway with an eye towards demonstrating the value of these programs<br />

to the program participants and to the overall semiconductor industry. Therefore,<br />

this day-long session should entice engineers and technologists working at both<br />

current and cutting-edge technology nodes and also managers responsible for<br />

driving both design and manufacturing strategy, and related financial and staffing<br />

decisions. A featured part of the program will celebrate the 10th Anniversary of<br />

OpenAccess. A complimentary luncheon and an afternoon reception will highlight<br />

this occasion.<br />

General Interest<br />

General Interest<br />

47


COLOCATED CONFERENCES<br />

Additional Registration Fees Apply<br />

In addition to <strong>DAC</strong>’s comprehensive technical program, there are other conferences hosting their events<br />

at <strong>DAC</strong>. There is a separate registration fee to attend these meetings. If you are attending one of the<br />

conferences below, your registration does include entrance to the <strong>DAC</strong> Exhibit Hall Monday-Wednesday.<br />

Please note that a <strong>DAC</strong> Conference Registration does not include the colocated conferences.<br />

ACM STUDENT RESEARCH COMPETITION AT<br />

DESIGN AUTOMATION CONFERENCE<br />

Tuesday, June 5 - Wednesday, June 6:<br />

2:00 - 4:00pm<br />

Organizers:<br />

Naehyuck Chang - Seoul National Univ., Seoul, Republic of Korea<br />

Srinivas Katkoori - Univ. of South Florida, Tampa, FL<br />

Sponsored by Microsoft Research, the ACM Student Research Competition is an<br />

internationally recognized venue enabling undergraduate and graduate students<br />

who are ACM members to:<br />

• Experience the research world --<br />

for many undergraduates this is a first!<br />

• Share research results and exchange ideas with other<br />

students, judges, and conference attendees<br />

• Rub shoulders with academic and industry luminaries<br />

• Understand the practical applications of their research<br />

• Perfect their communication skills<br />

• Receive prizes and gain recognition from ACM<br />

and the greater computing community.<br />

CELUG/E<strong>DAC</strong> ENTERPRISE<br />

LICENSING CONFERENCE<br />

48<br />

Room: 206<br />

Wednesday, June 6 - Thursday, June 7: Room: 236-238<br />

9:00am - 6:00pm<br />

Organizers:<br />

Lee Levenson - CELUG, Tarzana, CA<br />

EDA Licensing providers, ISVs, and users will come together at an event<br />

colocated with the Design Automation Conference in San Francisco, June 5, 6 &<br />

7, 2012. CELUG (Centralized Enterprise Licensing Users Group) and E<strong>DAC</strong> (EDA<br />

Consortium) are co-hosting this three-day event at <strong>DAC</strong> 2012. This interactive event<br />

will focus on Enterprise Licensing, with presentations and panels addressing current<br />

and future challenges to making high technology tools and users more productive.<br />

www.<strong>DAC</strong>.com<br />

special interest group on<br />

design automation<br />

General Interest<br />

The ACM Special Interest Group on Design Automation is organizing such an<br />

event in conjunction with the Design Automation Conference.<br />

Authors of accepted submissions will get travel grants from ACM/Microsoft to<br />

attend the event at <strong>DAC</strong>. The event consists of several rounds, as described here<br />

and also here, where you can also find more details on student eligibility and timeline.<br />

Research projects from all areas of design automation are encouraged. The<br />

author submitting the abstract must still be a student at the time the abstract is<br />

due. Each submission should be made on the EasyChair submission link available<br />

here where details on submission format can also be found here.<br />

General Interest<br />

This colocated event will bring together Licensing Solution Providers, Independent<br />

Software Vendors face to face for interactive sessions with Enterprise Customers<br />

from key industries, including:<br />

• Academia<br />

• Aerospace<br />

• Automotive<br />

• Oil and Gas<br />

• Semiconductor<br />

• Life Sciences<br />

• Chemical Analysis<br />

• Electronic Test<br />

• Electronic Measurement<br />

The day-long program will be divided into 4 sessions, a luncheon, and a reception.<br />

Attendees can register for individual sessions or for the entire program. The<br />

sessions are considered colocated events; please click here to register.


PAVILION PANELS<br />

MONDAY, JUNE 4 BOOTH #310<br />

www.<strong>DAC</strong>.com<br />

Sponsored by:<br />

GARY SMITH ON EDA: TRENDS AND WHAT’S HOT AT <strong>DAC</strong><br />

9:15 - 10:15am<br />

Moderator:<br />

Robert Gardner - EDA Consortium, San Jose, CA<br />

Panelist:<br />

Gary Smith - Gary Smith EDA, Santa Clara, CA<br />

LOW POWER TO THE PEOPLE!<br />

10:30 - 11:15am<br />

Moderator:<br />

John Donovan - Low-Power Design, Austin, TX<br />

Panelists:<br />

Aveek Sarkar - Apache Design, Inc. a subsidiary of ANSYS, Inc., San Jose, CA<br />

Clive Bittlestone - Texas Instruments, Inc., Dallas, TX<br />

Robert Patti - Tezzaron Semiconductor, Naperville, IL<br />

IS “LIFECARE” THE NEXT KILLER APP?<br />

11:30am - 12:15pm<br />

Moderator:<br />

Rick Merritt - EE Times, San Jose, CA<br />

Panelists:<br />

James Bates - Maxim Integrated Products, Inc., Sunnyvale, CA<br />

Fabrice Hoerner - Qualcomm, Inc., San Diego, CA<br />

Greg Fawcett - Palo Alto Research Center, Inc., Palo Alto, CA<br />

THE MECHANICS OF CREATIVITY<br />

3:15 - 4:15pm<br />

Moderator:<br />

Karen Bartleson - Synopsys, Inc., Colorado Springs, CO<br />

Panelists:<br />

Dee McCrorey - Risktaking for Success LLC, Santa Clara, CA<br />

Sherry Hess - AWR Corp., El Segundo, CA<br />

Lillian Kvitko - Oracle, Santa Clara, CA<br />

INTERVIEW WITH DR. BELLE W. Y. WEI,<br />

2012 MARIE R. PISTILLI AWARD RECIPIENT<br />

4:30 - 5:15pm<br />

Moderator:<br />

Daya Nadamuni - Gary Smith EDA, San Jose, CA<br />

Panelist:<br />

Dr. Belle W. Y. Wei - San Jose State Univ., San Jose, CA<br />

Women have made important contributions and strides in the EDA industry. The<br />

<strong>DAC</strong> Executive Committee presents an annual award to honor an individual who has<br />

made significant contributions in helping women advance in EDA technology. Please<br />

join us for a conversation with this year’s 2012 Marie R. Pistilli Award recipient, Dr.<br />

Belle W. Y. Wei, Don Beall Dean of Engineering, San Jose State University. Since<br />

her 2002 appointment as Don Beall Dean of SJSU’s Charles W. Davidson College of<br />

Engineering, Dr. Belle W. Y. Wei has led a College dedicated to educating engineers<br />

who can take on today’s problems and produce tomorrow’s solutions.<br />

General Interest<br />

Longtime EDA analyst Gary Smith of Gary Smith EDA will give us his take on<br />

the EDA’s hottest technology trends. How will the dramatic changes in EDA, the<br />

semiconductor market and the design community affect you? What are the hot<br />

‘must sees’ at this year’s conference? Find out here!<br />

Low-Power Design and Power Analysis<br />

Power management has become the single biggest design challenge. Methods<br />

used to manage power will depend on the interaction among process technologies,<br />

IP, hardware design, and embedded software for the targeted application. New<br />

technologies such as 3-D present further power management opportunities and<br />

challenges. Come hear these experts discuss power management struggles and solutions.<br />

Business<br />

The world population hit 7 billion last fall, with a billion more expected in a dozen<br />

years. “Lifecare” represents an incredible opportunity for the semiconductor<br />

industry to promote health, energy conservation, safety and productivity. From<br />

smart city infrastructure to medical care advances, from sensors and controls to<br />

nanotechnology, what new EDA ecosystems will emerge to better model the real world?<br />

General Interest<br />

What does it take to be an idea machine? Design is an inherently creative process,<br />

but how can we be creative on demand? How can we rise above mundane tasks<br />

with flashes of brilliance? Discover secrets of technical and business creativity<br />

and calculated risk taking, and share stories of innovation. Sponsored by Women<br />

in Electronic Design.<br />

General Interest<br />

Dr. Wei began her career at SJSU in 1987 as an assistant professor in the<br />

Department of Electrical Engineering after completing an engineering M.S. from<br />

Harvard and an electrical engineering Ph.D. from U.C. Berkeley with specialties in<br />

VLSI circuit theory, special architectures, and sensor networks. Following a 1993-<br />

94 Stanford visiting associate professorship, Dr. Wei returned to SJSU where<br />

she was later elected Electrical Engineering Department Chair from 1998-2002.<br />

Thereafter, she accepted her current position as Dean (reappointed for a second<br />

six-year term in 2009). Dr. Wei is the first person in her College’s history to hold<br />

an endowed deanship.<br />

49


PAVILION PANELS<br />

<strong>TUESDAY</strong>, JUNE 5 BOOTH #310<br />

HOGAN’S HEROES: LEARNING FROM APPLE<br />

10:00 - 11:00am<br />

Moderator:<br />

Jim Hogan - Tela Innovation, Inc., Campbell, CA<br />

Panelists:<br />

Jack Guedj - Tensilica, Inc., Santa Clara, CA<br />

Tom Collopy - Aggios, Inc., Irvine, CA<br />

Jan Rabaey - Univ. of California, Berkeley, CA<br />

50<br />

www.<strong>DAC</strong>.com<br />

Sponsored by:<br />

CHEVY VOLT TEARDOWN: AUTOMOTIVE ELECTRONICS<br />

1:30 - 2:30pm<br />

Moderator:<br />

Brian Fuller - EE Times, San Francisco, CA<br />

Panelists:<br />

Al Steier - Munro & Associates, Inc., Troy, MI<br />

John Scott-Thomas - TechInsights, Ottawa, ON, Canada<br />

HERITAGE SERIES: INTERVIEW WITH JIM SOLOMON,<br />

1997 PHIL KAUFMAN AWARD RECIPIENT<br />

3:00 - 3:45pm<br />

Moderator:<br />

Steve Ohr - Gartner, Inc., San Jose, CA<br />

Panelist:<br />

Jim Solomon - Xulu Entertainment, Milpitas, CA<br />

CONQUERING NEW FRONTIERS IN ANALOG DESIGN<br />

- PLUNGING BELOW 28NM<br />

4:00 - 4:45pm<br />

Moderator:<br />

Mar Hershenson - Revel Touch, Inc., Los Altos, CA<br />

Panelists:<br />

Jose Alvarez - Freescale Semiconductor, Inc., Austin, TX<br />

KT Moore - Synopsys, Inc., Mountain View, CA<br />

Mahesh R. Tirupattur - Analog Bits, Inc., Mountain View, CA<br />

Business<br />

Apple. We admire their devices, worship their creators and praise their stock in<br />

our portfolios. Apple is synonymous with creative thinking, new opportunities,<br />

perseverance and wild success. Along the road, Apple set new technical and<br />

business standards. But how much has the electronics industry, in particular EDA,<br />

“where electronics begins,” learned from Apple? It depends.<br />

FOUNDRY, EDA AND IP: SOLVE TIME-TO-MARKET ALREADY!<br />

11:30am - 12:15pm<br />

Moderator:<br />

Ron Wilson - Altera Corp., San Jose, CA<br />

Panelists:<br />

Dr. Naveed Sherwani - Open-Silicon, Inc., Milpitas, CA<br />

Ritesh Saraf - MoSys, Inc., Santa Clara, CA<br />

John Koeter - Synopsys, Inc., Mountain View, CA<br />

General Interest<br />

Designing billion+ transistor SoCs for 20nm and below in 22 months is not fast<br />

enough! Challenges include qualifying and integrating IP blocks, custom logic,<br />

and achieving design closure. This panel will discuss what foundry, IP and EDA<br />

vendors are doing to step up and finally deliver plug-and-play solutions.<br />

General Interest<br />

The Chevy Volt, the most talked-about automotive innovation in recent years, has<br />

been peeled apart down to the board level. Watch and listen as experts analyze the<br />

Volt’s amazing design--assembly, boards, components, sensors and chips, not to<br />

mention its 360V lithium-ion battery--and offer a glimpse at the automobile’s future.<br />

Analog/Mixed-Signal/RF Design<br />

The analog design tool segment has long lived in the shadow of its dazzling digital<br />

tool counterpart. But without analog, countless consumer electronics devices<br />

would not exist. No one has been more closely associated with the advances in<br />

analog tool creation than Jim Solomon, Phil Kaufman Award Recipient. Hear Jim<br />

illuminate the mystic world of analog.<br />

Analog/Mixed-Signal/RF Design<br />

Analog design flows have not changed much in the past two decades, yet analog<br />

IP has been at the forefront of every new technology node breakthrough. This<br />

panel will discuss some of the major electrical, physical and process design<br />

challenges at 28nm and below, and what adjustments can be made in the design<br />

ecosystem to facilitate first-pass silicon success.


PAVILION PANELS<br />

WEDNESDAY, JUNE 6 BOOTH #310<br />

TOWN HALL: DARK SIDE OF MOORE’S LAW<br />

9:15 - 10:15am<br />

Moderator:<br />

Lucio Lanza<br />

Panelists:<br />

John Chilton - Synopsys, Inc., Mountain View, CA<br />

Behrooz Abdi - Consultant, San Diego, CA<br />

Steve Glaser - Xilinx, Inc., San Jose, CA<br />

REAL WORLD HETEROGENEOUS MULTICORE<br />

2:30 - 3:15pm<br />

Moderator:<br />

Tom Halfhill - The Linley Group, Mountain View, CA<br />

Panelists:<br />

Ted Vucurevich - Enconcert, Inc., Los Gatos, CA<br />

Paul Tobin - Advanced Micro Devices, Inc., Boston, MA<br />

David Kramer - Freescale Semiconductor, Inc., Austin, TX<br />

TEENS TALK TECH<br />

3:30 - 4:15pm<br />

Moderator:<br />

Kathryn Kranen - Jasper Design Automation, Inc., Mountain View, CA<br />

Panelists:<br />

Josh Godfrey - Menlo High School, Atherton, CA<br />

Summer Schoof - Menlo High School, Atherton, CA<br />

Shuhei Nakata - Menlo High School, Atherton, CA<br />

Tara Basu-Trivedi - Menlo High School, Atherton, CA<br />

www.<strong>DAC</strong>.com<br />

Sponsored by:<br />

HARDWARE-ASSISTED PROTOTYPING AND VERIFICATION:<br />

MAKE VS. BUY?<br />

4:30 - 5:15pm<br />

Moderator:<br />

Gabe Moretti - Gabe on EDA, Venice, FL<br />

Panelists:<br />

Albert Camilleri - Qualcomm, Inc., San Diego, CA<br />

Austin Lesea - Xilinx, Inc., San Jose, CA<br />

Mike Dini - The Dini Group, Inc., La Jolla, CA<br />

Business<br />

Semiconductor companies double transistor counts every 22 months, yet device<br />

prices stay relatively the same. This has been a windfall for customers but not for<br />

chip makers, who have exponentially increasing design costs every new cycle.<br />

Venture capitalist Lucio Lanza and panelists will discuss what it will take to bring<br />

design costs and profitability back into harmony with Moore’s Law.<br />

DIVIDE AND CONQUER - INTELLIGENT PARTITIONING<br />

1:30 - 2:15pm<br />

Moderator:<br />

Paul McLellan - SemiWiki, San Francisco, CA<br />

Panelists:<br />

Santosh Santosh - NVIDIA Corp., Santa Clara, CA<br />

Jonathan DeMent - IBM Systems and Technology Group, Austin, TX<br />

Hao Nham - eSilicon Corp., Sunnyvale, CA<br />

Physical Design<br />

If you were assigned a 100+ million-instance 28-nm chip, what would you do? Hire<br />

more engineers, push out the delivery date, quit? Not if you could help it. Partition<br />

it! But how many blocks? This panel will discuss what’s needed from synthesis,<br />

verification and physical design tools to make partitioning work for today’s designs,<br />

budgets, resources and delivery schedules.<br />

Embedded Design Methodology and Case Studies<br />

What does heterogeneous multicore mean to you? Come hear experts discuss the<br />

challenges when implementing heterogeneous multicores describing real world<br />

experiences using both different core sizes and types as well as techniques and<br />

tools to develop systems that take advantage of these multicore architectures.<br />

General Interest<br />

High school students tell us how they use the latest tech gadgets, and what they<br />

expect to be using in three to five years. They give insights into the next killer<br />

applications and what they would like to see in the next generation of hot new<br />

electronics products that we should be designing now.<br />

Verification and Test<br />

As ASIC and ASSP designs reach billions of gates, hardware-assisted verification<br />

and/or prototyping is becoming essential, but what is the best approach? Should<br />

you buy an off-the-shelf system or build your own? What criteria – time-to-market,<br />

cost, performance, resources, quality, ease of use – are most important? Panelists<br />

will share their real world design trade-offs.<br />

51


ADDITIONAL MEETINGS Access<br />

Monday, June 4: 9:00am - 6:00pm<br />

52<br />

www.<strong>DAC</strong>.com<br />

to these meetings is<br />

controlled by the organizing entity<br />

HIGH PERFORMANCE DESIGN SUCCESS WITH SYNOPSYS<br />

GALAXY TM IMPLEMENTATION PLATFORM<br />

General Interest<br />

Synopsys invites you to join us for highly informative sessions covering the latest high performance design trends, challenges and solutions. Listen to leading industry<br />

experts present best practices and their success designing for high performance using Synopsys implementation products. Learn about innovations in high performance<br />

technology that help address your Gigascale, GigaHz and advanced geometry design challenges. If you are a design engineer or manager, you won’t want to miss<br />

these special events. *Please stop by Synopsys Booth 1130 for presentation access.<br />

DESIGN AND IP MANAGEMENT SYMPOSIUM<br />

Monday, June 4: 1:30 - 2:30pm<br />

Chair(s):<br />

Dean Drako - IC Manage<br />

Speaker(s):<br />

Vincent Ross - Advanced Micro Devices, Inc.<br />

Jacob Rael - Broadcom Corp.<br />

Gary Smith - Gary Smith EDA<br />

Shiv Sikand - IC Manage<br />

Doug Quist - NVIDIA Corp.<br />

COOLEY’S TROUBLEMAKER PANEL<br />

Monday, June 4: 3:00 - 4:00pm<br />

Chair(s):<br />

John Cooley - Deepchip<br />

Speaker(s):<br />

Doug Aitelli - Calypto Design Systems, Inc.<br />

Joe Sawicki - Mentor Graphics Corp.<br />

Lauro Rizzatti - EVE-USA, Inc.<br />

Ravi Subramanian - Berkeley Design Automation<br />

Yunshan Zhu - NextOp Software, Inc.<br />

Jim Hogan - Vista Ventures LLC<br />

Gary Smith - Gary Smith EDA<br />

Room: 220<br />

BOOST PRODUCTIVITY USING SYNOPSYS’ AMS<br />

VERIFICATION SOLUTION<br />

Monday, June 4: 11:30am - 1:30pm<br />

San Francisco Marriott Marquis<br />

At this event, you will hear what industry leaders have to say about using Synopsys’ AMS verification solution in some of today’s most challenging designs. Panelists<br />

will also discuss their future verification needs as well as the methodology and tool requirements to support modern AMS verification.<br />

Room: 200<br />

Room: 256<br />

Analog/Mixed-Signal/RF Design<br />

PRIMETIME SPECIAL INTEREST GROUP (SIG) RECEPTION<br />

FEATURING NEXT GENERATION HIERARCHICAL<br />

TIMING TECHNOLOGY - HYPERSCALE<br />

Monday, June 4: 7:00 - 8:30pm<br />

General Interest<br />

Panelists will discuss development goals, the Design and IP Management<br />

methodologies and best practices they use to support those goals, and the<br />

results achieved.<br />

Topics to include productivity improvements, bug management, and multi-site data<br />

exchange and collaboration. Audience Q&A to follow panel discussion.<br />

San Francisco Marriott Marquis<br />

General Interest<br />

Come watch EDA vendors squirm as they answer no-holds-barred, edgy, user-submitted<br />

questions about their businesses and tools. It’s an old style open Q&A from<br />

the days before corporate marketing took over every aspect of EDA<br />

company images.<br />

Physical Design<br />

Synopsys hosts an annual dinner event for the PrimeTime Special Interest Group at <strong>DAC</strong>, providing an opportunity for PrimeTime users to stay connected with the latest<br />

developments in timing analysis. This year, the event will feature Synopsys’ next generation hierarchical timing technology, HyperScale. Synopsys’ R&D team will unveil<br />

the new underlying engines and industry experts will share their experience on this innovative new technology resulting in up to 10X faster and smaller full-chip timing<br />

analysis runs, with the same signoff quality results compared to flat analysis. The event will be held at the San Francisco Marriott Marquis.


ADDITIONAL MEETINGS Access<br />

SAMSUNG-GLOBALFOUNDRIES - SYNOPSYS BREAKFAST<br />

Tuesday, June 5: 7:15 - 8:25am<br />

INDUSTRY LEADERS VERIFY WITH SYNOPSYS<br />

Tuesday, June 5: 11:30am - 1:00pm San Francisco Marriott Marquis<br />

Synopsys hosts an annual lunch event at <strong>DAC</strong>, providing an opportunity for<br />

verification engineers, manager, and executives to stay connected with the latest<br />

developments in the verification landscape and advanced technology. This year,<br />

the event will cover the latest verification trends, challenges and solutions. You<br />

BIRDS-OF-A-FEATHER MEETING: AT A CROSSROADS<br />

– DEVELOPING THE NEXT GENERATION<br />

ANALOG/MIXED-SIGNAL LANGUAGE STANDARD(S)<br />

Tuesday, June 5: 7:00 - 8:30pm<br />

Organizer(s):<br />

Martin Barnasconi - NXP Semiconductors, Eindhoven, The Netherlands<br />

Lynn Bannister - Accellera Systems Initiative, Napa, CA<br />

Today’s embedded and integrated systems interact more and more tightly with<br />

the analog physical environment, where digital HW/SW subsystems become<br />

functionally interwoven with analog/mixed-signal (AMS) blocks such as radio<br />

frequency (RF) interfaces, power electronics, or sensors and actuators.<br />

Examples are software defined radios, wireless sensor networks, and automotive<br />

applications, in which analog electronics are controlled, configured, or calibrated<br />

using digital techniques in hardware or software.<br />

Historically, the hardware description languages Verilog-AMS and VHDL-AMS<br />

have addressed the analog implementation aspects but have limited capabilities<br />

to address the system-level design and verification challenges. From the digital<br />

perspective, SystemC and SystemVerilog focus on system-level design and<br />

verification, respectively. The SystemC AMS extensions are positioned to<br />

address the mixed-signal architectural design challenges, whereas SystemVerilog<br />

extensions are under development to include abstract analog signal representation<br />

in functional verification. Obviously, we are at a crossroads, where Verilog-<br />

to these meetings is<br />

controlled by the organizing entity<br />

Verification and Test<br />

IPL ALLIANCE LUNCHEON - REAPING THE BENEFITS OF IPDKS<br />

NORTH AMERICAN SYSTEMC USERS GROUP MEETING -<br />

NASCUG 18<br />

Wednesday, June 6: 1:00 - 5:00pm<br />

The North American SystemC Users Group (NASCUG) accelerates the use<br />

of SystemC for both new and established users by providing open venues for<br />

users to contribute, learn, and interact. A central component of the half-day<br />

meeting is a number of user experience presentations discussing techniques of<br />

design, modeling and verification using SystemC. Topics include (but not limited<br />

San Francisco Marriott Marquis:<br />

Golden Gate Ballroom<br />

Room: 300<br />

Room: 250 & 262<br />

Design for Manufacturability<br />

Industry experts from Samsung, GLOBALFOUNDRIES and Synopsys discuss our unique design enablement collaboration that delivers proven design, IP and manufacturing<br />

solutions for advanced high-k metal-gate (HKMG) process technologies.<br />

will hear leading industry experts share their viewpoints on what is driving SoC<br />

complexity and how their teams have achieved success. The event will be held<br />

at the San Francisco Marriott Marquis.<br />

Tuesday, June 5: 12:00 - 1:30pm San Francisco Marriott Marquis: Analog/Mixed-Signal/RF Design<br />

Golden Gate Ballroom<br />

Interoperable PDKs (iPDKs) benefit the entire custom design ecosystem. improved productivity. At the 6th Annual IPL Luncheon, presenters from multiple<br />

Semiconductor foundries and IDMs create iPDKs to reduce their PDK development, foundries will highlight the benefits of iPDK standard and their experiences in<br />

validation, support and distribution costs while enabling advanced design flows developing and deploying foundry iPDKs. The IPL Alliance will present an update<br />

and multiple EDA tool support. All leading foundries today are delivering iPDKs.<br />

Chip designers now enjoy access to best-in-class tools, interoperable flows, and<br />

on current and future IPL projects.<br />

Analog/Mixed Signal<br />

AMS and VHDL-AMS are being updated and at the same time SystemC and<br />

SystemVerilog are expanding to support abstract AMS modeling styles. Should<br />

all of these AMS extensions remain compatible? Which elements are not yet<br />

addressed in the mixed-signal modeling and simulation domain but are essential<br />

for a next generation mixed-signal language? Can we focus on only one “mother<br />

HDL”, or do we need more?<br />

We would like to discuss and answer these questions, to explore viable directions for<br />

the next step in AMS standards, at this Birds-of-a-Feather session. We invite AMS and<br />

RF system-level designers, circuit designers, verification engineers, and EDA vendors<br />

to discuss the requirements and needs for the next-generation AMS languages.<br />

Accellera Systems Initiative, the independent non-profit organization focused on<br />

the creation and adoption of electronic design automation (EDA) and intellectual<br />

property (IP) standards for design and verification, will moderate this session to<br />

emphasize the importance of standardization in this domain. The intent is to foster<br />

the creation of next generation mixed-signal languages standards to describe<br />

hardware as well as system-level behavior.<br />

System Level Design and Communication<br />

to) architectural modeling; transaction-level modeling; virtual prototypes; analog<br />

modeling with SystemC AMS; integrating SystemC into the design flow; and<br />

platform design using SystemC. To find out more and register for this free event,<br />

visit www.nascug.org<br />

www.<strong>DAC</strong>.com 53

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!