31.10.2012 Views

37th Adv.Prg - DAC

37th Adv.Prg - DAC

37th Adv.Prg - DAC

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

H i g h l i g h t s<br />

Technical Program - details
o n
 pgs.
 27-49<br />

Two Exciting<br />

Keynotes<br />

details
 on<br />

pgs. 2
2 & 2
3<br />

TUESDAY
 KEYNOTE<br />

Theo
 Classen<br />

Chief
 Technical
 Officer,
 Philips
 Semiconductors<br />

Eindhoven,
 The
 Netherlands<br />

Tuesday,
 June
 6
 -
 9:00
 AM<br />

Room:
 Concourse
 Hall<br />

THURSDAY
 KEYNOTE<br />

Hugo
 De
 Man<br />

Professor,
 Katholieke
 Univ.
<br />

Leuven,
 Belgium<br />

Thursday,
 June
 8
 -
 1:00
 PM<br />

Room:
 Concourse
 Hall<br />

Embedded Systems Day - Wednesday, June 7 -
 See
 sessions
 18-20,
 23-25,
 28-30<br />

Recognizing
 the
 increasing
 role
 of
 embedded
 software
 in
 complex
 SoC
 designs,
 we
 have<br />

designated
 Wednesday
 as
 Embedded
 Systems
 (ES)
 Day.
 Three
 parallel
 tracks
 will
 cover
 topics<br />

such
 as
 embedded
 compilation,
 hardware/software
 co-design,
 system
 modeling
 and
 power<br />

optimization.
 A
 special
 session
 will
 focus
 on
 ES
 research
 and
 educational
 programs
 within<br />

universities.
 In
 addition,
 an
 ES
 CEO/CTO
 panel
 will
 examine
 challenges
 and
 potential
 solutions<br />

as
 seen
 from
 the
 prespective
 of
 the
 CAD
 tool
 and
 system
 chip
 vendors.<br />

Tutorials - Friday, June 9 - details
 on
 pgs.
 50-55<br />

1)
 The
 Quest
 for
 Synthesis
 and
 Layout
 Timing
 Closure<br />

2)
 Static
 Timing
 Analysis
 and
 Optimization
 for
 High-Performance
 Digital
 Design
 Success<br />

3)
 System
 Level
 Design
 with
 Embedded
 Platforms<br />

4)
 Signal
 Integrity
 in
 Deep
 Submicron
 Designs<br />

5)
 Design
 Technology
 for
 Building
 Wireless
 Systems-on-Chip<br />

6)
 Low-Power
 System
 Design:
 Applications,
 Architectures,
 and
 Design
 Methodologies<br />

W o r k s h op for Women in Des i g n A u t o m a t i o n- Sunday,June 4 - details
o n
 pg.
 18<br />

Strategies
 for
 Success -
 This
 unique
 program
 affords
 the
 opportunity
 to
 hear
 successful<br />

women
 speak
 on
 topics
 relevant
 to
 their
 careers.<br />

Workshop for VLSI Design Educators - Sunday, June 4 -
 details
 on
 pg.
 19<br />

This
p rogram
a ffords
 a
f orum
f or
e ducators
t o
i mprove
t he
i nstruction
o f
V LSI
D esign
 courses.<br />

Interoperability Workshop - Sunday, June 4 -
 details
 on
 pg.
 20<br />

This
 workshop
 invites
 leading
 Semiconductor,
 System
 and
 EDA
 companies
 to
 discuss
 the
 need<br />

for
 a
 new
 design
 system
 architecture
 and
 supporting
 standard
 data
 model
 API.<br />

Ph.D Forum - Tuesday, June 6 -
 details
 on
 pg.
 21<br />

A chance
 for
 Ph.D
 students
 and
 industry
 representatives
 to
 get
 together.
 Students
 get
 feedback<br />

on
t heir
r esearch
p roposals;
i ndustry
g ets
a 
c hance
t o
p review
s tudents
a nd
t heir
w ork.<br />

Sponsors :<br />

IEEE<br />

Networking<br />

the World<br />

Program Highlights<br />

The
 technical
 program
 covers
 over
 160
 papers,
 panels
 and
 embedded
 tutorials
 in
 five
 parallel<br />

tracks
 covering
 system
 level
 design,
 synthesis,
 physical
 design,
 verification,
 modeling,<br />

interconnect,
 power
 and
 IP
 issues.
 Highlights
 include
 a
 focus
 on
 embedded
 systems
 (see<br />

below)
 and
 also
 a
 number
 of
 sessions
 on
 fault
 and
 parasitic
 modeling
 in
 deep
 submicron<br />

technologies.
 The
 program
 includes
 a
 number
 of
 special
 sessions
 with
 invited
 tutorial<br />

presentations
 on
 the
 limitations
 of
 MOSFET
 modeling,
 closing
 the
 ASIC/full
 custom
 design
 gap<br />

and
 an
 exciting
 preview
 of
 life
 at
 35nm
 and
 beyond.<br />

exhibitor<br />

info<br />

e
x
h
i
b
i
t
o
r<br />

p
r
e
s
e
n
t
a
t
i
o
n
s<br />

conference<br />

at
 a
 glance<br />

t
e
c
h
n
i
c
a
l<br />

p
r
o
g
r
a
m<br />

t
u
t
o
r
i
a
l
s<br />

sponsors<br />

t
r
a
n
s
p
o
r
t
a
t
i
o
n<br />

h
o
u
s
i
n
g<br />

i
n
d
e
x<br />

registration<br />

1


2<br />

H i g h l i g h t s<br />

Silicon Village - The
 area
 features
 silicon
 vendors
 offering
 ASIC,
 programmable
 logic,
 foundry<br />

and
I P
 solutions.<br />

Exhibit Highlights<br />

Silicon Exhibitors<br />

3
 DSP
 CORP.<br />

ALBA
 CENTRE
 (THE)<br />

ALCATEL
 MICROELECTRONICS
 USA<br />

AMERICAN
 MICROSYSTEMS,
 INC.<br />

ARM,
 INC.<br />

AUSTRIA
 MIKRO
 SYSTEME
 INTERNATIONAL
 AG<br />

BILLIONS
 OF
 OPERATIONS
 PER
 SECOND
 (BOPS)<br />

CHIP
 EXPRESS
 CORP.<br />

CMP<br />

FRONTEC
 MICORELECTRONICS
 AB<br />

GLOBAL
 UNICHIP
 CORP.<br />

IBM
 MICROELECTRONICS
 CORP.<br />

IMEC/EUROPRACTICE<br />

MIPS
 TECHNOLOGIES,
 INC.<br />

MOSIS<br />

NORDIC
 VLSI
 ASA<br />

PIVOTAL
TECHNOLOGIES<br />

QUICKLOGIC
 CORP.<br />

SILICONX<br />

SLICEX,
 INC.<br />

TRISCEND
 CORP.<br />

TSMC
 NORTH
 AMERICA<br />

UNITED
 MICROELECTRONICS
 CORP.
 GROUP<br />

VAUTOMATION,
 INC.<br />

VSI
 ALLIANCE<br />

WESTERN
 DESIGN
 CENTER<br />

The
 latest
 innovations
 at
 your
 fingertips
 with
 exhibitors
 highlighting
 their
 latest
 products.<br />

Exhibitor
 Listing ................................................Pages
6-7<br />

Exhibitor
 Presentation
 Schedule ................................Page
8<br />

Exhibitor
 Presentation
 Abstracts ..........................Pages
9-17<br />

No
 badge
 needed
 to
 attend
 Exhibitor
 Presentations.<br />

Exhibit-Only and Exhibitor Presentations - Monday,
 June
 5<br />

•
 A
 full
 day
 of
 20-minute
 Exhibitor
 Presentations
 -
 choose
 from
 126
 presentations;
 see
 pages<br />

9-17
 for
 details.<br />

•
 Free
 Monday
 Exhibit-Only
 Passes
 -
 call
 toll-free
 1-800-321-4573,
 or
 on
 line
 at<br />

www.dac.com/freemon.html.<br />

•
 $40
 full
 exhibit-only
 registration
 will
 allow
 you
 to
 attend
 exhibits
 Monday
 through<br />

Wednesday.
<br />

Demo Suite Hours:<br />

Monday
 -
 Wednesday,
 June
 5-7,
 2000 ............8:00
 AM
 -
 9:00
 PM<br />

Thursday,
 June
 8,
 2000 ..............................8:00
AM
-
5:00
PM<br />

•
 Demo
 Suites
 will
 be
 in
 the
 West
 Hall
 of
 the
 Los
 Angeles
 Convention
 Center.<br />

•
 Exhibiting
 companies
 will
 have
 the
 opportunity
 to
 give
 their
 customers
 private
 demos<br />

without
 leaving
 the
 Convention
 Center.<br />

•
 Demo
 Suites
 are
 available
 by
 invitation
 only.<br />

Information -
 Call
 toll
 free:
 1-800-321-4573,
 or
 visit
 our
 web
 page
 (www.dac.com)
 for<br />

updated
 conference
 information
 and
 other
 information
 of
 interest
 to
 the
 DA
 community.


s I n<br />

Important<br />

f o<br />

Information<br />

r m<br />

At-A-Glance<br />

a t i o n<br />

Exhibit Hours: Demo Suite Hours:<br />

(badge
 required) (by
 invitation
 only)<br />

Monday,
 June
 5 10:00
 AM
 to
 6:00
 PM 8:00
 AM
 to
 9:00
 PM<br />

Tuesday,
 June
 6 10:00
 AM
 to
 6:00
 PM 8:00
 AM
 to
 9:00
 PM<br />

Wednesday,
 June
 7 10:00
 AM
 to
 6:00
 PM 8:00
 AM
 to
 9:00
 PM<br />

Thursday,
 June
 8 – 8:00
 AM
 to
 5:00
 PM<br />

Children
 under
 14
 years
 of
 age
 will
 not
 be
 admitted
 into
 the
 exhibit
 hall
 or
 demo
 suite
 area.<br />

At-Conference Registration Hours<br />

The
 registration
 desk
 will
 be
 located
 in
 the
 lower
 level,
 South
 Lobby
 of
 the
 Los
 Angeles<br />

Convention
 Center
 and
 will
 be
 open
 at
 the
 following
 times:<br />

Sunday,
 June
 4 8:00
 AM
 to
 10:00
 AM Tuesday,
 June
 6 7:30
 AM
 to
 5:00
 PM<br />

Sunday,
 June
 4 3:00
 PM
 to
 5:00
 PM Wednesday,
 June
 7 7:30
 AM
 to
 5:00
 PM<br />

Monday,
 June
 5 8:00
 AM
 to
 6:00
 PM Thursday,
 June
 8 7:30
 AM
 to
 3:00
 PM<br />

To
 avoid
 long
 lines
 advance
 register
 by
 May
 8,
 2000.<br />

On-Site Information Desk<br />

The
 information
 desk
 will
 be
 located
 in
 the
 South
 lobby
 on
 the
 first
 floor.
 (213)
 765-4300.<br />

Free Monday Exhibit-Only Passes<br />

Register
 by
 May
 18,
 2000,
 and
 receive
 your
 badge
 via
 the
 US
 mail
 for
 immediate
 access
 to
 the<br />

exhibit
 hall
 at
 10:00
 AM,
 June
 5.
 Call
 1-800-321-4573
 or
 1-303-530-4333,
 or
 visit
 the
 <strong>DAC</strong>
 web<br />

at
 www.dac.com/freemon.html.<br />

Conference Survey<br />

Again
 this
 year
 we
 would
 like
 you
 to
 voice
 your
 opinion
 on
 various
 aspects
 of
 the
 conference.<br />

<strong>DAC</strong> is
 conducting
 surveys
 to
 determine
 how
 to
 best
 serve
 your
 needs.
 Please
 take
 a
 few<br />

moments
 to
 participate
 if
 you
 are
 approached
 by
 one
 of
 our
 surveyors.
 Look
 for
 interviewers<br />

wearing
 “???”
 buttons
 at
 various
 locations
 around
 the
 show.
 Help
 make
 a
 difference
 in
 <strong>DAC</strong>
 and<br />

receive
 a
 surprise
 gift
 for
 participating.<br />

Best Paper Awards<br />

Best
 Paper
 Awards
 of
 $500
 each
 will
 be
 announced
 at
 the
 Tuesday
 morning
 General
 Session.<br />

Papers
 eligible
 for
 awards
 in
 this
 category
 are
 nominated
 by
 the
 Program
 Committee
 and<br />

selected
 by
 a
 referee
 process.
 Awards
 will
 be
 given
 in
 each
 of
 the
 following
 categories:<br />

1.
 Design
 Synthesis,
 Test
 and
 Validation<br />

2.
 Analog/RF/Electrical
 Modeling
 and
 Simulation<br />

3.
 Design
 Methodology
 (2)<br />

4.
 Embedded
 Systems<br />

3


4<br />

I n<br />

Conference<br />

f o r<br />

Information<br />

m a t i o n<br />

About the Conference<br />

The
 <strong>37th</strong>
 Design
 Automation
 Conference
 provides
 a
 high-quality
 program
 facilitating
 technology<br />

interchange
 among
 design
 automation
 researchers
 and
 developers,
 the
 engineers
 who
 use
 DA<br />

systems
 to
 design,
 test
 and
 manufacture
 circuits
 and
 systems,
 and
 the
 vendors
 who
 provide
 both<br />

electronic
 design
 automation
 (EDA)
 systems
 and
 silicon.
 Five
 full
 days
 of
 activities
 are
 planned.<br />

New
 product
 introductions
 and
 application
 notes
 will
 be
 highlighted
 on
 Monday
 in
 the
 Exhibitor<br />

Presentations,
 and
 more
 than
 250
 companies
 will
 exhibit
 the
 latest
 commercially
 available<br />

products
 Monday
 through
 Wednesday.
 The
 central
 day,
 Wednesday,
 will
 be
 mainly
 devoted
 to<br />

presentations
 on
 embedded
 system
 (ES)
 design,
 including
 a
 panel
 discussion
 among
 top<br />

executives
 about
 how
th e
gr owing
 importance
 of
ES 
 will
 affect
 the
 EDA
 landscape.<br />

Technical
 innovations
 from
 DA
r esearch
 and
 development
 and
 the
u se
 of
 DA
 in
 design
 of
 chips
 and<br />

systems
 will
 be
 presented
 in
 five
 parallel
 sessions,
 Tuesday
 through
 Thursday,
 in
 the
 Technical<br />

Program.
 The
 Technical
 Program
 features
 two
 keynote
 addresses,
 one
 on
 Tuesday
 morning
 by
 Dr.<br />

Theo
 Claasen,
 Chief
 Technical
 Officer
 at
 Philips
 Semiconductor,
 and
 one
 on
 Thursday
 afternoon<br />

by
 Prof.
 Hugo
D e
M an,
pr ofessor
a t
K atholieke
U niversiteit
Le uven
(B elgium)
a nd
r ecipient
 of
t he<br />

1999
 Phil
 Kaufman
 Award.
Si x
 full-day
 tutorials
 will
be 
 offered
on 
 Friday.<br />

The
 Technical
 Program
 consists
 of
 panel
 discussions,
 special
 sessions,
 and
 technical
 paper<br />

sessions,
 some
 of
 which
 include
 embedded
 tutorials.
 The
 Design
 Tools
 track
 focuses
 on
 new<br />

techniques
 for
 enhancing
 the
 performance
 and
 capabilities
 of
 EDA
 tools.
 The
 Design
 Methods<br />

track
fo cuses
on 
th e
 results
a nd
in sights
g ained
b y
a pplying
ED A
t ools
to 
ac tual
s ystem
 designs.<br />

This
 year
 <strong>DAC</strong>
 features
 an
ex panded
 set
 of
 sessions
 addressing
 design
 of
 embedded
 systems.<br />

In
 addition
 to
t he
 ES
e xecutive
p anel,
n ine
o ther
p anel
d iscussions
c overing
t opics
t hat
r ange
f rom<br />

dealing
 with
 timing
 closure
 to
 the
 future
 of
 design
 languages
 are
 also
 part
 of
 the
 Technical
 Program.<br />

New to the Conference<br />

2000
 is
 a
 year
 of
 records
 being
 set
 for
 <strong>DAC</strong>.
 At
 the
 conference
 this
 year
 you
 will
 have
 the<br />

opportunity
 to
 choose
 from
 a
 record
 160
 papers,
 panels,
 tutorials
 and
 special
 sessions.
 When<br />

in
 the
 <strong>DAC</strong>
 exhibition
 you
 will
 have
 the
 opportunity
 to
 visit
 with
 a
 record
 250
 EDA
 and
 silicon<br />

vendors
 featured
 on
 the
 exhibit
 floor
 and
 in
 the
 demo
 suites.<br />

New
 to
 the
 technical
 program
 is
 a
 special
 mini-track
 on
 embedded
 systems
 software.
 Software<br />

for
 embedded
 systems
 will
 play
 an
 increasing
 role
 in
 the
 design
 of
 systems-on-a-chip
 (SOC).<br />

Three
 parallel
 tracks
 of
 sessions
 will
 cover
 hardware
 and
 software
 aspects
 of
 embedded<br />

systems,
 as
 well
 as
 their
 co-design,
 on
 Wednesday.<br />

The
 Silicon
 Village:
 Where
 Designs
 Get
 Real
 is
 making
 its
 third
 appearance
 at
 <strong>DAC</strong>.
 <strong>DAC</strong>
 offers
 the<br />

Silicon
 Village
 area
 on
 the
 exhibit
 floor
 to
 help
 address
 the
 interdependence
 between
 Silicon
 and<br />

EDA
 vendors.
 Attendees
 have
 the
 opportunity
 to
 interact
 with
 both
 EDA
 and
 silicon
 vendors<br />

concurrently
 and
 find
 solutions
 from
 design
 concept
 to
 working
 silicon.


n I n f o r m a t i o n<br />

Exhibits<br />

There
 will
 be
 over
 250
 exhibiting
 companies
 at
 the
 <strong>37th</strong>
 <strong>DAC</strong>.
 For
 a
 listing
 and
 other<br />

information,
 see
 pages
 6-7.
 Children
 under
 the
 age
 of
 14
 will
 NOT
 be
 allowed
 in
 the
 exhibit<br />

hall
 or
 demo
 suite
 area.<br />

Silicon Village<br />

<strong>DAC</strong>net-2000<br />

Exhibit Information<br />

The
 Design
 Automation
 Conference
 is
 continuing
 to
 feature
 Silicon
 Village
 in<br />

acknowledgment
 of
 the
 trend
 of
 EDA
 companies,
 silicon
 vendors,
 and
 IP
 providers<br />

collaborating
 to
 meet
 the
 challenges
 of
 electronic
 design.
 Silicon
 Village
 will
 facilitate
 the<br />

interchange
 amongst
 these
 industries.
 Now
 attendees
 and
 customers
 will
 have
 one
 venue
 to<br />

find
 the
 tools,
 methodologies,
 and
 silicon
 solutions
 they
 need.
 Visit
 the
 Silicon
 Village
 on<br />

the
 exhibit
 floor
 during
 regular
 exhibit
 hours.<br />

Free Monday Exhibit-Only Passes<br />

The
 <strong>37th</strong>
 <strong>DAC</strong>
 is
 offering
 Free
 Monday
 Exhibit-Only
 Passes.
 These
 passes
 are
 good
 only
 on<br />

Monday,
Ju ne
 5,
2 000,
 and
 are
o btainable
f rom
 <strong>DAC</strong>
 Exhibitors,
t he
 <strong>DAC</strong>
F ree
 Monday
 Hot
 Line:<br />

toll-free
 1-800-321-4573,
 1-303-530-4333,
 or
 on
l ine
a t
 w
w
w
.
d
a
c
.
c
o
m
/
f
r
e
e
m
o
n
.
h
t
m
l.<br />

<strong>Adv</strong>ance
 registration
 for
 the
 Free
 Monday
 Exhibit-Only
 Passes
 ends
 May
 18,
 2000.
 After
 May
 18,<br />

2000,
F ree
M onday
E xhibit-Only
P asses,
w ill
b e
a vailable
A T-CONFERENCE
 ONLY,
 at
n o
c harge.
<br />

Exhibit-Only Admission<br />

To
 enter
 the
 exhibits
 Monday,
 June
 5,
 2000,
 through
 Wednesday,
 June
 7,
 2000,
 purchase<br />

an
 Exhibit-Only
 Pass
 for
 $40.00.
 To
 avoid
 long
 lines,
 register
 in
 advance
 by
 using
 the<br />

registration
 form
 located
 on
 the
 inside
 back
 cover,
 or
 register
 on-line
 at
 www.dac.com.<br />

Exhibitor Presentations<br />

Exhibitor
 Presentations
 will
 be
 held
 on
 Monday,
 June
 5,
 2000,
 from
 9:00
 AM
 to
 6:00
 PM.
 The<br />

Exhibitor
 Presentations
 will
 be
 20-minute
 time
 slots
 with
 six
 sessions
 running
 concurrently.<br />

For
 more
 information
 see
 the
 abstracts
 and
 matrix
 for
 Exhibitor
 Presentations
 on
 pages
<br />

8-17.
 No
 badge
 needed.<br />

The
 Design
 Automation
 Conference
 is
 pleased
 to
 provide
 conference
 attendees
 and
 exhibitors<br />

the
 <strong>DAC</strong>net
 Mail
 and
 Information
 System.
 The
 <strong>DAC</strong>net
 system
 allows
 attendees
 direct
 internet<br />

access
 during
 the
 duration
 of
 <strong>DAC</strong>.
 Once
 established,
 users
 can
 log-in
 to
 any
 of
 the
 <strong>DAC</strong>net<br />

stations
 at
 the
 conference.<br />

Each
 workstation
 will
 have
 a
 browser
 available
 for
 immediate
 www
 access,
 and
 telnet
 access.<br />

If
 you
 would
 like
 to
 establish
 an
 email
 account
 at
 <strong>DAC</strong>,
 just
 follow
 the
 instructions
 on-site.<br />

Pre-registration
 is
 not
 required
 or
 allowed
 this
 year.
 If
 you
 have
 any
 questions
 about<br />

<strong>DAC</strong>net,
 email
 kevin@dac.com.<br />

exhibitor<br />

info<br />

5


exhibitor<br />

info<br />

6<br />

sv<br />

sv<br />

sv<br />

sv<br />

sv<br />

sv<br />

sv<br />

sv<br />

sv<br />

Exhibitor Listing<br />

The
 Design
 Automation
 Conference
 continues
 to
 combine
 its
 outstanding
 technical
 program
 with<br />

exhibits
 featuring
 over
 230
 of
 the
 world’s
 top
 CAD/CAE
 companies.
 Exhibits
 are
 located
 in
 the
 South<br />

Hall
 of
 the
 Los
 Angeles
 Convention
 Center.
 We
 are
 pleased
 to
 have
 the
 following
 exhibitors
 this
 year.
<br />

COMPANY NAME BOOTH # COMPANY NAME BOOTH #<br />

0-IN
 DESIGN
 AUTOMATION ..........................4047<br />

3
 DSP
 CORP. ..........................................SV39<br />

ACE
 ASSOCIATED
 COMPILER
 EXPERTS
 BV............4706<br />

ACTEL
 CORP. ..........................................3529<br />

ADVANSIS ............................................4753<br />

AGILENT
TECHNOLOGIES ..............................2553<br />

ALBA
 CENTRE
 (THE) ....................................SV6<br />

ALCATEL
 MICROELECTRONICS
 USA....................SV31<br />

ALDEC,
 INC. ..........................................3009<br />

ALTERA
 CORP. ........................................4001<br />

ALTERNATIVE
 SYSTEM
 CONCEPTS,
 INC...............4721<br />

ALTIUS
 SOLUTIONS,
 INC ............................4845<br />

AMERICAN
 MICROSYSTEMS,
 INC. ....................SV21<br />

ANSOFT
 CORP. ........................................3811<br />

ANTRIM
 DESIGN
 SYSTEMS,
 INC. ....................4459<br />

APLAC
 SOLUTIONS
 CORP. ............................3360<br />

APPLIED
 SIMULATION
 TECHNOLOGY..................4441<br />

APPNET,
 INC. ........................................4852<br />

APTIX
 CORP...........................................4029<br />

ARC
 CORES
 LTD. ......................................4039<br />

ARCADIA
 DESIGN
 SYSTEMS,
 INC.....................4417<br />

ARCHELON
 INC. ......................................4708<br />

AREXSYS
 INC. ........................................3147<br />

ARISTO
 TECHNOLOGY,
 INC. ..........................4545<br />

ARM,
 INC. ............................................SV28<br />

ARTISAN
 COMPONENTS,
 INC. ........................2929<br />

ARTWORK
 CONVERSION
 SOFTWARE
 INC. ............4320<br />

ATMOS
 CORP. ........................................4712<br />

AUSTRIA
 MIKRO
 SYSTEME
 INTERNATIONAL
 AG........SV9<br />

AUTOMATA
 DESIGN,
 INC. ............................2611<br />

AVANT!
 CORP. ........................................3117<br />

AXIS
 SYSTEMS ........................................4145<br />

AXYS
 DESIGN
 AUTOMATION,
 INC. ..................3767<br />

BARCELONA
 DESIGN ..................................4161<br />

BILLIONS
 OF
 OPERATIONS
 PER
 SECOND
 (BOPS) ....SV17<br />

BLUE
 PACIFIC
 COMPUTING,
 INC. ..................4607<br />

BTA
 TECHNOLOGY,
 INC. ..............................4433<br />

BYTEK
 DESIGNS,
 INC. ................................2415<br />

C
 LEVEL
 DESIGN,
 INC.................................4819<br />

CADABRA
 DESIGN
 AUTOMATION ....................3129<br />

CADENCE
 DESIGN
 SYSTEMS,
 INC.....................3301<br />

CADMOS
 DESIGN
 TECHNOLOGY,
 INC. ................4837<br />

CAE
 PLUS,
 INC. ......................................3705<br />

CAHNERS
 BUSINESS
 INFORMATION ..................3929<br />

CARDTOOLS
 SYSTEMS..................................2307<br />

CAST,
 INC. ..........................................4715<br />

CCAES.COM............................................2637<br />

CHIP
 EXPRESS
 CORP. ..................................SV1<br />

CHRONOLOGY
 CORP. ..................................3517<br />

CIRCUIT
 SEMANTICS,
 INC. ..........................4517<br />

CMP ....................................................SV33<br />

CMP
 MEDIA
 -
 MILLER
 FREEMAN ......................3017<br />

CO-DESIGN
 AUTOMATION,
 INC. ....................3259<br />

COMCAD
 GMBH
 ANALOG
 DESIGN
 SUPPORT ..........3267<br />

COMPUTER
 GLOBAL,
 INC. ............................4544<br />

CONCEPT
 ENGINEERING
 GMBH ......................3066<br />

sv<br />

sv<br />

sv<br />

sv<br />

CONVERGENCE
 DESIGN
 INC...........................4155<br />

COWARE,
 INC. ........................................4745<br />

CREOSYS
 INC. ........................................2757<br />

CSELT
 SPA ............................................4653<br />

CYNAPPS ..............................................3667<br />

CYPRESS
SEMICONDUCTOR ..........................4253<br />

D2W
 DESIGN-TO-WAFER
 SERVICES ..................4812<br />

DENALI
 SOFTWARE,
 INC. ............................2617<br />

DERIVATION
 SYSTEMS,
 INC. ........................4152<br />

DESIGN
 &
 REUSE ......................................3153<br />

DESIGNSOFT,
 INC. ..................................3461<br />

DSM
 TECHNOLOGIES,
 INC. ..........................3362<br />

DUALSOFT
 LLC ........................................2313<br />

DYNALITH
 SYSTEMS,
 INC. ............................2453<br />

EDA
 STANDARDS ......................................3711<br />

E<strong>DAC</strong>ONNECT.COM ....................................3059<br />

ELECTRONICS
WORKBENCH ..........................3547<br />

ENGINEERING
 DATAXPRESS,
 INC. ..................4220<br />

EONIC
 SYSTEMS
 INC. ................................4810<br />

ESCALADE
 CORP.......................................4229<br />

EXEMPLAR
 LOGIC,
 INC. ..............................3453<br />

FARADAY
 TECHNOLOGY
 CORP. ........................3460<br />

FINTRONIC
 USA,
 INC. ..............................2728<br />

FLUENCE
 TECHNOLOGY ................................3653<br />

FORESIGHT
 AT
 NU
 THENA
 SYSTEMS,
 INC. ..........4401<br />

FREQUENCY
 TECHNOLOGY,
 INC. ......................3837<br />

FRONTEC
 MICORELECTRONICS
 AB ....................SV23<br />

FRONTIER
 DESIGN,
 INC. ............................2213<br />

FTL
 SYSTEMS,
 INC. ..................................3347<br />

GENESYS
 TESTWARE ..................................4546<br />

GET2CHIP.COM,
 INC. ................................2019<br />

GLOBAL
 UNICHIP
 CORP. ..............................SV35<br />

GRIDWARE,
 INC. ....................................3363<br />

H<strong>DAC</strong>,
 INC. ..........................................4818<br />

HEWLETT-PACKARD
 CO. ..............................3617<br />

IBM
 MICROELECTRONICS
 CORP. ....................SV25<br />

IKOS
 SYSTEMS
 INC. ..................................3817<br />

IMEC ..................................................3462<br />

IMEC/EUROPRACTICE ................................SV32<br />

IMODL,
 INC. ..........................................4061<br />

INCENTIA
 DESIGN
 SYSTEMS,
 INC. ..................4561<br />

INSILICON
 CORP. ....................................2734<br />

INTEGRATED
 MEASUREMENT
 SYSTEMS ................3159<br />

INTEGRATED
 SILICON
 SYSTEMS
 LTD. ................4447<br />

INTELLITECH
 CORP. ..................................3941<br />

INTERCEPT
 TECHNOLOGY
 INC. ......................3001<br />

INTERNET
 BUSINESS
 SYSTEMS,
 INC. ................4814<br />

INTERNETCAD.COM,
INC. ............................2713<br />

INTERRA,
 INC. ......................................2537<br />

INTERWEAVE
 TECH ....................................3966<br />

INTIME
 SOFTWARE,
 INC. ............................2345<br />

INTRINSIX
 CORP. ....................................3753<br />

IOTA
 TECHNOLOGY
 INC. ..............................2861<br />

IQXPERT ..............................................3341<br />

KLUWER
 ACADEMIC
 PUBLISHERS ....................4222<br />

LATTICE
 SEMICONDUCTOR
 CORP./
 VANTIS
 CORP. ..2529


sv<br />

sv<br />

sv<br />

sv<br />

sv<br />

sv<br />

LEGEND
 DESIGN
 TECHNOLOGY,
 INC. ................4329<br />

LEVETATE
 DESIGN
 SYSTEMS,
 INC.....................4719<br />

LEXRA,
 INC. ..........................................2562<br />

LIBRARY
 TECHNOLOGIES,
 INC. ......................2613<br />

LOGIC
 EXPRESS
 SYSTEMS
 INC. ......................4067<br />

LOGICVISION,
 INC. ..................................4011<br />

LSI
 LOGIC
 CORP. ....................................2544<br />

MAGMA
 DESIGN
 AUTOMATION,
 INC. ................2329<br />

MATHMATICAL
 SYSTEMS
 INC. ........................2867<br />

MATRICUS
 INC. ......................................2732<br />

MEMSCAP..............................................4829<br />

MENTOR
 GRAPHICS
 CORP. ..........................3501<br />

MICRO
 MAGIC,
 INC. ................................2401<br />

MICROCOSM
 TECHNOLOGIES,
 INC. ..................2237<br />

MIPS
 TECHNOLOGIES,
 INC. ..........................SV27<br />

MITSUI
 &
 CO.,
 LTD. ..................................2767<br />

MODEL
 TECHNOLOGY
 INC. ............................3353<br />

MONTEREY
 DESIGN
 SYSTEMS ..........................3137<br />

MORGAN
 KAUFMANN
 PUBLISHERS,
 INC. ............2635<br />

MOSCAPE,
 INC. ......................................4645<br />

MOSIS..................................................SV13<br />

MOSYS,
 INC. ..........................................3061<br />

MYCAD,
 INC. ........................................2641<br />

NASSDA
 CORP. ........................................2407<br />

NEC
 ELECTRONICS ....................................4539<br />

NEO
 LINEAR,
 INC.....................................2661<br />

NORDIC
 VLSI
 ASA ....................................SV14<br />

NOVAS
 SOFTWARE,
 INC. ..............................4501<br />

NUMERICAL
 TECHNOLOGIES,
 INC. ..................2512<br />

NURLOGIC
 DESIGN,
 INC. ............................4053<br />

OEA
 INTERNATIONAL,
 INC. ..........................4429<br />

OPTEM
 ENGINEERING
 INC. ..........................4322<br />

PADS
 SOFTWARE ......................................3211<br />

PALMCHIP
 CORP. ....................................2113<br />

PIVOTAL
TECHNOLOGIES ..............................SV22<br />

PLATFORM
 COMPUTING
 CORP. ......................3447<br />

PRENTICE
 HALL-PTR ..................................2629<br />

PROLIFIC,
 INC. ......................................2314<br />

PROSPER
 DESIGN
 SYSTEMS
 PTE
 LTD. ................2461<br />

QTHINK................................................4445<br />

QUICKLOGIC
 CORP. ....................................SV5<br />

ROUTECH,
 INC. ......................................2207<br />

RUBICAD
 CORP. ......................................4111<br />

SAGANTEC ............................................4529<br />

SAPPHIRE
 DESIGN
 AUTOMATION ....................3639<br />

SENTE,
 INC. ..........................................3717<br />

SICAN
 MICROELECTRONICS
 CORP. ..................3057<br />

SIGDA/<strong>DAC</strong>
 UNIVERSITY
 BOOTH ....................2811<br />

SILICON
 AUTOMATION
 SYSTEMS
 LTD. ................2339<br />

SILICON
 CRAFT
 INC. ................................2966<br />

SILICON
 FOREST
 RESEARCH,
 INC. ..................3441<br />

SILICON
 GRAPHICS
 COMPUTER
 SYSTEMS
 INC. ......3553<br />

SILICON
 INTEGRATION
 INITIATIVE,
 INC.
 (SI2) ..4019<br />

SILICON
 METRICS
 CORP. ............................3759<br />

SILICON
 PERSPECTIVE
 CORP.........................4553<br />

SILICON
 VALLEY
 RESEARCH,
 INC.....................3329<br />

SILICON
 VALUE........................................3367<br />

SILICONX ..............................................SV10<br />

SILVACO
INTERNATIONAL ............................2519<br />

SIMPLEX
 SOLUTIONS,
 INC. ..........................2501<br />

sv<br />

sv<br />

sv<br />

sv<br />

sv<br />

sv<br />

sv<br />

Exhibitor Listing<br />

SIMPOD,
 INC. ........................................2439<br />

SIMUCAD,
 INC. ......................................4411<br />

SIMULATION
 MAGIC,
 INC. ..........................4739<br />

SIMUTECH,
 LLC ......................................2219<br />

SIMUTEST,
 INC. ......................................2711<br />

SLICEX,
 INC. ..........................................SV18<br />

SNAKETECH
 CORP. ....................................3647<br />

SONICS
 INC. ..........................................2961<br />

SUMMUS
 DESIGN
 CO.,
 LTD. ..........................4711<br />

SUN
 MICROSYSTEMS ..................................3317<br />

SYCON
 DESIGN,
 INC. ................................4507<br />

SYNAPTICAD
 INC. ....................................2315<br />

SYNCHRONICITY,
INC. ..............................3537<br />

SYNOPSYS
INTEROPERABILITY ......................4119<br />

SYNOPSYS,
 INC. ......................................4201<br />

SYNPLICITY,
 INC. ....................................2801<br />

SYNTEST
 TECHNOLOGIES,
 INC. ......................2647<br />

TANNER
 EDA ..........................................3111<br />

TARGET
 COMPILER
 TECHNOLOGIES
 N.V. ............3160<br />

TECH
 ONLINE ..........................................3661<br />

TECHMATE
 INC. ......................................3158<br />

TECHNICALLY
 SPEAKING,
 INC. ......................2631<br />

TEMENTO
 SYSTEMS ....................................4453<br />

TENSILICA,
 INC. ....................................3567<br />

TERA
 SYSTEMS
 INC. ..................................4353<br />

TERADYNE,
 INC. ......................................4822<br />

TEXAS
 INSTRUMENTS
 INC.............................4639<br />

THARAS
 SYSTEMS......................................2301<br />

TRANSEDA,
 INC.......................................2729<br />

TRANSLOGIC
 USA
 CORP...............................4540<br />

TRANSMODELING,
 INC. ..............................4611<br />

TRISCEND
 CORP. ......................................SV37<br />

TSMC
 NORTH
 AMERICA ................................SV11<br />

ULTIMA
 INTERCONNECT
 TECHNOLOGY ................3029<br />

UNITED
 MICROELECTRONICS
 CORP.
 GROUP ........SV19<br />

V
 DESIGN/UNIQUE
 SOFTWARE
 SERVICES,
 INC. ....4710<br />

VALIDITY
 DESIGN
 AUTOMATION,
 INC. ..............2957<br />

VALIOSYS
 SA ..........................................2561<br />

VALOR
 COMPUTERIZED
 SYSTEMS......................3947<br />

VAST
 SYSTEMS
 TECHNOLOGY
 CORP. ..................4361<br />

VAUTOMATION,
 INC...................................SV15<br />

VERIBEST,
 INC. ......................................3801<br />

VERISITY
 DESIGN,
 INC...............................3829<br />

VERITOOLS,
 INC. ....................................3701<br />

VERPLEX
 SYSTEMS,
 INC. ..............................2319<br />

VIEWLOGIC
 SYSTEMS
 &
 SUMMIT
 DESIGN ............3101<br />

VIRAGE
 LOGIC
 CORP. ................................2229<br />

VIRTUAL
 COMPONENT
 EXCHANGE ....................2662<br />

VIRTUAL
 SILICON
 TECHNOLOGY,
 INC. ..............4337<br />

VSI
 ALLIANCE ..........................................SV7<br />

WESTERN
 DESIGN
 CENTER ..............................SV3<br />

X-TEK
 CORP. ..........................................4405<br />

XENTEC
 INC. ..........................................4153<br />

XILINX,
 INC. ........................................3629<br />

XPEDION
 DESIGN
 SYSTEMS,
 INC ....................2857<br />

Y
 EXPLORATIONS,
 INC. ..............................3853<br />

ZUKEN-RE<strong>DAC</strong>,
 INC. ................................2601<br />

exhibitor<br />

info<br />

7


T
i
m
e<br />

9:00<br />

9:20<br />

9:40<br />

1
0
:
0
0<br />

1
0
:
2
0<br />

1
0
:
4
0<br />

1
1
:
0
0<br />

1
1
:
2
0<br />

1
1
:
4
0<br />

2:00<br />

2:20<br />

2:40<br />

3:00<br />

3:20<br />

3:40<br />

4:00<br />

4:20<br />

4:40<br />

5:00<br />

5:20<br />

5:40<br />

8<br />

ROOM 403<br />

AVANT! CORP.<br />

SYNPLICITY, INC.<br />

MAGMA D.A., INC.<br />

SAGANTEC<br />

EXEMPLAR<br />

LOGIC, INC.<br />

VERISITY<br />

DESIGN, INC.<br />

XILINX, INC.<br />

CYPRESS<br />

SEMICONDUCTOR<br />

CADENCE DESIGN<br />

SYSTEMS, INC.<br />

ROOM 403<br />

VAST SYSTEMS<br />

TECHNOLOGY CORP.<br />

ZUKEN-RE<strong>DAC</strong>, INC.<br />

Exhibitor Presentation Schedule<br />

ROOM 404<br />

DUALSOFT LLC<br />

MICROCOSM<br />

TECHNOLOGIES, INC.<br />

0-IN DESIGN<br />

AUTOMATION<br />

ARCADIA DESIGN<br />

SYSTEMS, INC.<br />

VALIDITY DESIGN<br />

AUTOMATION, INC.<br />

A LT E R N ATIVE SYSTEM<br />

CONCEPTS, INC.<br />

GENESYS<br />

TESTWARE<br />

INSILICON CORP.<br />

NEO LINEAR, INC.<br />

ROOM 404<br />

SONICS INC.<br />

CONCEPT<br />

ENGINEERING GMBH<br />

ESCALADE CORP. FTL SYSTEMS, INC.<br />

SYNOPSYS, INC.<br />

MENTOR<br />

GRAPHICS CORP.<br />

TRANSEDA, INC.<br />

HEWLETT-<br />

PACKARD CO.<br />

PADS SOFTWARE<br />

MONTEREY DESIGN<br />

SYSTEMS<br />

SIMPLEX<br />

SOLUTIONS, INC.<br />

CHRONOLOGY<br />

CORP<br />

ALTERA CORP.<br />

TEXAS<br />

INSTRUMENTS INC.<br />

LEGEND DESIGN<br />

TECHNOLOGY, INC.<br />

OPTEM<br />

ENGINEERING INC.<br />

ROOM 406<br />

OEA I N T E R N AT I O N A L ,<br />

INC.<br />

CADMOS DESIGN<br />

TECHNOLOGY, INC.<br />

BOPS<br />

ENGINEERING<br />

DATAXPRESS, INC.<br />

INCENTIA DESIGN<br />

SYSTEMS, INC.<br />

SICAN MICRO-<br />

ELECTRONICS CORP.<br />

ROOM 408A<br />

APTIX CORP.<br />

ARTISAN<br />

COMPONENTS, INC.<br />

DENALI<br />

SOFTWARE, INC.<br />

12:00
 –
 2:00
 LUNCH
 BREAK<br />

ROOM 408B<br />

VIEWLOGIC<br />

SYSTEMS, INC.<br />

These 20-minute presentations by <strong>DAC</strong> Exhibitors are intended to efficiently introduce you to new product and application information.<br />

Badges are not required for admission to the Exhibitor Presentations.<br />

ROOM 411<br />

THARAS<br />

SYSTEMS INC.<br />

AXIS SYSTEMS NASSDA CORP.<br />

MODEL<br />

TECHNOLOGY INC.<br />

SNAKETECH CORP. TENSILICA, INC.<br />

VIRAGE<br />

LOGIC CORP.<br />

ULTIMA<br />

INTERCONNECT<br />

SIMUTEST, INC. IKOS SYSTEMS INC. RUBICAD CORP.<br />

QTHINK<br />

GROUP, INC.<br />

PIVOTAL<br />

TECHNOLOGIES<br />

ROOM 406<br />

SYNTEST<br />

TECHNOLOGIES, INC.<br />

BARCELONA<br />

DESIGN<br />

CADABRA DESIGN<br />

AUTOMATION<br />

ROOM 408A<br />

QUICKLOGIC CORP.<br />

CMP COWARE, INC.<br />

BTA<br />

TECHNOLOGY, INC.<br />

CIRCUIT<br />

SEMANTICS, INC.<br />

INTERCEPT<br />

TECHNOLOGY INC.<br />

NURLOGIC<br />

DESIGN, INC.<br />

UMC GROUP CSELT SPA<br />

PLATFORM<br />

COMPUTING CORP.<br />

CO-DESIGN<br />

AUTOMATION, INC.<br />

AREXSYS INC.<br />

LOGICVISION, INC.<br />

SENTE, INC.<br />

PROLIFIC, INC.<br />

VERPLEX<br />

SYSTEMS, INC.<br />

INTRINSIX CORP. TRISCEND CORP.<br />

ANSOFT CORP. SIMUTECH, LLC<br />

SILICON FOREST<br />

RESEARCH, INC.<br />

SILICON A U TO M AT I O N<br />

SYSTEMS LTD.<br />

ROOM 408B<br />

TERA SYSTEMS INC.<br />

AMERICAN MICRO-<br />

SYSTEMS, INC.<br />

ARISTO<br />

TECHNOLOGY, INC.<br />

AGILENT<br />

TECHNOLOGIES<br />

NUMERICAL<br />

T E C H N O L O G I E S , INC.<br />

COMCAD GMBH<br />

ANALOG DESIGN<br />

MYCAD, INC.<br />

NORDIC VLSI ASA<br />

ROOM 411<br />

BLUE PACIFIC<br />

COMPUTING, INC.<br />

TARGET COMPILER<br />

TECHNOLOGIES N.V.<br />

H<strong>DAC</strong>, INC.<br />

THE ALBA CENTRE<br />

IMEC<br />

CAE PLUS, INC. E<strong>DAC</strong>ONNECT.COM MOSIS<br />

FINTRONIC<br />

USA, INC.<br />

SGI CAST, INC.<br />

SIMPOD, INC.<br />

SILICON CRAFT INC. SILICON VALUE<br />

Y EXPLORATIONS,<br />

INC.<br />

SYCON DESIGN, INC.<br />

SILICON VALLEY<br />

RESEARCH, INC.<br />

NOVAS<br />

SOFTWARE, INC.<br />

SLICEX, INC. IMS<br />

AUSTRIA MIKRO<br />

SYSTEME<br />

SUMMUS DESIGN<br />

CO., LTD.<br />

XPEDION DESIGN<br />

SYSTEMS, INC<br />

ARC CORES LTD.<br />

INTERRA, INC.<br />

MATHMATICAL<br />

SYSTEMS INC.<br />

SIMUCAD, INC. SI2<br />

SILICON VILLAGE PARTICIPANTS ARE SHADED IN GREY.<br />

ALCATEL MICRO-<br />

ELECTRONICS USA<br />

DYNALITH<br />

SYSTEMS, INC.<br />

D2W DESIGN-TO-<br />

WAFER SERVICES<br />

ACE A S S O C I AT E D<br />

COMPILER EXPERTS B V<br />

ROUTECH, INC.<br />

GLOBAL UNICHIP<br />

CORP.


Exhibitor Presentation Abstracts<br />

0-IN
 Design
 Automation 9:40<br />

Learn
 how
 0-In’s
 white-box
 verification
 tools
 can
 help
 you
 zero-in
 on
 tough
 bugs
 -<br />

without
 any
 design,
 testbench,
 or
 simulation
 changes.<br />

ACE
 Associated
 Compiler
 Experts
 bv 5:00<br />

Generating
 C
 compilers
 for
 flexible
 architectures
 using
 the
 CoSy
 compiler
 development<br />

system.<br />

Agilent
Technologies 3:00<br />

Agilent
 EEsof
 EDA
 presents
 its
 <strong>Adv</strong>anced
 Design
 System
 family
 of
 software
 products
 for<br />

designing
 state-of-the-art
 wireless
 communication
 systems.<br />

The
 Alba
 Centre 3:00<br />

The
 Alba
 Centre
 is
 the
 hub
 of
 a
 Scottish
 initiative
 that
 is
 driving
 the
 future
 of
 electronic<br />

design.<br />

Alcatel
 Microelectronics
 USA 4:00<br />

Alcatel
 Microelectronics
 offers
 mixed-signal
 systems-on-chip
 and
 specific
 interface
 application
 circuits,
 in<br />

technologies
 as
 CMOS,
 BiCMOS,
 High-Voltage
 (HIBIMOSF,
 Intelegent
 Interface
 Technology)
 and
 BiCMOS R
F
.<br />

Altera
 Corp. 5:40<br />

Altera’s
s ystem-on-a-programmable-chip
( SOPC)
s olution
c ombines
 programmable
s ilicon,
s oftware,<br />

and
 intellectual
 property
 to
 provide
 users
 with
 productivity
 advantages
 in
 system-level
 integration.<br />

Alternative
 System
 Concepts,
 Inc. 10:40<br />

Ask
 ASC
 about
 XML
 implementation
 of
 IP
 and
 SOC
 solutions
 including
 translation,
 rules<br />

checking,
 test
 insertion
 and
 low
 power
 optimization.
<br />

American
 Microsystems,
 Inc.
 2:20<br />

American
 Microsystems,
 Inc.
 offers
 the
 only
 ASIC
 solution
 for
 high-density
 Virtex
 and<br />

Apex
 FPGAs
 with
 its
 targeted
 XLArray
 family.<br />

Ansoft
 Corp. 10:40<br />

Ansoft
 provides
 high
 performance
 solutions
 for
 IC
 packaging,
 high
 speed
 printed
 circuit<br />

boards
 (PCBs),
 RFICs
 and
 EMI
 analysis.<br />

Aptix
 Corp. 9:00<br />

Aptix
 will
 provide
 a
 brief
 overview
 of
 its
 easy-to-use
 SoC
 emulation
 product
 line
 and
 its<br />

activities
 at
 <strong>DAC</strong>
 including
 floor
 demonstrations
 of
 customer
 emulation
 environments.<br />

Arcadia
 Design
 Systems,
 Inc. 10:00<br />

Can't
 meet
 timing?
 Have
 an
 unroutable
 design?
 Use
 Mustang
 on
 datapath
 intensive<br />

designs
 to
 reduce
 iterations
 and
 optimize
 throughput.<br />

ARC
 Cores
 Ltd. 4:40<br />

ARC
 Cores
 presents
 details
 of
 how
 the
 world's
 first
 32-bit
 user
 configurable
 microprocessor<br />

remains
 unique
 in
 allowing
 designers
 to
 significantly
 reduce
 product
 development
 time.<br />

Arexsys
 Inc. 2:40<br />

Leader
 in
 System
 Design
 Automation
 delivers:
 ArchiMate
 for
 architecture
 exploration<br />

(partitioning,
 synthesis,
t argeting);
 and
C osiMate
 a
 system
m odeling
 co-simulation
b ackplane.<br />

Aristo
 Technology,
 Inc. 2:40<br />

Aristo
 provides
 critical
 technology
 for
 automating
 block-based
 design
 --
 a
 methodology<br />

which
 is
 transforming
 the
 silicon
 die
 into
 a
 full-scale
 system-on-chip.<br />

Artisan
 Components,
 Inc. 9:20<br />

Abstract
 not
 available.<br />

e
x
h
i
b
i
t
o
r<br />

p
r
e
s
e
n
t
a
t
i
o
n
s<br />

9


e
x
h
i
b
i
t
o
r<br />

p
r
e
s
e
n
t
a
t
i
o
n
s<br />

10<br />

Exhibitor Presentation Abstracts<br />

Austria
 Mikro
 Systeme
 International
 AG 5:40<br />

Austria
 Mikro
 Systeme
 International
 is
 a
 Design
 and
 Manufacture
 of
 Mixed
 mode,
 CMOS,<br />

BiCMOS,
 and
 SiGe
 circuts
 for
 RF,
 Automotive,
 and
 high
 voltage
 applications.<br />

Avant!
 Corp. 9:00<br />

Avant!
 Corporation,
 the
 fastest
 growing
 EDA
 company
 in
 history,
 will
 announce
 its
 latest<br />

technology
 breakthroughs
 and
 product
 achievements.<br />

Axis
 Systems 9:20<br />

Axis
 System
 brings
 design
 verification
 to
 the
 next
 level
 by
 introducing
 a
 breakthrough
 technology<br />

to
 further
 extend
it s
v erification
a cceleration
 leadership.
B e
 there
li ve
f or
t he
a nnouncement.<br />

Barcelona
 Design 11:20<br />

Barcelona’s
 design
 automation
 engine
 allows
 analog
 and
 mixed-signal
 engineers
 to<br />

create
 optimal
 designs
 in
 a
 fraction
 of
 the
 time
 it
 takes
 today.<br />

Billions
 of
 Operations
 Per
 Second 9:40<br />

BOPS,
 Inc.
 develops
 and
 licenses
 the
 highest-performance,
 scalable
 and
 reusable
 DSP
 cores
 and<br />

world-class
 compiler
 and
 software
 tool
 products
 targeted
 for
 high-volume
 SOC
 based
 applications.<br />

Blue
 Pacific
 Computing,
 Inc. 2:00<br />

Blue
 Pacific
 Computing
 will
 demonstrate
 their
 BlueHDL
 simulation
 tools.
 BlueHDL
 supports<br />

VHDL,
 Verilog
 and
 SystemC
 running
 under
 Linux,
 Solaris
 and
 Windows.<br />

BTA
 Technology,
 Inc. 2:40<br />

Accuracy
 is
 our
 claim
 to
 fame.
 Accurate,
 multi-million
 transistor
 circuit
 simulator.<br />

Accurate
 SPICE
 modeling
 software
 for
 DC,
 AC,
 RF,
 Noise,
 Interconnect,
 and
 SOI
 devices.<br />

Cadabra
 Design
 Automation 11:40<br />

Cadabra's
 layout
c reation
 technology
p rovides
t he
 foundation
 for
 Library
 Factories
 which<br />

help
 chip
 designers
 achieve
 higher
 performance
 designs
 while
 reducing
 development
 time.<br />

Cadence
 Design
 Systems,
 Inc. 11:40<br />

Cadence,
 the
 technology
 leader
 in
 design
 software
 and
 services,
 will
 present
 its
 latest<br />

solutions
 in
 synthesis,
 place-and-route,
 verification,
 system-level
 and
 high-speed
 PCB
 design.<br />

CadMOS
 Design
 Technology,
 Inc. 9:20<br />

CadMOS
 will
 present
 on
 how
 to
 prevent
 noise
 wrecking
 havoc
 with
 your
 UDSM
 design's<br />

productivity,
 quality
 and
 performance.<br />

CAE
 Plus,
 Inc. 3:40<br />

Design
 in
 HDL,
 verify
 in
 C!
 CAE
 Plus
 enables
 SOC
 designers
 to
 verify
 in
 fast,
 RTL-accurate<br />

C
 while
 continuing
 to
 use
 existing
 HDL
 design
 methods
 and
 tools.<br />

CAST,
 Inc. 4:20<br />

Cost-effective
 IP
 and
 innovative
 design
 data
 management
 tools
 help
 designers
 replace<br />

obsolete
 parts
 and
 integrate
 functions
 for
 SoC
 design.<br />

Chronology
 Corp. 5:20<br />

Testbench
 automation
 for
 all
 members
 of
 your
 design
 team,
 at
 all
 levels
 of
 the
 design.<br />

Experience
 Chronology's
 latest
 QuickBench ® Verification
Suite.<br />

Circuit
 Semantics,
 Inc. 3:00<br />

The
 leading
 provider
 of
 timing
 and
 characterization
 solutions
 for
 high
 performance
 ICs,<br />

multiple
 types
 of
 IP,
 and
 standard
 cells.<br />

CMP 2:20<br />

CMP
 is
 a
 manufacturing
 broker
 for
 ICs,
 MCMs,
 and
 MEMS,
 for
 prototyping
 and
 low
 volume<br />

production.


Exhibitor Presentation Abstracts<br />

Co-Design
 Automation,
 Inc. 4:40<br />

For
 advanced
 simulation
 technology
 that
 combines
 the
 best
 of
 Verilog,
 C
 and
 SUPERLOG<br />

for
 SoC
 designs,
 check
 out
 Co-Design
 Automation.<br />

ComCAD
 GmbH 11:00<br />

Need
 a
 tool
 that
 works
 out
 your
 analog
 circuits?
 Take
 Size!
 ComCAD
 ◆-
 inventing
 analog<br />

synthesis.<br />

Concept
 Engineering
 GmbH 2:20<br />

Concept
 Engineering
 provides
 innovative
 schematic
 generation
 and
 viewing
 technology<br />

for
 commercial
 EDA
 vendors,
 in-house
 CAD
 departments
 and
 IC
 and
 FPGA
 designers.<br />

CoWare,
 Inc. 2:20<br />

CoWare,
 the
 leading
 system-level
 design
 company,
 cuts
 SoC
 design
 time
 in
 half
 with
 a<br />

proven
 hardware/software
 co-design
 methodology
 and
 tools.<br />

CSELT
 SpA 4:00<br />

CSELT
 introduces
 you
 to
 an
 effective
 methodology
 for
 designing
 ICT
 products
 based
 on<br />

Intellectual
 Properties
 (VIP
 Library)
 and
 system
 emulation.<br />

Cypress
Semiconductor 11:20<br />

The
 best
 CPLDs
 in
 the
 World
 just
 got
 Better
 -
 Familiarize
 yourself
 with
 Cypress's
 Ultra37000,<br />

Quantum38k,
 Delta39K
 and
 our
 new
 Warp
 Enterprise
 Edition
 CPLD
 development
 system.<br />

D2W
 Design-to-Wafer
 Services 4:40<br />

Introducing
 D2W,
 offering
 the
 fastest,
 most
 hassle-free
 way
 to
 move
 your
 design
 into<br />

manufacturing,
 with
 precision,
 automated
 tapeout
 services.<br />

Denali
 Software,
 Inc. 9:40<br />

Memory
 subsystems
 are
 now
 a
 critical
 design
 bottleneck.
 Denali
 launches
 an
 integrated<br />

solution
 for
 memory
 subsystems,
 from
 architecture
 to
 implementation.<br />

DualSoft,
 LLC 9:00<br />

ReviewVer
 and
 ReviewVHDL
 are
 Reuse-enabling
 tools
 for
 HDL
 designs.
 Developed<br />

consistent,
 well-documented
 and
 "reuse-ready"
 designs
 with
 these
 tools!<br />

Dynalith
 Systems,
 Inc. 4:20<br />

Dynalith
 announces
 iSAVE,
 industry’s
 first
 toolkit
 for
 early
 C
 language
 model
 verification<br />

of
 the
 planned
 ASIC
 in
 its
 hardware
 environment.<br />

E<strong>DAC</strong>onnect.com 3:40<br />

E<strong>DAC</strong>onnect.com,
t he
 Internet-based
E DA
c ompany,
p resents
t he
n ext
g eneration
 solution
f or
P CB<br />

and
 Systems
 Design
 with
 all
 business
 transactions
 performed
 interactively
 using
 the
 World
 Wide
 Web.<br />

Engineering
 DataXpress,
 Inc. 10:00<br />

Equipped,
 Dedicated,
 and
 Xperienced
 in
 providing
 quality
 interoperability
 and
 data<br />

integration
 product
 solutions
 for
 over
 15
 years!<br />

Escalade
 Corp. 2:40<br />

Escalade
 helps
 streamline
 RTL
 design
 and
 IP
 reuse.
 Come
 see
 our
 latest
 tool,
 Design<br />

Extractor,
 and
 learn
 how
 to
 understand
 legacy
 designs
 dramatically
 faster.<br />

Exemplar
 Logic,
 Inc. 10:20<br />

Exemplar
 Logic
 is
 the
 leading
 provider
 of
 FPGA
 synthesis
 solutions.
 LeonardoSpectrum
 and<br />

LeonardoInsight
 tools
 provide
 superior
 synthesis
 results.<br />

Fintronic
 USA,
 Inc. 4:00<br />

FinFarm:
 the
 Verilog
 simulation
 farm
 that
 enables
 one
 engineer
 to
 use
 hundreds
 of<br />

workstations
 running
 Super
 FinSim,
 the
 best
 Verilog
 simulator.<br />

e
x
h
i
b
i
t
o
r<br />

p
r
e
s
e
n
t
a
t
i
o
n
s<br />

11


e
x
h
i
b
i
t
o
r<br />

p
r
e
s
e
n
t
a
t
i
o
n
s<br />

12<br />

Exhibitor Presentation Abstracts<br />

FTL
 Systems,
 Inc. 2:40<br />

Capacity,
 Performance
 and
 Language
 Fidelity
 are
 at
 your
 Service
 with
 FTL
 System's<br />

Auriga
 HDL
 compiler/simulator
 products.<br />

Genesys
 Testware 11:00<br />

Genesys
 Testware
 provides
 complete
 manufacturing
 test
 automation
 solutions
 to
 designers
 of<br />

System
 on
 Chip,
 that
 improve
 designer
 productivity,
 improve
 product
 quality
 and
 reduce
 unit
 cost.<br />

Global
 UniChip
 Corp. 5:40<br />

UniChip
 speeds
 up
 your
 SoC
 design
 to
 market
 with
 IPs,
 design
 service,
 and
 turnkey<br />

solutions.
Bu siness
mod els,
hig h
per formance
I Ps,
 and
DS M
des ign
flow
will 
b e
pre sented.<br />

H<strong>DAC</strong>,
 Inc. 2:40<br />

H<strong>DAC</strong>
 (www.hdac.com)
 presents
 Solidify,
 a
 new
 static
 functional
 verification
 tool
 that<br />

"fundamentally
 changes
 the
 way
 designers
 do
 design
 and
 verification."<br />

Hewlett-Packard
 Co. 4:00<br />

Come
 see
 how
 the
 original
 company
 of
 inventors
 is
 reinventing
 EDA
 Design.<br />

IKOS
 Systems
 Inc. 11:00<br />

IKOS
 Systems
 is
 a
 technology
 leader
 in
 high-performance
 design
 verification
 solutions<br />

delivering
 acceleration
 and
 emulation
 performance
 earlier
 in
 your
 design
 flow.<br />

IMEC 3:20<br />

Design
 for
 the
 iHome:
 C++-based
 object
 oriented
 design,
 low
 power
 memory<br />

management,
 reconfigurable
 hardware
 for
 WLAN,
 MPEG-4
 multimedia,
 "smart"
 appliances.<br />

Incentia
 Design
 Systems,
 Inc. 10:20<br />

Incentia
 Design
 Systems
 provides
 a
 new
 class
 of
 design
 synthesis
 tools
 for
 VDSM
 SOC<br />

designs.<br />

inSilicon
 Corp. 11:20<br />

inSilicon
 offers
 semiconductor
 IP
 cores
 (USB,
 VCI,
 Ethernet,
 IEEE
 1394,
 PCI-X,
 PCI,
 IrDA<br />

and
 AGP)
 and
 software
 for
 SoC
 and
 embedded
 designs.<br />

Integrated
 Measurement
 Systems,
 Inc. 5:20<br />

Engineering
 validation
 resolves
 failures
 at
 first-silicon
 when
 the
 virtual
 electronic
 design<br />

model
 is
 made
 into
 a
 real
 physical
 working
 prototype.<br />

Intercept
 Technology
 Inc. 3:20<br />

Intercept
 Technology
 presents
 the
 latest
 Pantheon/MoZaiX
 solutions
 for
 RF,
 signal<br />

integrity,
 DFX,
 and
 library
 management
 for
 PCB/Hybrid/MCM
 design.<br />

Interra,
 Inc. 5:00<br />

Interra,
 Inc.,
 the
 technology
 leader
 for
 EDA
 building
 blocks,
 presents
 its
 latest
 highperformance
<br />

product
 offerings
 on
 functional
 design
 creation
 and
 verification.<br />

Intrinsix
 Corp. 10:20<br />

Find
 out
 how
 the
 largest
 independent
 ASIC
 and
 system
 design
 services
 company
 can
 help<br />

you
 specify
 optimal
 strategies
 for
 system
 development
 and
 verification.<br />

Legend
 Design
 Technology,
 Inc. 3:20<br />

An
ad vanced
Mem ory
In stance
Ch aracterization
p rogram
( MemChar)
is
p resented.
 
Op erable<br />

on
 Internet,
Me mChar’s
 benefits
a re
ac curacy,
pe rformance,
fle xibility,
an d
ea sy-to-u
s
e
.<br />

LogicVision,
 Inc. 3:00<br />

LogicVision
 unveils
 new
 paradigm
 of
 "Embedded

 Test"
 solutions
 from
 front-end
 design<br />

through
 back-end
 manufacturing
 and
 ultimately
 in-field
 remote
 access
 and

 diagnostics.


Exhibitor Presentation Abstracts<br />

Magma
 Design
 Automation,
 Inc. 9:40<br />

Come
 see
 how
 Magma
 is
 melting
 logical
 and
 physical
 design
 with
 a
 single,
 unified
 RTL
 to<br />

GDSII
 design
 system
 that
 eliminates
 iterations
 and
 guarantees
 timing.<br />

Mathmatical
 Systems
 Inc. 5:20<br />

THYME
 -a
 SPICE
 netlist
 reduction
 tool-
 enables
 you
 to
 analize
 a
 VLSI
 circuit
 impossible<br />

until
 now.<br />

Mentor
 Graphics
 Corp. 3:20<br />

With
 Mentor
 Graphics
 high-performance
 tools,
 engineers
 can
 achieve
 designs
 that
 have<br />

never
 been
 done
 before,
 turning
 extreme
 SoC
 and
 PCB
 system
 designs
 into
 real
 products.<br />

Microcosm
 Technologies,
 Inc.
 9:20<br />

Leading
 provider
 of
 software
 and
 engineering
 services
 for
 micro-electro-mechanical
 systems
 (MEMS)<br />

development
f or
 automotive,
 telecommunications,
 bio-medical,
 industrial,
 and
 consumer
 systems.<br />

Model
 Technology
 Inc. 9:40<br />

Model
 Technology's
 ModelSim
 product
 line
 provides
 ASIC
 and
 FPGA
 designers
 with
 the<br />

latest
 in
 HDL
 simulation
 technology
 regardless
 of
 the
 language.<br />

Monterey
 Design
 Systems 4:40<br />

Monterey
 Design
 Systems
 unveils
 innovative
 products
 and
 e-services
 as
 part
 of
 Dolphin,
 the<br />

industry's
 only
c omplete
in tegrated
 physical
 design
 solution.<br />

Mosis 3:40<br />

MOSIS
 and
 partners
 present
 a
 complete
 design
 flow
 (digital,
 mixed-signal)
 for<br />

prototyping
 production
 compatible
 designs
 at
 AMI,
 TSMC,
 etc.<br />

MyCAD,
 Inc. 11:20<br />

MyCAD
 provides
 complete
 solutions
 for
 IC
 design:
 IC
 Layout/Verification,
 VHDL,<br />

Synthesis,
 FPGA
 Prototyper
 at
 affordable
 cost
 on
 PC
 platforms.<br />

Nassda
 Corp. 9:20<br />

Nassda’s
 HSIM
 performs
 full-chip
 circuit
 simulation
 and
 analysis
 for
 nanometer
 memory<br />

and
 mixed-signal
 SOCs
 with
 SPICE
 accuracy
 and
 extremely
 high
 performance.<br />

Neo
 Linear,
 Inc. 11:40<br />

NeoCircuit
 and
 NeoCell
 automate
 custom
 analog
 cell
 synthesis
 and
 layout,
 while<br />

capturing
 the
 design
 constraints
 necessary
 for
 mixed-signal
 IP
 reuse.<br />

Nordic
 VLSI
 ASA 11:40<br />

A
 highly
 skilled
 Fabless
 Foundry.

 Specialized
 in
 high
 speed
 A/D
 Converters
 and
 RF<br />

transceivers
 for
 systems
 on
 chip
 integration.<br />

Novas
 Software 5:00<br />

Debussy ® Knowledge
 Based
 Debugging
 System--the
 complete,
 open
 debugging
 solution<br />

for
 Verilog
 and
 VHDL
 designs--speeds
 IC
 and
 ASIC
 debugging.<br />

Numerical
 Technologies,
 Inc. 3:20<br />

See
 the
 Light!
 Numerical
 Technologies
 will
 present
 a
 solution
 that
 allows
 you
 to
 reliably<br />

design
 and
 manufacture
 ICs
 with
 sub-0.2um
 features.<br />

Nurlogic
 Design,
 inc. 3:40<br />

NurLogic's
 complete
 set
 of
 Foundation
 IP
 includes
 process
 specific,
 silicon
 proven<br />

Standard
 Cell
 Libraries,
 I/Os,
 Specialty
 I/Os,
 Memories,
 and
 Analog
 IP.<br />

OEA
 International,
 Inc. 9:00<br />

Providing
 design
 rule
 generation
 and
 high
 accuracy
 post-layout
 3-D
 field
 solutions
 for<br />

chip
 level
 critical
 power,
 signal
 and
 clock
 nets.<br />

e
x
h
i
b
i
t
o
r<br />

p
r
e
s
e
n
t
a
t
i
o
n
s<br />

13


e
x
h
i
b
i
t
o
r<br />

p
r
e
s
e
n
t
a
t
i
o
n
s<br />

14<br />

Exhibitor Presentation Abstracts<br />

OptEM
 Engineering
 Inc. 3:40<br />

OptEM
 presents
 interconnect
 analysis
 and
 device
 extraction
 tools
 for
 digital
 designers<br />

concerned
 with
 inductive,
 frequency
 dependent
 and
 lossy
 transmission
 line
 effects.<br />

PADS
 Software 4:20<br />

HyperLynx,
 a
 division
 of
 PADS
 Software,
 supplies
 signal
 integrity
 solutions
 that
 will<br />

quickly
 and
 accurately
 solve
 your
 SI,
 EMI
 and
 crosstalk
 issues.<br />

Pivotal
Technologies 11:40<br />

Pivotal
 Technologies
 provides
 deep
 sub-micron
 analog
 and
 mixed
 signal
 subsystems
 for<br />

System-on-Chip
 Communications
 and
 Multimedia
 products.<br />

Platform
 Computing
 Corp. 4:20<br />

LSF
 Suite
 for
 Application
 Resouce
 Management,
 the
 industry-leading
 software
 solution
 that<br />

delivers
 compelling
 business
 results
 by
 effectively
 managing
 enterprise
 computing
 workloads.<br />

Prolific,
 Inc. 9:40<br />

PROLIFIC's
 ProGenesis
 standard-cell
 layout
 tools

 produce
 custom-tailored
 libraries
 that<br />

capture
 and
 reuse
 your
 design

 styles.<br />

QThink 11:20<br />

QThink
 IC
 Design
 Services.

 Find
 out
 why
 so
 many
 customers
 are
 coming
 to
 QThink
 for
 "pure<br />

play"
 design
 services.<br />

QuickLogic
 Corp. 2:00<br />

QuickLogic’s
 new
 QuickDSP
 family
 of
 Embedded
 Standard
 Products
 combines
 the
 power
 of<br />

DSP
 with
 the
 flexibility
 of
 programmable
 logic.<br />

RouTech,
 Inc. 5:20<br />

RouTech,
 Inc.
 has
 developed
 an
 advanced
 autorouter
 for
 PCB
 design,
 based
 on<br />

breakthrough
 technology.

 <strong>Adv</strong>antages
 are
 significant
 for
 high-speed
 designs.<br />

RUBICAD
 Corp. 11:00<br />

LADEE
 combines
 layout
 entry,
 compaction,
 extraction
 and
 verification
 functions
 to<br />

address
 timing,
 cross-talk,
 power,
 and
 design-reuse
 problems
 in
 deep-submicron
 design.<br />

Sagantec 10:00<br />

Sagantec’s
 software
 ensures
 reuse
 and
 optimization
 of
 hard
 intellectual
 property––<br />

designs
 represented
 as
 mask
 layouts
 ––
 to
 implement
 system
 on
 silicon.
<br />

Sente,
 Inc. 3:20<br />

Sente,
 Inc.
 -
 leaders
 in
 RTL
 power
 analysis
 and
 reduction
 solutions
 -
 discusses
 issues
 and<br />

trends
 in
 low
 power
 design
 methodologies
 for
 SOC
 designs.
<br />

SICAN
 Microelectronics
 Corp. 10:40<br />

Do
 you
 want
 to
 shorten
 your
 design
 cycle,
 reduce
 risk
 and
 increase
 design
 performance<br />

and
 complexity?
 Talk
 to
 Sican
 for
 IP
 and
 services!<br />

Silicon
 Automation
 Systems
 Ltd. 11:40<br />

SAS
p rovides
 design
 services
 in
 Design
 flows
 Optimization,
D esign
m ethodologies
O ptimization<br />

and
C ustom
 tool
 development
 &
 integration,
 and
h ave
 developed
 various
E DA-IP
 blocks.<br />

SiliconCraft
 Inc. 5:00<br />

SiliconCraft
 introduces
 TimeCraft
 1.0,
 a
 fab-proven
 faster
 static
 timing

 analysis<br />

solution
 for
 VDSM
 within
 3%
 of
 SPICE
 accuracy.
 (Come
 and
 let
 us

 show
 how
 it
 works.
 )<br />

Silicon
 Forest
 Research,
 Inc. 11:20<br />

Losing
 time
 because
 difficult
 bugs
 take
 days
 to
 track
 down?
 See
 how
 Assertion<br />

Compiler
 speeds
 up
 RTL
 functional
 debugging
 by
 40%.


Exhibitor Presentation Abstracts<br />

Silicon
 Graphics
 Computer
 Systems
 Inc. 4:20<br />

Server
 Farm
 -
 hub
 of
 modern
 EDA
 solutions.
 Problem
 -
 rapidly
 evolving
 and
 expanding<br />

design
 environment.
 Solution
 -
 Linux
 with
 SGI!<br />

Silicon
 Integration
 Initiative,
 Inc. 5:40<br />

Standards
 Specification
 Programs
 at
 Si2.
 Si2
 will
 discuss
 current
 status
 and
 future<br />

direction
 of
 the
 ECIX,
 OLA/LEF,
 Design
 API,
 and
 SIPPs
 industry
 programs.<br />

Silicon
 Valley
 Research,
 Inc. 4:40<br />

Future
 of
 SVRI,
 and
 it’s
 advanced
 technology
 EDA
 tools,
 which
 provide
 dense,
 high<br />

yielding,
 high
 performance
 chip
 designs,
 will
 be
 presented.<br />

Silicon
 Value 5:00<br />

A
 breakthrough
 full-custom
 design
 technology
 enables
 quick
 integration
 of
 complex<br />

designs
 in
 the
 smallest-ever
 silicon
 areas
 in
 the
 ASIC
 industry.<br />

Simplex
 Solutions,
 Inc. 5:00<br />

Simplex
 presents
 Fire
 &
 Ice ® QX
 and
 VoltageStorm,
 solutions
 for
 UDSM
 verification<br />

combining
 transistor-level
 accuracy
 with
 gate-level
 speed
 and
 ease-of-use.<br />

Simpod,
 Inc. 4:40<br />

Simpod’s
 patented
 hardware
 modeling
 technology
 interfaces
 to
 logic
 simulators
 and<br />

software
 debuggers
 to
 speed
 design
 and
 verification
 of
 embedded
 systems.<br />

Simucad,
 Inc. 5:40<br />

SILOS
 III
 -
 FSM
 New
 capability
 allows
 user
 to
 graphically
 enter
 Finite
 State
 Machines
 and<br />

view
 the
 state
 of
 each
 FSM
 during
 simulation.<br />

Simutech,
 LLC 10:40<br />

Simutech
 is
 focused
 on
 providing
 desktop
 IP
 and
 Internet
 based
 verification
 tools
 to<br />

leading
 electronics
 systems,
 semiconductor,
 and
 IP
 companies.<br />

Simutest,
 Inc. 11:00<br />

Simutest
 presents
 an
 advanced
 Test
 Automation
 solution
 called
 Verifier.

 With
 Verifier<br />

you
 can
 create
 &
 verify
 test
 programs
 offline
 and
 before
 silicon.<br />

SliceX,
 Inc. 5:20<br />

Analog
 IP
 provider,
 SliceX,
 will
 present
 a
 programmable
 phase-locked
 loop
 (PLL)
 for
 highspeed
<br />

clock
 synthesis.<br />

Snaketech
 Corp. 10:00<br />

Snaketech's
 substrate
 modeling
 and
 noise
 analysis
 software
 solves
 coupling
 problems
 in<br />

RF,
 wireless,
 mixed-signal,
 and
 high-speed
 designs.<br />

Sonics
 Inc. 2:00<br />

Radically
 new
 SOC
 integration
 system
 that
 generates
 a
 highly
 configurable
 inter-core<br />

communication
 network
 for
 complex
 and
 real-time
 data
 flows<br />

Summus
 Design
 CO.,
 Ltd. 4:00<br />

PowerEscort
 is
 the
 only
 solution
 of
 accurate
 hierarchical
 IR-drop
 and
 electromigration<br />

analysis
 in
 multi-million
 gates
 SOC
 design
 within
 an
 hour.<br />

Sycon
 Design,
 Inc. 5:40<br />

Sycon
 presents
 a
 state-of-the-art
 automation
 tool-suite
 for
 high
 performance
 IC<br />

physical
 design
 that
 produces
 results
 achieved
 only
 by
 manual
 design.<br />

Synopsys,
 Inc. 3:00<br />

Synopsys
 will
 showcase
 its
 technology-leading
 SoC
 design
 solution
 covering
 physical,<br />

verification
 and
 implementation
 products
 and
 design
 flows.<br />

e
x
h
i
b
i
t
o
r<br />

p
r
e
s
e
n
t
a
t
i
o
n
s<br />

15


e
x
h
i
b
i
t
o
r<br />

p
r
e
s
e
n
t
a
t
i
o
n
s<br />

16<br />

Exhibitor Presentation Abstracts<br />

Synplicity,
 Inc. 9:20<br />

Synplicity
 will
 showcase
 leading
 edge
 logic
 synthesis
 and

 verification
 products
 for
 FPGA<br />

and
 ASIC

 designers.<br />

SynTest
 Technologies,
 Inc. 2:00<br />

The
 SynTest
 Exhibitor
 Presentation
 will
 describe
 existing
 and
 new
 products
 for
 DFT
 and<br />

BIST
 applications
 for
 multi
 million
 gate
 SoC
 designs.<br />

Target
 Compiler
 Technologies
 N.
 V. 2:20<br />

Discover
 the
 latest
 extensions
 of
 Chess/Checkers,
 Target's
 retargetable
 compilation<br />

environment.
 
 Find
 out
 how
 to
 design
 and
 program
 an
 application-specific
 DSP
 in
 only
 days
 of
 time.<br />

Tensilica,
 Inc. 10:00<br />

Xtensa
 is
 a
 configurable,
 extensible
 microprocessor
 architecture
 designed
 for
 high<br />

volume,
 system-on-chip
 applications.
 A
 complete
 software
 development
 tool
 suite
 is<br />

automatically
 configured
 with
 the
 processor.<br />

Tera
 Systems
 Inc. 2:00<br />

Discover
 how
 the
 TeraForm
 RTL
 design
 planner
 enables
 interactive
 front-end
 design,
 RTL<br />

signoff,
 and
 back-end
 timing
 convergence
 for
 high-performance
 ASICs.<br />

Texas
 Instruments
 Inc. 3:00<br />

SLI
 -
 It's
 time,
 it's
 real
 and
 it's
 scary!

 The
 changing
 model
 of
 SLI
 and
 its
 impact
 on
 the<br />

industry.
<br />

Tharas
 Systems
 Inc. 9:00<br />

Want
 fast
 RTL
 simulation?
 Tharas
 Systems
 new
 acceleration
 product

 sets
 the
 standard
 for<br />

ease
 of
 use,
 compile
 times
 and
 price/performance.<br />

TransEDA,
 Inc. 3:40<br />

Highlighting
 Verification
 Navigator,
 an
 integrated
 design
 verification
 solution
 for
 Verilog,<br />

VHDL
 and
 mixed-language
 designs,
 and
 State
 Navigator,
 a
 finite
 state
 machine
 debug
 and<br />

verification
environment.<br />

Triscend
 Corp. 10:20<br />

Triscend
is 
th e
le ader
in
C onfigurable
Sy stem-on-Chip--a
c ombination
of 
ind ustry-standard<br />

microprocessors,
 embedded
 programmable
 logic,
 bus,
 memory,
 and
 other
 system
 features.<br />

Ultima
 Interconnect
 Technology 10:40<br />

Ultima
 provides
 focused
 design
 solutions
 for
 timing
 closure
 in
 0.25u
 and
 below
 designs<br />

including
 coupling,
 IR-drop,
 clock
 skew
 and
 other
 interconnect
 effects.<br />

United
 Microelectronics
 Corp.
 Group 4:00<br />

UMC
 will
 be
 presenting
 ,
 "Taking
 advantage
 of
 world
 class
 foundry
 technology,
 IP
 and<br />

services
 for
 your
 leading
 edge
 SOC
 designs."<br />

Validity
 Design
 Automation,
 Inc. 10:20<br />

Validity
 offers
 industry’s
 first
 truly
 scalable
 functional
 validation
 product
 suite
 that<br />

automatically
 derives
 complex
 functional
 checks
 from
 the
 RTL
 and
 formally
 verifies
 them.<br />

VaST
 Systems
 Technology
 Corp. 2:00<br />

VaST’s
 systems
 engineering
 product
 CoMET
 is
 a
 specification,
 modelling
 and
 design
 environment<br />

for
s ystems
 incorporating
 electronic
 hardware,
s oftware
a nd
 mechanical
 subsystems.<br />

Verisity
 Design,
 Inc. 10:40<br />

Functional
 verification
 automation
 to
 verify
 your
 most
 complex
 designs
 faster
 and
 more<br />

efficiently
 than
 ever
 before.
 Verification
 in
 Motion.


Exhibitor Presentation Abstracts<br />

Verplex
 Systems,
 Inc. 10:00<br />

Verplex
 formal
 verification
 tools
 are
 superior
 in
 speed,
 capacity
 and
 usability.
 They<br />

reliably
 handle
 the
 toughest
 full
 chip
 designs,
 RTL-netlist.<br />

Viewlogic
 Systems
 &
 Summit
 Design 9:00<br />

Innovative
 EDA
 tools
 and
 services
 for
 system
 level
 design,
 design
 capture,
 and
 board<br />

design.
 Visualize
 it.
 Design
 it.
 Build
 it.<br />

Virage
 Logic
 Corp. 10:20<br />

Virage
 Logic
 will
 present
 its
 Embedded
 Memory
 Initiative
 for
 SoC
 designs
 with
 its
 broad<br />

portfolio
 of
 embedded
 memory
 products.<br />

Xilinx,
 Inc. 11:00<br />

The
 Xilinx
 vision
 of
 Internet
 enabled
 software
 and
 upgradeable
 systems
 integrated
 with<br />

ultra
 high
 density
 FPGAs
 and
 low
 power
 CPLDs
 will
 be
 presented
 by
 Rich
 Sevcik.<br />

Xpedion
 Design
 Systems,
 Inc. 4:20<br />

The
 Xpedion
 GoldenGate
 family
 delivers
 a
 new
 breed
 of
 RF/Microwave
 simulation
 and<br />

modeling
 solutions
 for
 designing
 wireless
 communication
 circuits
 and
 systems.

<br />

Y
 Explorations,
 Inc. 5:20<br />

YXI
 delivers
 tools
 for
 capturing,
 databasing,
 distributing
 and
 automatically
 synthesizing<br />

interfaces
 between
 IPs
 into
 multiple
 alternative
 synthesizable
 RTL
 SoC
 netlists.<br />

Zuken-Redac,
 Inc. 2:20<br />

Design
 Innovation?
 ...Find
 out
 how
 to
 turn
 your
 ideas
 into
 real
 products
 with
 Zuken's<br />

Technology-First
 solutions
 for
 electronic
 product
 design.<br />

e
x
h
i
b
i
t
o
r<br />

p
r
e
s
e
n
t
a
t
i
o
n
s<br />

17


18<br />

Workshop for Women in Design Automation<br />

Strategies for Success - Sunday, June 4<br />

This
 year’s
 workshop
 for
 women
 explores
 the
 topic
 of
 success.
 In
 our
 diverse
 world,
 each
 of
 us<br />

defines
 personal
 success
 differently.
 You’ll
 hear
 from
 some
 of
 the
 industry’s
 most
 accomplished<br />

women
 on
 how
 they
 define
 success
 and
 what
 they
 did
 to
 achieve
 it.
 In
 the
 afternoon
 workshop
 you’ll<br />

identify
 what
 success
 means
 to
 you
 and
 learn
 to
 remove
 barriers
 to
 attaining
 your
 greatest<br />

fulfillment.
 Join
 us
 on
 Sunday,
 June
 4th
 to
 identify
 your
 very
 own
 strategy
 for
 success.<br />

WORKSHOP
 CHAIR: Karen
 Bartleson,
 Director
 of
 Interoperability,
 Synopsys,
 Inc.<br />

ORGANIZERS: Kathryn
 Kranen -
 President
 and
 CEO,
 NVB<br />

Pamela
 Parrish –
 Executive
 Director,
 EDA
 Consortium<br />

Troy
 Wood –
 Marcom
 Program
 Manager,
 Synopsys,
 Inc.<br />

STEERING
 COMMITTEE: Kathryn
 Kranen -
 President
 and
 CEO,
 NVB<br />

Marie
 R.
 Pistilli –
 President,
 MP
 Associates,
 Inc.<br />

Ellen
 Yoffa –
 <strong>DAC</strong>
 EC
 Representative<br />

Schedule<br />

8:00
 am ........Registration
 and
 Continental
 Breakfast<br />

9:00
 am ........Welcome/Opening
Remarks – Karen
 Bartleson<br />

9:15
 am ........Keynote<br />

Larraine
 D.
 Segil,
 CEO,
 Lared
 Presentations<br />

Larraine
 is
 highly
 recognized
 in
 the
 areas
 of
 strategic
 alliances,<br />

global
 strategy,
 and
 competency.
 
 She'll
 reveal
 her
 definition
 of<br />

success,
 how
 she
 achieved
 it,
 what
 obstacles
 she
 overcame,
 and<br />

her
 future
 goals.
 Her
 stimulating
 portrayal,
 based
 on
 experience<br />

as
 an
 entrepreneur,
 CEO,
 attorney,
 and
 international
 consultant,<br />

will
in spire
yo u
to 
f ind
 your
 own
 avenue
o f
 success.
<br />

10:00
 am ......Break<br />

10:20
 am ......Panel:
 The
 Contrasts
 of
 Success Moderator:
 Karen
 Bartleson<br />

These
 accomplished
 panelists
 will
 convey
 their
 unique
 perspectives
 on
 success,
 presenting
 a<br />

variety
 of
 descriptions
 of
 personal
 achievement.
 They
 will
 share
 their
 strategies
 and
 provide<br />

insights
 that
w ill
 encourage
 audience
m embers
 to
 explore
 their
o wn
s uccess
 goals.<br />

Susan
 Cain -
 Cain
 Communications Karla
 Reynolds -
 IBM
 Corp.<br />

Nancy
 Nettleton -
 Sun
 Microsystems Jennifer
 Smith -
 Dain
 Rauscher
 Wessels<br />

Judy
 Owen -
 SiliconX Carole
 Thurman -
 Mentor
 Graphics
 Corp.<br />

12:00
 pm ......Lunch (provided)
 –
 A
 great
 opportunity
 to
 network.<br />

1:00
 pm ........Interactive
Workshop: Defining
 Your
 Strategy
 for
 Success<br />

Leader:
 Laurie
 Lippin -
 New
 Insights
 Consulting<br />

What
 is
 your
 unique
 definition
 of
 success?
 Have
 you
 explored
 what
 success
 means
 to
 you
 and
 how<br />

you
 can
 achieve
 it?
 Are
 you
 satisfied
 that
 you
 are
 successful
 in
 your
 own
 right?
 Using
 a
 fun,<br />

interactive
 tool
 you
 will: -
 Define
 what
 success
 means
 to
 you<br />

-
 Identify
 the
 obstacles
 to
 your
 success<br />

-
 Determine
 how
 to
 achieve
 your
 personal
 success
 goals
<br />

2:20
 pm ........Break<br />

2:40
 pm ........Interactive
Workshop - Defining
 Your
 Strategy
 for
 Success
 (Continued)<br />

3:40
 pm ........Award
 Ceremony – Karen
 Bartleson<br />

Join
 us
 as
 we
 honor
 the
 inaugural
 recipient
 of
 the
 Women
 in
 EDA
 Achievement
 Award
 for<br />

contributions
 that
 advance
 women
 in
 the
 field
 of
 EDA
 technology.
<br />

4:00
 pm 
.
.
.
.Reception: Sponsored
 by
 the
 EDA
 Consortium


Workshop for VLSI Design Educators<br />

Sunday, June 4<br />

The
 Workshop
 for
 VLSI
 Design
 Educators
 is
 a
 new
 highlight
 of
 <strong>DAC</strong>.
 This
 program
 affords
 a
 unique<br />

forum
 for
 VLSI
 educators,
 design
 tool
 vendors
 and
 textbook
 authors
 to
 interact,
 share
 their<br />

thoughts
 and
 improve
 the
 instruction
 of
 VLSI
 Design
 courses.
 It
 will
 expose
 the
 educators
 to
 new<br />

course
 material
 and
 design
 tools
 in
 order
 to
 help
 them
 prepare
 future
 VLSI
 design
 engineers
 to<br />

better
 meet
 the
 challenges
 of
 recent
 changes
 in
 the
 design
 automation
 industry.<br />

ORGANIZERS: Vijaykrishnan
Narayanan -

 Penn
 State
 Univ.<br />

Mary
 Jane
 Irwin -
 Penn
 State
 Univ.<br />

Steven
 P.
 Levitan -
 Univ.
 of
 Pittsburgh<br />

Schedule<br />

8:15am ........Breakfast<br />

8:45am ........Welcome
Address: Vijaykrishnan
Narayanan<br />

9:00am ........Jan
Rabaey -
 University
 of
 California,
 Berkeley,
 will
 speak
 on
 teaching
 a<br />

VLSI
 Design
 course
 based
 on
 the
 "Digital
 Integrated
 Circuits:
 A
 Design<br />

Perspective"
textbook.<br />

9:30am ........Wayne
Wolf -
 Princeton
 University,
 will
 share
 his
 experience
 on
 teaching<br />

VLSI
 design
 using
 the
 "Modern
 VLSI
 Design
 :
 Systems
 on
 Silicon"
 textbook.<br />

10:00am ........Cherrice
Traver -
 Union
 College,
 will
 present
 a
 lecture
 demonstration
 on<br />

using
 PC
 based
 VLSI
 design
 tools.
<br />

11:00am ........Lee
Travow -
 MicroMagic
 Inc.,
 will
 present
 a
 lecture
 demonstration
 using<br />

UNIX/LINUX
 based
 VLSI
 design
 tools.<br />

12:00 ........Lunch<br />

1:00 ........Hands-on
Workshop. This
 will
 provide
 a
 hands-on-experience
 on
 using
 the<br />

MicroMagic
 Design
 Toolset.
 Instructors
 who
 have
 used
 these
 tools
 at
 various<br />

universities
 will
 assist
 with
 this
 session.
<br />

4:00pm ........Concluding
Remarks: Mary
 Jane
 Irwin<br />

19


20<br />

Interoperability Workshop<br />

Sunday, June 4<br />

Interoperability
 among
 EDA
 tools
 has
 been
 a
 topic
 of
 passionate
 discussion
 for
 a
 long
 time
 and<br />

many
 standard
 file
 formats
 have
 been
 proposed
 to
 help.
 But
 the
 rapid
 advance
 in
 semiconductor<br />

technology
 combined
 with
 the
 need
 for
 more
 predictable
 and
 productive
 automated
 design<br />

methodologies
 are
 demanding
 a
 much
 tighter
 integration
 of
 new
 EDA
 tools.

 Many
 people
 believe<br />

that
 for
 EDA
 to
 keep
 pace
 with
 semiconductor
 technology,
 new
 more
 modular
 applications
 will<br />

need
 to
 operate
 on
 shared
 design
 data
 in
 memory.
 Several
 EDA
 companies
 have
 announced
 design<br />

systems
 with
 suites
 of
 tools
 tightly
 integrated
 around
 their
 proprietary
 data
 models.

 But
 to
 be<br />

able
 to
 assemble
 such
 systems
 with
 the
 best
 components
 from
 multiple
 suppliers,
 we<br />

will
 need
 a
 standard
a pplication
 programming
 interface
f or
 data
 access
a nd
 control.<br />

This
 Workshop
 invites
 representatives
 from
 the
 leading
 Semiconductor,
 System<br />

and
 EDA
 companies
 to
 discuss
 the
 need
 for
 such
 a
 new
 design
 system
 architecture<br />

and
 supporting
 standard
 data
 model
 API.

 It
 will
 also
 address
 the
 specific<br />

changes
 needed
 to
 enable
 such
 a
 standard
 and
 formulate
 an
 initial
 action
 plan.<br />

Schedule<br />

1:00pm ........Lunch<br />

CHAIR: John
 Darringer -

 EDA
 Strategy,
 IBM
 Corp.<br />

1:00pm ........Welcome
 and
 Workshop
 Objectives : John
 Darringer<br />

1:15pm ........Session
1 - Do
 we
n eed
 a
S tandard
 Data
M odel
 API?
W hat
a re
 the
R equirements?<br />

2:15pm ........Break<br />

Terry
 Blanchard -
 Mgr.
 VLSI
 Technology
 Center,
 Hewlett
 Packard
 Co.<br />

Thomas
 Daniel -
 VP
 ASIC
 Technology,
 LSI
 Logic<br />

Sumit
 Dasgupta -
 Mgr.
 Tools
 and
 Methodology,
 Motorola,
 Inc.<br />

Jennifer
 Howland -
 Dir.
 EDA,
 IBM
 Corp.<br />

Jan-Olof
 Kismalm -
 Dir.
 Microelectronics
 Coordination,
 Ericsson<br />

Mark
 McDermott -
 Dir.
 Texas
 Development
 Center,
 Intel
 Corp.<br />

2:30pm ........Session
2 -
 What
 is
 required
 to
 achieve
 a
 Standard
 API?<br />

3:30pm ........Break<br />

Bill
 Alexander -
 Corporate
 Product
 Management,
 Avant!
 Corp.<br />

Dinesh
 Bettadapur -
 VP
 Business
 Development,
 Monterey
 Design<br />

Raul
 Camposano -
 VP
 R&D,
 Synopsys,
 Inc.<br />

Jim
 Hogan -
 Sr.
 VP
 IC
 Implementation,
 Cadence
 Design
 Systems,
 Inc.<br />

Joe
 Hutt -
 VP
 R&D,
 Magma
 Design
 Automation<br />

Kent
 Moffat -
 Group
 Mgr.
 Solutions
 Partnerships,
 Mentor
 Graphics
 Corp.<br />

3:45pm ........Session
3 -
 What
 is
 the
 Action
 Plan
 for
 2000?<br />

Panel
 Chair: Richard
 Goering -
 Editor
 EE
 Times<br />

Panel
 members
 to
 be
 selected
 from
 workshop
 speakers
 and
 audience<br />

4:45pm ........Wrap-up - John
 Darringer<br />

5:00pm ........Adjourn


Student Design Contest<br />

This
 year
 we
 have
 changed
 the
 format
 of
 our
 design
 contest.
 The
 new
 format
 is
 a
 blend
 of
 the<br />

previous
 <strong>DAC</strong>
 University
 Design
 Contest
 and
 the
 Student
 VLSI
 Design
 Contest
 organized
 out
 of
 the<br />

University
 of
 Utah
 and
 University
 of
 Michigan.<br />

The
 purpose
 of
 the
 new
 Student
 Design
 Contest
 is
 to
 promote
 excellence
 in
 the
 design
 of<br />

electronic
 systems
 by
 providing
 competition
 between
 graduate
 and
 undergraduate
 students
 at<br />

universities
 and
 colleges.
 This
 year
 we
 received
 submissions
 in
 two
 categories:
 'Conceptual'
 and<br />

'Operational.'
 Operational
 designs
 are
 those
 which
 have
 been
 implemented
 and
 tested.
 
 Conceptual<br />

designs
ha ve
 not
 yet
 been
 fabricated
 and
 tested
 but
 must
 have
be en
 thoroughly
si mulated.<br />

Students
 compete
 for
 cash
 prizes
 donated
 by
 a
 number
 of
 industrial
 sponsors
 and
 by
 <strong>DAC</strong>
 itself.<br />

This
 year
 the
 total
 prize
 money
 is
 $19,500.
 Prizes
 will
 be
 awarded
 at
 a
 special
 luncheon
 during
 the<br />

conference.
 Prize
 winners
 will
 be
 listed
 in
 the
 final
 program
 and
 have
 been
 invited
 to
 show
 their<br />

work
 at
 the
 SIGDA/University
 Booth
 on
 the
 show
 floor.
 In
 addition,
 three
 of
 the
 submissions
 have<br />

been
 included
 in
 this
 year's
 technical
 program
 (see
 pages
 33,
 40,
 47).
<br />

A
C
M
/
S
I
G
D
A Ph.D Forum<br />

ACM/SIGDA
 will
 hold
 a
 semi-annual
 meeting
 after
 the
 cocktail
 party
 on
 Tuesday
 evening,
 June
 6,<br />

from
 7:00PM
 to
 9:00PM.

 A
 light
 dinner
 will
 be
 served.

 The
 primary
 focus
 of
 the
 meeting
 will
 be<br />

the
 third
 annual
 Ph.D.
 Forum.

 The
 meeting
 is
 open
 to
 all
 members
 of
 the
 DA
 community.<br />

The
 Ph.D.
 Forum,
 hosted
 by
 SIGDA,
 aims
 to
 strengthen
 the
 ties
 between
 academic
 research
 and<br />

industry.
 During
 the
 SIGDA
 meeting,
 students
 will
 use
 posters
 to
 discuss
 their
 Ph.D.
 thesis
 work<br />

with
 interested
 attendees.

 This
 session
 will
 provide
 the
 students
 an
 opportunity
 to
 receive<br />

feedback
 on
 their
 work.
 It
 also
 previews
 academic
 work-in-progress
 to
<br />

the
 DA
 community.
 For
 more
 information
 about
 the
 Forum,
 please
 visit<br />

http://www.sigda.acm.org/Programs/PHDForum.
 SIGDA
 members
 and
 non-members
 are
 invited.<br />

Birds-of-a-Feather (
B
O
F
) Meetings<br />

<strong>DAC</strong>
 will
 provide
 conference
 rooms
 for
 informal
 groups
 to
 discuss
 items
 of
 common
 technical<br />

interest.
 These
 very
 informal
 non-commercial
 meetings,
 held
 after
 hours,
 are
 referred
 to
 as<br />

“Birds-of-a-Feather”.
 All
 BOF
 meetings
 are
 held
 at
 the
 Westin
 Bonaventure,
 Wednesday,
 June
 7,<br />

6:00PM
 -
 7:30PM.
 <strong>DAC</strong>
 will
f acilitate
c ommon
 interest
gr oup
 meetings
to 
 discuss
D A
re lated
 topics.<br />

To
 arrange
 a
 BOF
 meeting
 contact
 the
 <strong>DAC</strong> office
 at
 1-800-321-4573
 or
 sign
 up
 at the
 Information<br />

Desk
 at-conference.
 A
 room
 will
 only
 be
 assigned
 if
 ten
 or
 more
 people
 sign
 up.
 A
 viewgraph<br />

projector
 and
 screen
 will
 be
pr ovided
on 
 request.
 Check
 <strong>DAC</strong>net
 and
 the
Bi rds-of-a-Feather
 board<br />

at
 the
 Information
 Desk.<br />

21


22<br />

Tuesday Keynote<br />

First-Time-Right Si but to the Right Specification<br />

Theo
 A.C.M.
 Claasen<br />

Executive
 Vice
 President<br />

Chief
 Technology
 Officer<br />

Philips
Semiconductors<br />

Eindhoven,
 The
 Netherlands<br />

With
 the
 increasing
 complexity
 of
 today’s
 (and
 certainly
 tomorrow’s)
 integrated
 systems,
 the<br />

real
 design
 challenge
 has
 shifted
 from
 making
 first-time-right
 silicon
 to
 making
 a
 first-timeright
<br />

specification
 and,
 of
 course,
 the
 associated
 chip
 that
 implements
 this
 specification<br />

correctly.
 Many
 of
 today’s
 systems
 on
 a
 chip
 contain
 embedded
 CPU
 and
 /
 or
 DSP
 cores
 and<br />

have
 a
 large
 amount
 of
 software
 that
 determines
 the
 function
 of
 the
 chip.
 To
 know
 that
 all<br />

the
 system
 functions
 are
 specified
 and
 implemented
 correctly
 often
 requires
 hours
 of<br />

exposure
 to
 real
 data
 in
 a
 real
 user
 environment.
 This
 can
 not,
 to
 any
 reasonable<br />

approximation,
 be
 done
 by
 means
 of
 simulation.
 For
 that
 reason
 fine-tuning
 of
 the
 system<br />

spec
 can
 usually
 only
 be
 done
 if
 an
 initial
 silicon-version
 of
 the
 system
 has
 become
 available.<br />

It
 will
 be
 shown
 how
 the
 combination
 of
 Silicon
 Systems
 Platforms
 and
 the
 VLSI-Velocity
 Rapid<br />

Silicon
 Prototyping
 can
 give
 a
 solution
 to
 this
 dilemma.<br />

Dr.
 Theo
 Claasen
 assumed
 the
 function
 of
 Chief
 Technology
 Officer
 of
 Philips
 Semiconductors,<br />

in
 1997
 after
 a
 long
 career
 in
 the
 Corporate
 Philips
 Research
 Labs.
 In
 this
 function
 he
 has<br />

the
 responsibility
 for
 the
 shared
 technical
 resources
 of
 the
 division
 that
 develop
 (or
 acquire)<br />

the
 full
 range
 of
 technologies
 needed
 in
 the
 business
 units
 (process
 technology,
 libraries,<br />

CAD,
 IP
 cores,
 software
 and
 system
 architectures
 and
 applications).
 In
 this
 role
 he
 has<br />

particularly
 promoted
 the
 concept
 of
 Silicon
 Systems
 Platforms,
 now
 available
 under
 the<br />

Nexperia
 brand
 name.
 With
 the
 acquisition
 of
 VLSI
 by
 Philips
 Semiconductors
 Rapid
 Silicon<br />

Prototyping
 has
 been
 added
 as
 a
 solution
 to
 the
 rapid
 design
 of
 Systems
 on
 Silicon.
 Dr.<br />

Claasen
 is
 a
 Fellow
 of
 the
 IEEE
 for
 contributions
 to
 the
 theory
 of
 Digital
 Signal<br />

Processing.


Thursday Keynote<br />

System Design Challenges in the Post-PC Era<br />

Hugo
 De
 Man<br />

Professor
<br />

Katholieke
 Univ.<br />

Leuven,
 Belgium<br />

Progress
 of
 microelectronics,
 DSP,
 integrated
 sensors
 and
 RF
 CMOS
 causes
 a
 paradigm<br />

shift
 in
 the
 ICT
 world
 referred
 to
 as
 the
 "post-PC"
 era
 when
 wearable
 computing<br />

augments
 our
 consciousness,
 protects
 our
 health
 and
 globally
 connects
 people
 and<br />

things.
 Designing
 such
 systems
 differs
 radically
 from
 designing
 CPU
 architectures.
 The<br />

art
 will
 be
 to
 design
 a
 diversity
 of
 cheap,

 energy
 efficient,
 yet
 programmable<br />

platforms
 that
 can
 be
 configured
 over
 the
 Internet
 and
 communicate
 with
 humans<br />

through
 non-keyboard
 interfaces.
 It
 requires
 a
 grand
 convergence
 of
 previously<br />

separated
 domains
 such
 as
 programmable/reconfigurable
 multi-processor
 architectures<br />

with
 embedded
 software,
 broadband
 radio,
 MEMS
 and
 biosensor
 interfaces.
 We'll<br />

discuss
 challenges
 to
 design
 technology
 and
 to
 the
 skills
 of
 future
 system
 engineers
 as<br />

well
 as
 to
 their
 education.<br />

Hugo
 De
 Man
 is
 professor
 in
 electrical
 engineering
 at
 the
 Katholieke
 Universiteit
 Leuven,<br />

Belgium
 since
 1976.
 He
 was
 visiting
 associate
 professor
 at
 U.C.Berkeley
 in
 1975.
 His<br />

early
 research
 was
 devoted
 to
 mixed-signal,
 switched
 capacitor
 and
 DSP
 simulation<br />

tools.
 In
 1984
 he
 was
 one
 of
 the
 cofounders
 of
 IMEC,
 which,
 today,
 is
 the
 largest<br />

independent
 semiconductor
 research
 institute
 in
 Europe
 with
 over
 800
 employees.
 From<br />

1984-1995
 he
 was
 Vice-President
 of
 IMEC,
 responsible
 for
 research
 in
 design
 technology<br />

for
 DSP
 and
 telecom
 applications.
 In
 1995
 he
 became
 a
 senior
 research
 fellow
 of
 IMEC,<br />

working
 on
str ategies
 for
 education
and
res earch
 on
 design
 of
 future
 post-PC
sys tems.<br />

His
 research
 at
 IMEC
 has
 lead
 to
 many
 novel
 tools
 and
 methods
 in
 the
 area
 of
 high
 level<br />

synthesis,
 hardware-software
 codesign
 and
 C++
 based
 design.
 Many
 of
 these
 tools
 are<br />

now
 commercialized
 by
 spin-off
 companies
 like
 Coware,
 Frontier
 Design
 and
 Target<br />

Compilers.
His
 work
 and
tea ching
 also
re sulted
 in
 a
 cluster
 of
 DSP
 oriented
 companies
i n<br />

Leuven
 now
 known
 as
 DSP
 Valley
 where
 more
 than
 1100
 DSP
 engineers
 work
 on
 design<br />

tools
 and
 on
 telecom,
 networking
 and
 multimedia
 integrated
 system
 products.
 In
 1999<br />

he
 received
 the
 Technical
 Achievement
 Award
 of
 the
 IEEE
 Signal
 Processing
 Society,
 The<br />

Phil
 Kaufman
 Award
 of
 the
 EDA
 Consortium
 and
 the
 Golden
 Jubilee
 Medal
 of
 the
 IEEE<br />

Circuits
 and
 Systems
 Society.
 
 Hugo
 De
 Man
 is
 an
 IEEE
 Fellow
 and
 a
 member
 of
 the
 Royal<br />

Academy
 of
 Sciences
 in
 Belgium.<br />

23


conference<br />

at
 a
 glance<br />

24<br />

9:00
<br />

to
<br />

10:15<br />

10:30
<br />

to
<br />

12:00<br />

2:00
<br />

to
<br />

4:00<br />

4:30
<br />

to
<br />

6:00<br />

6:00
<br />

to
<br />

7:00<br />

Tuesday, June 6<br />

New
 Techniques<br />

for
 Synthesis<br />

and
 Mapping<br />

General
 Session
 and
 Keynote
 Speaker
<br />

(no
 badge
 is
 required)


 Room: Concourse
 Hall<br />

First-Time-Right
 Si
 but
 to
 the
 Right
 Specification<br />

Theo
 Claasen -
 Chief
 Technical
 Officer<br />

Philips
 Semiconductors,
 Eindhoven,
 The
 Netherlands<br />

Opening
 Remarks
 •
 Awards
 •
 Keynote
 Address


<br />

Formal<br />

Verification<br />

BREAK<br />

Room
 404AB Room
 408A Room
 406AB Room
 408B Room
 403<br />

Session
 1 Session
 2 Session
 3 Session
 4<br />

Analog
 and
 RF BDD-Based<br />

Model
 Checking<br />

LUNCH
 12:00
 -
 2:00<br />

Session
 6 Session
 7 Session
 8 Session
 9 Session
 10<br />

Algorithms
 for<br />

RF
 Simulation<br />

and
 Model<br />

Reduction<br />

Verification
 and<br />

Debugging<br />

Methodologies<br />

Test<br />

Generation
 and<br />

Diagnosis<br />

Test
 Issues
f or<br />

D
e
e
p
-
S
u
b
m
i
c
r
o
n<br />

S
y
s
t
e
m
-
o
n
-Chips<br />

2
 Embedded<br />

Tutorials<br />

BREAK<br />

Design
 Methods<br />

for
 Emerging<br />

Technologies<br />

Interconnect<br />

Modeling<br />

Clock
 and
Po wer<br />

Grid
 Analysis<br />

for
 High<br />

Performance<br />

Designs<br />

Exhibit
 Hours
 10:00AM – 6
:
0
0P
M /
 Demo
 Suite
 Hours
 8:00AM – 9
:
0
0P
M<br />

Session
 5<br />

Life
 at
 the
 End<br />

of
 CMOS Scaling<br />

(And
 Beyond)<br />

P
a
n
e
l:
D esign<br />

Closure:
H ope
<br />

or
H ype<br />

Session
 11 Session
 12 Session
 13 Session
 14 Session
 15<br />

Signal
 Integrity<br />

P
a
n
e
l:
E DA<br />

Meets
 .Com:
H ow<br />

E-Services
wi ll<br />

Change
t he
ED A<br />

Business
M odel<br />

<strong>DAC</strong>
 Cocktail
 Party
 at
 the
 Los
 Angeles
 Convention
 Center<br />

6:00PM -
 7:00PM
 on
 the
 Galaxy
 Patio<br />

All
 Design
M ethods
S essions
a re
 shaded
 blue,
 Embedded
 Systems
S essions
 are
s haded
 grey.


8:30
<br />

to
<br />

10:00<br />

10:30
<br />

to
<br />

12:00<br />

2:00
<br />

to
<br />

4:00<br />

4:30
<br />

to
<br />

6:00<br />

Timing
 Analysis<br />

and<br />

Verification<br />

Logic/Physical<br />

Co-Design<br />

Wednesday, June 7<br />

Room
 404AB Room
 408A Room
 406AB Room
 408B Room
 403<br />

Session
 16 Session
 17 Session
 18 Session
 19 Session
 20<br />

New
 Techniques<br />

in
 Power<br />

Estimation
 and<br />

Performance<br />

Improvement<br />

Power
 Analysis<br />

and
O ptimization<br />

for
 Embedded<br />

Software<br />

BREAK<br />

Plenary
 Panel<br />

Embedded
 Systems
 CEO
 Panel:<br />

Embedded<br />

Compilation<br />

Techniques<br />

Embedded
 Systems
 Design
 in
 the
 New
 Millennium<br />

Combined<br />

Global
 Routing,<br />

Buffering
 and<br />

Wiresizing<br />

Room:
 Concourse
 Hall<br />

LUNCH
 12:00
 -
 2:00<br />

<strong>Adv</strong>ances
 in<br />

System<br />

Modeling
 and<br />

Synthesis<br />

Designing<br />

Systems
 on
 a<br />

Chip<br />

<strong>37th</strong>
 Dac
 Party 7:00PM -
 10:00PM at
 the
 Westin
 Bonaventure<br />

P
a
n
e
l:
Fu ture<br />

S
y
s
t
e
m
s
-
o
n
-<br />

Chip:
S oftware<br />

or
H ardware<br />

D
e
s
i
g
n
?<br />

Session
 21 Session
 22 Session
 23 Session
 24 Session
 25<br />

P
a
n
e
l:
 The<br />

Future
 of<br />

System
D esign<br />

L
a
n
g
u
a
g
e
s<br />

Session
 26 Session
 27<br />

BREAK<br />

Session
 28 Session
 29 Session
 30<br />

Mixed
 Signal<br />

Design
 and<br />

Analysis<br />

Floorplanning
 &<br />

Placement<br />

System
 Level<br />

Scheduling<br />

Architectures<br />

for
 Embedded<br />

Systems<br />

Exhibit
 Hours
 10:00AM – 6
:
0
0P
M /
 Demo
 Suite
 Hours
 8:00AM – 9
:
0
0P
M<br />

Panel:<br />

Embedded<br />

Systems<br />

Education<br />

All
D esign
Me thods
 Sessions
a re
 shaded
 blue,
E mbedded
 Systems
S essions
 are
s haded
 grey.<br />

conference<br />

at
 a
 glance<br />

25


conference<br />

at
 a
 glance<br />

26<br />

8:30
<br />

to
<br />

10:00<br />

10:30
<br />

to
<br />

12:00<br />

2:00
<br />

to
<br />

4:00<br />

4:30
<br />

to
<br />

6:00<br />

Thursday, June 8<br />

Room
 404AB Room
 408A Room
 406AB Room
 408B Room
 403<br />

Session
 31 Session
 32 Session
 33 Session
 34 Session
 35<br />

Interconnect<br />

Analysis<br />

Intellectual<br />

Property<br />

Protection
 &<br />

Re-use<br />

High
 Level<br />

Synthesis
 for<br />

DSPs:
 Data<br />

Intensive<br />

Applications<br />

Correctness<br />

Issues
 in
 High<br />

Level
 Synthesis<br />

Embedded<br />

Tutorial:
MOSFET<br />

Modeling
 and<br />

Circuit
 Design:<br />

Re-Establishing
 a<br />

Lost
 Connection<br />

BREAK<br />

SoC
 Test<br />

Methodologies<br />

and
 Defect<br />

Modelling<br />

Reconfigurable<br />

Computing<br />

Systems<br />

Embedded<br />

Tutorial:Bridging<br />

the
 Gap
 Between<br />

Full
 Custom
 and
<br />

ASIC
 Design
<br />

Panel:
Survival<br />

Strategies
 for<br />

Mixed-Signal<br />

Systems-On-<br />

Chip<br />

Session
 36 Session
 37 Session
 38 Session
 39 Session
 40<br />

Layout<br />

Optimization<br />

Decision<br />

Procedures
 for<br />

CAD
 Problems<br />

New
F rameworks<br />

for
 the
E DA
 Field<br />

P
a
n
e
l:
 Web-Based<br />

Frameworks
 to<br />

Enable
 CAD
 R&D<br />

High<br />

Performance<br />

Microprocessor<br />

Design<br />

Panel:
Case<br />

Studies:
 Chip<br />

Design
 on
 the<br />

Bleeding
 Edge<br />

Session
 41 Session
 42 Session
 43 Session
 44 Session
 45<br />

Large-Scale<br />

Parasitic<br />

Analysis<br />

KEYNOTE

 1:00
 -
 1:45

 (Lunch
 Not
 Included)

 Room: Concourse
 Hall<br />

System
 Design
 Challenges
 in
 the
 Post-PC
 Era<br />

Hugo
 De
 Man - Professor,
 Katholieke
 Univ.,
 Leuven,
 Belgium<br />

<strong>Adv</strong>ances
 in<br />

High
 Level<br />

Synthesis<br />

BREAK<br />

Session
 46 Session
 47 Session
 48 Session
 49<br />

Fault<br />

Simulation
 and<br />

Extraction
 of<br />

Low-Level<br />

Effects<br />

Low
 Power<br />

Design<br />

Techniques
 and<br />

Estimation<br />

Demo
 Suite
 Hours
 8:00AM – 5
:
0
0P
M<br />

Panel:
When<br />

Bad
 Things<br />

Happen
 to
 Good<br />

Chips
<br />

Session
 50<br />

Panel:
Emerging<br />

Companies:<br />

Acquiring
 Minds<br />

Want
 to
 Know<br />

All
D esign
Me thods
 Sessions
a re
 shaded
b lue,
E mbedded
 Systems
S essions
a re
s haded
 grey.


Opening Session - Tuesday, June 6<br />

Opening Remarks<br />

Giovanni
 DeMicheli -
 General
 Chair,
 <strong>37th</strong>
 <strong>DAC</strong><br />

Awards presented by:<br />

STEVEN
 P.
 LEVITAN MICHAEL
 LIGHTNER<br />

ACM/SIGDA REPRESENTATIVE IEEE/CAS
REPRESENTATIVE<br />

ASCEE Undergraduate Scholarships<br />

Opening keynote address<br />

Theo
 Classen<br />

Chief
 Technical
 Officer<br />

Philips
Semiconductor<br />

Awards/Scholarships<br />

Scholarships
 will
 be
 awarded
 to
 four
 high
 school
 students
 who
 will
 be
 pursuing
 a
 degree
 in<br />

Electrical
 Engineering
 or
 Computer
 Science
 from
 under-represented
 minorities.
<br />

Graduate Scholarships<br />

Scholarships
 will
 be
 awarded
 to
 four
 graduate
 students
 to
 support
 research
 in
 Design<br />

Automation.
<br />

Student Design Contest Award<br />

Award
 will
 be
 presented
 to
 the
 school
 sponsoring
 the
 best
 entry
 to
 the
 contest.<br />

Best Paper Awards<br />

Best
 Paper
 Awards
 will
 be
 given
 in
 the
 following
 areas:<br />

1.
 Design
 Synthesis,
 Test
 and
 Validation<br />

2.
 Analog/RF/Electrical
 Modeling
 and
 Simulation<br />

3.
 Design
 Methodology
 (2)<br />

4.
 Embedded
 Systems<br />

Individual Awards<br />

2000
 IEEE Fellows<br />

ACM/SIGDA
 Outstanding
 Ph.D
 Dissertation
 Award
 in
 Electrical
 Design
 Automation<br />

ACM/SIGDA
 Distinguished
 Service
 Award<br />

27


t
e
c
h
n
i
c
a
l<br />

p
r
o
g
r
a
m<br />

1
0
:
3
0<br />

t
o<br />

1
2
:
0
0<br />

All
 speakers
 are<br />

denoted
 in
 Bold<br />

28<br />

S
 -
 denotes<br />

short
 paper<br />

Tuesday, June 6<br />

Session 1<br />

ROOM:
 404AB<br />

ANALOG
 AND
 RF<br />

CHAIR: Joel
 R.
 Phillips -
 Cadence
 Design
 Systems,<br />

Inc.
 San
 Jose,
 CA<br />

ORGANIZERS: Hidetoshi
 Onodera,
 Joel
 Phillips<br />

This session surveys progress in synthesis,<br />

symbolic analysis, and statistical analysis of analog<br />

circuits.<br />

1.1 A
 Case
 Study
 of
 Synthesis
 for<br />

Industrial
 Scale
 Analog
 IP:

 Redesign
 of
 the<br />

Equalizer/Filter
 Frontend
 for
 an
 ADSL
 Codec<br />

Rodney Phelps, Michael Krasnicki, Rob A. Rutenbar, L. Richard<br />

Carley - Carnegie Mellon Univ., Pittsburgh, PA<br />

James R. Hellums - Texas Instruments, Dallas, TX<br />

1.2S Optimal
 RF
 Design
 Using
 Smart<br />

Evolutionary
Hardware<br />

Peter Vancorenland, Carl Deranter, Michiel Steyaert -<br />

Katholieke Univ., Leuven, Belgium<br />

1.3S Cyclone:
 Automated
 Design
 and
 Layout<br />

of
 RF
 LC-Oscillators<br />

Carl De Ranter, Bram De Muer, Geert van der Plas, Peter<br />

Vancorenland - Katholieke Univ., Leuven, Belgium<br />

Michiel Steyaert, Georges Gielen, Willy Sansen - Katholieke<br />

Univ., Leuven, Belgium<br />

1.4S An
 Asymptotically
 Constant,
 Linearly<br />

Bounded
 Methodology
 for
 the
 Statistical<br />

Simulation
 of
 Analog
 Circuits
 Including<br />

Component
 Mismatch
 Effects<br />

Carlo Guardiani, Sharad Saxena, Patrick McNamara, Philip<br />

Schumaker, Dale Coder- PDF Solutions, San Jose, CA<br />

1.5S Multi-Terminal
 Determinant
 Decision<br />

Diagram:
 A
 New
 Approach
 to<br />

Symbolic/Numeric
 Analysis
 of
 Large
 Analog<br />

Integrated
 Circuits<br />

Tao Pi, C.-J. Richard Shi - Univ. of Washington, Seattle, WA<br />

Session 2<br />

ROOM:
 408A<br />

BDD-BASED
 MODEL
 CHECKING<br />

CHAIR: Andreas
 Kuehlmann -
 IBM
 Corp.,
 Yorktown<br />

Heights,
 NY<br />

ORGANIZERS: Limor
 Fix,
 Timothy
 Kam<br />

This session presents three novel approaches to<br />

increase the capacity of BDD-based model<br />

checking. The first paper investigates the transitionfunction-based<br />

and transition-relation-based<br />

methods to compute the image during state<br />

traversal and describes a new approach to combine<br />

both. The second paper presents the application of<br />

user-provided hints to guide the state traversal for<br />

CTL model checking. The following presentation<br />

describes a technique to optimize the model<br />

checking process by dynamically excluding<br />

irrelevant parts of the design from it. The last paper<br />

presents an alternative heuristic to prioritize the<br />

state traversal in order to boost its capacity.<br />

2.1 To
 Split
 or
 to
 Conjoin:
 The
 Question
 in<br />

Image
 Computation<br />

In-Ho Moon - Univ. of Colorado, Boulder, CO<br />

James Kukula - Synopsys, Inc., Beaverton, OR<br />

Kavita Ravi - Cadence Design Systems, Inc., New Providence, NJ<br />

Fabio Somenzi - Univ. of Colorado, Boulder, CO<br />

2.2 Symbolic
 Guided
 Search
 for
 CTL
<br />

Model
 Checking<br />

Roderick Bloem - Univ. of Colorado, Boulder, CO<br />

Kavita Ravi - Cadence Design Systems, Inc., New Providence, NJ<br />

Fabio Somenzi - Univ. of Colorado, Boulder, CO<br />

2.3S Lazy
 Symbolic
 Model
 Checking<br />

Jin Yang - Intel Corp., Hillsboro, OR<br />

Andreas Tiemeyer - Intel Corp., Swindon, UK<br />

2.4S Distance
 Driven
 Finite
 State
 Machine<br />

Traversal<br />

Andreas Hett, Christoph Scholl, Bernd Becker - Univ. of<br />

Freiburg, Freiburg, Germany<br />

All
 Design
 Methods
S essions
 are
s haded
 blue,
 Embedded
S ystems
 Sessions
 are
 shaded
g rey.


Session 3<br />

ROOM:
 406AB<br />

TEST
 GENERATION
 AND<br />

DIAGNOSIS<br />

CHAIR: Robert
 Aitken -
 Hewlett-<br />

Packard
 Co.,
 Palo
 Alto,
 CA<br />

ORGANIZER: Tim
 Cheng<br />

This session includes test generation<br />

and diagnosis techniques for a variety of<br />

fault models and circuits described at<br />

different levels of abstraction. The first<br />

paper deals with RTL test generation.<br />

The second paper presents a BIST<br />

method for FPGAs. The third paper<br />

describes a diagnosis technique for<br />

scan-based BIST. The fourth paper<br />

introduces an enhanced delay fault<br />

model and a diagnosis technique for it.<br />

3.1 Automatic
 Test
 Pattern<br />

Generation
 for
 Functional
 RTL<br />

Circuits
 Using
 Assignment
<br />

Decision
 Diagrams<br />

Indradeep Ghosh, Masahiro Fujita - Fujitsu<br />

Labs. of America, Sunnyvale, CA<br />

3.2 Interconnect
 Testing
 in<br />

Cluster-Based
 FPGA
 Architectures<br />

Ian G. Harris, Russell Tessier - Univ. of<br />

Massachusetts, Amherst, MA<br />

3.3S Improved
 Fault
 Diagnosis
 in<br />

Scan-Based
 Bist
 via
 Superposition<br />

Ismet Bayraktaroglu, Alex Orailoglu - Univ. of<br />

California at San Diego, La Jolla, CA<br />

3.4S On
 Diagnosis
 of
 Pattern-<br />

Dependent
 Delay
 Faults<br />

Irith Pomeranz, Sudhakar M. Reddy - Univ. of<br />

Iowa, Iowa City, IA<br />

Session 4<br />

ROOM:
 408B<br />

INTERCONNECT
 MODELING<br />

Tuesday, June 6<br />

CHAIR: Nick
P. 
v an
d er
Me ijs -
Del ft<br />

Univ.
o f
Te ch.,
Del ft,
T he<br />

N
e
t
h
e
r
l
a
n
d
s<br />

ORGANIZERS: Ralph
 H.J.M.
 Otten,<br />

Anantha
Chandrakashan<br />

Fast and accurate analysis of<br />

interconnect is more and more important<br />

for performance estimation of deep<br />

submicron circuits. Inductance,<br />

conveniently neglected in the past,<br />

cannot be ignored anymore. The first<br />

paper addresses that point. The second<br />

paper presents a wealth of practical data<br />

on this issue. The two final papers are<br />

more general in nature: eff i c i e n t<br />

techniques in model reduction and a<br />

reevaluation for the so-called miller<br />

effect on interconnect capacitance.<br />

4.1 On-Chip
 Inductance
 Modeling<br />

and
 Analysis<br />

Kaushik Gala, Vladimir Zolotov, Rajendran V.<br />

Panda, Brian Young, David Blaauw - Motorola,<br />

Inc. Austin, TX<br />

4.2 A
 Practical
 Approach
 to
 Parasitic<br />

Extraction
f or
 Design
 of
 Multimillion-<br />

Transistor
 Integrated
 Circuits<br />

Eileen Yo u, Lakshminarasimh Varadadesikan -<br />

Sun Microsystems, Inc., Palo Alto, CA<br />

John Macdonald - Mentor Graphics Corp.,<br />

Wilsonville, OR<br />

Weize Xie - Hewlett-Packard Co., Palo Alto, CA<br />

4.3S A
R ank-One
U pdate
M ethod
 for<br />

Efficient
P rocessing
o f
I nterconnect<br />

Parasitics
 in
 Timing
 Analysis<br />

Jacob K. White - Massachusetts Instittute of<br />

Technology, Cambridge, MA<br />

4.4S Revisiting
 the
 Switch
 Factor<br />

Based
 Analysis
 Methodology
 for<br />

Coupled
 RC
 Interconnects<br />

Sudhakar Muddu, Egino Sarto - SGI, Mountain<br />

View, CA<br />

Session 5<br />

ROOM:
 403<br />

LIFE
 AT
 THE
 END
 OF<br />

CMOS
 SCALING
 (AND<br />

BEYOND)<br />

CHAIR: Rob
 A.
 Rutenbar
 -
 Carnegie<br />

Mellon
 Univ.,
 Pittsburgh,
 PA<br />

ORGANIZER: Rob
 A.
 Rutenbar<br />

It is clear by now that CMOS scaling<br />

cannot continue forever, and that we will<br />

soon require a radical technology<br />

change. And yet, exceedingly small<br />

MOS devices are being created<br />

t o d a y, devices about a hundred<br />

atoms wide. Will we really be able to<br />

scale this far down? What are the<br />

obstacles to making such aggressively<br />

scaled devices usable as circuits? And<br />

what might come after CMOS? Our<br />

invited speakers will fearlessly speculate<br />

on these problems.<br />

5.1 INVITED
 PAPER: CMOS<br />

Transistor
 Scaling
 Limit<br />

Chenming Hu - Univ. of California, Berkeley, CA<br />

5.2 INVITED
 PAPER: Circuits
 and<br />

Interconnect
 in
 Aggressively<br />

Scaled
 MOS<br />

Mark Horowitz - Stanford Univ., Stanford, CA<br />

5.3 INVITED
 PAPER: Single<br />

Electron
 Switches
 and
 Memory:<br />

Devices,
 Technology
 and
<br />

Design
 Issues<br />

Steve Chou - Princeton Univ., Princeton, NJ<br />

t
e
c
h
n
i
c
a
l<br />

p
r
o
g
r
a
m<br />

29


t
e
c
h
n
i
c
a
l<br />

p
r
o
g
r
a
m<br />

2
:
0
0<br />

t
o<br />

4
:
0
0<br />

All
 speakers
 are<br />

denoted
 in
 Bold<br />

30<br />

S
 -
 denotes<br />

short
 paper<br />

Tuesday, June 6<br />

Session 6<br />

ROOM:
 404AB<br />

NEW
 TECHNIQUES
 FOR<br />

SYNTHESIS
 AND
 MAPPING<br />

C
H
A
I
R
: Leon
S tok -
I BM
C orp.,
Y orktown
H eights,
N Y<br />

ORGANIZERS: Jason
 Cong,
<br />

Malgorzata
Marek-Sadowska<br />

This session presents progress in synthesis and<br />

technology mapping, including combined mapping<br />

with gate-decomposition considering area-delay<br />

trade-off, BDD-based logic synthesis, fine-grained<br />

arithmetic optimization in data path synthesis, XORbased<br />

decomposition, and watermarking of<br />

synthesis solutions.<br />

6.1 Area
 Control
 and
 Search
 Space<br />

Limitations
 for
 Technology
 Mapping<br />

Dirk-Jan Jongeneel - Delft Univ. of Tech., Delft, The<br />

Netherlands<br />

Robert K. Brayton - Univ. of California, Berkeley, CA<br />

Ralph H.J.M. Otten - Delft Univ. of Tech., Delft, The Netherlands<br />

Yosinori Watanabe - Cadence European Labs., Roma, Italy<br />

6.2 BDS:
 A
 BDD-Based
 Logic
 Optimization<br />

System<br />

Congguang Yang, Maciej Ciesielski - Univ. of Massachusetts,<br />

Amherst, MA<br />

Vigyan Singhal - Cadence Berkeley Labs., Berkeley, CA<br />

6.3 A
 Fine-Grained
 Arithmetic<br />

Optimization
 for
 High-Performance/Low-<br />

Power
 Data
 Path
 Synthesis<br />

Junhyung Um, Taewhan Kim - Korea <strong>Adv</strong>anced Institute of<br />

Science, Taejon, Korea<br />

C.L. Liu - National Tsing Hua Univ., Hsinchu, Taiwan ROC<br />

6.4S Optimal
 Low
 Power
 XOR
 Decomposition<br />

Hai Zhou - Synopsys, Inc, Mountain View, CA<br />

D. F. Wong - Univ. of Texas, Austin, TX<br />

6.5S Watermarking
 while
 Preserving
 the<br />

Critical
 Path<br />

Seapahn Meguerdichian, Miodrag Potkonjak - Univ. of<br />

California, Los Angeles, CA<br />

Session 7<br />

ROOM:
 408A<br />

FORMAL
 VERIFICATION<br />

CHAIR: Limor
 Fix -
 Intel
 Semiconductors
 Ltd.,<br />

Haifa,
 Israel<br />

ORGANIZERS: Timothy
 Kam,
 Andreas
 Kuehlmann<br />

Formal verification is scaling up in many<br />

dimensions. From the application point of view, it is<br />

scaling up from RT L verification to microarchitecture<br />

and software verification. From the<br />

technology point of view, it is scaling up from BDDbased<br />

algorithms to ATPG and constraint solving<br />

techniques.<br />

7.1 Formal
 Verification
 of
 Superscalar<br />

Microprocessors
 with
 Multicycle
 Functional<br />

Units,
 Exceptions,
 and
 Branch
 Prediction<br />

Miroslav N. Velev, Randal E. Bryant - Carnegie Mellon Univ.,<br />

Pittsburgh, PA<br />

7.2 Assertion
 Checking
 by
 Combined
 Word-<br />

Level
 ATPG
 and
 Modular
 Arithmetic<br />

Constraint-Solving
Techniques<br />

Ric Chung-Yang Huang, Kwang-Ting (Tim) Cheng - Univ. of<br />

California, Santa Barbara, CA<br />

7.3 Reliable
 Verification
 Using
 Symbolic<br />

Simulation
 with
 Scalar
 Values<br />

Chris Wilson, David L. Dill - Stanford Univ., Stanford, CA<br />

7.4 Automatic
 Formal
 Verification
 of
 DSP<br />

Software<br />

David W. Currie - Mentor Graphics Corp., Billerica, MA<br />

Alan J. Hu - Univ. of British Columbia, Vancouver, BC, Canada<br />

Sreeranga P. Rajan, Masahiro Fujita - Fujitsu Labs. of America,<br />

Sunnyvale, CA<br />

All
 Design
 Methods
S essions
 are
s haded
 blue,
 Embedded
S ystems
 Sessions
 are
 shaded
g rey.


Session 8<br />

ROOM:
 406AB<br />

TEST
 ISSUES
 FOR<br />

DEEP-SUBMICRON<br />

SYSTEM-ON-CHIPS<br />

CHAIR: Janusz
 Rajski -
 Mentor<br />

Graphics
 Corp.,
 Wilsonville,
 OR<br />

ORGANIZERS: K.T.
 Cheng,
 Anand<br />

Raghunathan<br />

A major challenge in realizing core-based<br />

system-on-chip is in adopting and designing-in<br />

adequate test and diagnosis strategies. T h i s<br />

embedded tutorial focuses on the current<br />

industrial practices in designing SOC test. It<br />

discusses the challenges in testing deeply<br />

embedded cores supplied by diverse providers,<br />

often using different hardware description level<br />

and mixed technologies, and describes the<br />

state-of-the-art practices that address these<br />

challenges, such as system level test re-use<br />

and integrating test for complex system-onchips.<br />

In addition, this tutorial covers the current<br />

standardization efforts for embedded core test<br />

interface mechanisms.<br />

8.1 Embedded
 Tutorial: Systemon-Chip:
<br />

How
 will
 it
 Impact
<br />

Your
 Design<br />

Yervant Zorian - LogicVision, Inc., San Jose, CA<br />

Erik Jan Marinissen - Philips Research Labs.,<br />

Eindhoven, The Netherlands<br />

The use of deep submicron process<br />

technologies presents several new challenges<br />

in the area of manufacturing test. While a<br />

significant body of work has been devoted to<br />

identifying and investigating design challenges<br />

in nanometer technologies, the impact on test<br />

strategies and methodologies is still not well<br />

u n d e r s t o o d .<br />

This embedded tutorial will highlight the<br />

challenges to current test methodologies<br />

arising from technology driven trends, and will<br />

present an overview of emerging techniques<br />

that address deep submicron test challenges.<br />

8.2 Embedded
 Tutorial:
 Test<br />

Challenges
 for
 Deep
 Sub-Micron<br />

Technologies<br />

Kwang -Ting (Tim) Cheng - Univ. of California,<br />

Santa Barbara, CA<br />

Sujit Dey - Univ. of California, San Diego, CA<br />

Mike Rodgers - Intel Corp., Santa Clara, CA<br />

Kaushik Roy - Purdue Univ., West Lafayette, IN<br />

Tuesday, June 6<br />

Session 9<br />

ROOM:
 408B<br />

CLOCK
 AND
 POWER
 GRID<br />

A
N
A
L
Y
S
I
S FOR
 HIGH<br />

PERFORMANCE
 DESIGNS<br />

CHAIR: Vivek
 Tiwari -
 Intel
 Corp.,
 Santa<br />

Clara,
 CA<br />

ORGANIZERS: Ingrid
 Verbauwhede,
<br />

Mojy
 Chian<br />

Higher level of integration of modern chips have<br />

significantly increased the size and complexity of the<br />

power and clocking networks. The first paper in this<br />

session focuses on hierarchical analysis of power<br />

networks. The second paper demonstrates an<br />

e fficient reduction method. The third paper presents<br />

a novel approach for fast vector compression for IRdrop<br />

analysis. The final two papers analyze the<br />

impact of process variation on clock skew.<br />

9.1 Hierarchical
 Analysis
 of
 Power<br />

Distribution
Networks<br />

Min Zhao - Univ. of Minnesota, Minneapolis, MN<br />

Rajendran V. Panda - Motorola, Inc., Austin, TX<br />

Sachin S. Sapatnekar - Univ. of Minnesota,<br />

Minneapolis, MN<br />

Tim Edwards, Rajat Chaudhry, David Blaauw -<br />

Motorola, Inc., Austin, TX<br />

9.2 Fast
 Power
 Grid
 Simulation<br />

Sani R. Nassif - IBM Austin Research Lab., Austin, TX<br />

Joseph N. Kozhaya - Univ. of Illinois, Urbana, IL<br />

9.3 Current
 Signature<br />

Compression
 for
 IR-Drop
 Analysis<br />

Rajat Chaudhry, David Blaauw, Rajendran V.<br />

Panda, Tim Edward s - Motorola, Inc., Austin, TX<br />

9.4S Impact
 of
 Interconnect<br />

Variations
 on
 the
 Clock
 Skew
 of
 a<br />

Gigahertz
Microprocessor<br />

Ying Liu - Carnegie Mellon Univ., Pittsburgh, PA<br />

Sani R. Nassif - IBM Austin Research Lab., Austin, TX<br />

Lawrence T. Pileggi, Andrzej J. Strojwas - Carnegie<br />

Mellon Univ., Pittsburgh, PA<br />

9.5S A
 Methodology
 for
 Modeling<br />

the
 Effects
 of
 Systematic
 Within-<br />

Die
 Interconnect
 and
 Device<br />

Variation
 on
 Circuit
 Performance<br />

Vikas Mehrotra, Shiou Lin Sam, Duane Boning,<br />

Anantha Chandrakasan - Massachusetts Institute of<br />

Te c h n o l o g y, Cambridge, MA<br />

Rakesh Va l l i s h a y e e - PDF Solutions, San Jose, CA<br />

Sani R. Nassif - IBM Austin Research Lab., Austin, TX<br />

Session 10<br />

ROOM:
 403<br />

PANEL:
 DESIGN<br />

CLOSURE:
 HOPE
 OR<br />

HYPE?<br />

CHAIR: Kurt
 Keutzer
 -
 University
 of<br />

California,
 Berkeley,
 CA<br />

ORGANIZERS: Andrew
 Kahng,
 Gloria<br />

Nicols,
 Vik
 Kulkarni
<br />

It’s been one year since Richard Goering<br />

told us that the EDA RTL-to-GDSII world<br />

was about to change. What have we<br />

learned? Who’s winning, and who’s<br />

not? This panel, consisting of the<br />

leading large and upcoming players in<br />

this space, will deliver concrete data to<br />

d i fferentiate leading approaches to<br />

achieving design closure. Does the solution<br />

lie in raw speed and RTL optimization, with<br />

the synthesis-place-route back end just a<br />

commodity? Does the solution lie in new<br />

metrics for design convergence, and<br />

symmetric multiprocessing platforms for<br />

efficiency? Does the solution lie in a holistic,<br />

unified architecture of data model and<br />

tools? Or does the solution lie in extensions<br />

and unifications of existing productionproven<br />

logic, timing, and layout optimization<br />

technologies? A hard-hitting panel session<br />

will reveal the answers!<br />

PANELISTS:<br />

Raul
 Camposano -
 Synopsys,
 Inc.,<br />

Mountain
 View,
 CA<br />

Jacob
 Greidinger -
 Aristo<br />

Technology,
 Cupertino,
 CA<br />

Patrick
 Groeneveld -
 Magma
 Design<br />

Automation,
 Cupertino,
C A<br />

Michael
 Jackson
 -
 Avant!
 Corp.,<br />

Fremont,
 CA
<br />

Larry
 Pileggi
 -
 Monterey
 Design<br />

Systems,
 Sunnyvale,
 CA<br />

Lou
 Scheffer -
 Cadence
 Design<br />

Systems,
 Inc.,
 San
 Jose,
 CA<br />

Martin
 Walker -
 Frequency<br />

Technology,
 Santa
 Clara,
 CA<br />

t
e
c
h
n
i
c
a
l<br />

p
r
o
g
r
a
m<br />

31


t
e
c
h
n
i
c
a
l<br />

p
r
o
g
r
a
m<br />

4
:
3
0<br />

t
o<br />

6
:
0
0<br />

All
 speakers
 are<br />

denoted
 in
 Bold<br />

32<br />

S
 -
 denotes<br />

short
 paper<br />

Tuesday, June 6<br />

Session 11<br />

ROOM:
 404AB<br />

ALGORITHMS
 FOR
 RF<br />

SIMULATION
 AND
 MODEL<br />

REDUCTION<br />

CHAIR: Alan
 Mantooth -
 Univ.
 of
 Arkansas,<br />

Fayetteville,
 AR<br />

ORGANIZERS: Hidetoshi
 Onodera,
 Alan
 Mantooth<br />

A multi-interval Chebyshev method is described that<br />

discretizes the circuit equations by dividing the<br />

simulation domain into intervals and represents the<br />

solution using Chebyshev polynomials. This method<br />

is applied to nonlinear RF circuits. The remaining<br />

two papers involve model reduction. The first paper<br />

is on weakly nonlinear systems, which is a<br />

generalization of popular linear techniques. The<br />

second paper describes a novel driving point model<br />

for on-chip interconnect including inductance.<br />

11.1 A
 Multi-Interval
 Chebyshev
 Collocation<br />

Method
 for
 Efficient
 High-Accuracy
 RF<br />

Circuit
 Simulation<br />

Baolin Yang, Joel R. Phillips - Cadence Design Systems, Inc.<br />

San Jose, CA<br />

11.2 Projection
 Frameworks
 for
 Model<br />

Reduction
 of
 Weakly
 Nonlinear
 Systems<br />

Joel R. Phillips - Cadence Design Systems Inc., San Jose, CA<br />

11.3 A
 Realizable
 Driving
 Point
 Model
 for<br />

On-Chip
 Interconnect
 with
 Inductance<br />

Chandramouli Kashyap, Byron Krauter - IBM Corp., Austin, TX<br />

Session 12<br />

ROOM:
 408A<br />

VERIFICATION
 AND<br />

DEBUGGING
METHODOLOGIES<br />

CHAIR: Ivo
 Bolsens -
 IMEC,
 Leuven,
 Belgium<br />

ORGANIZER: Ivo
 Bolsens<br />

The first two papers present case studies on the<br />

application of formal verification techniques to an<br />

on-chip bus arbiter core and iterative circuits in<br />

microprocessors. The last paper talks about a<br />

technique to support incremental FPGA b a s e d<br />

emulation.<br />

12.1 Verification
 of
 an
 IBM
 Coreconnect<br />

Processor
 Local
 Bus
 Arbiter
 Core<br />

Amit Goel - Carnegie Mellon Univ., Pittsburgh, PA<br />

William Lee - IBM Microelectronics, Research Triangle Park, NC<br />

12.2 Formal
 Verification
 of
 Iterative<br />

Algorithms
 in
 Microprocessors<br />

Mark D. Aagaard, Robert B. Jones, Roope Kaivola, Katherine<br />

Kohatsu, Carl-Johan H. Seger - Intel Corp., Hillsboro, OR<br />

12.3 Efficient
 Error
 Detection,
 Localization,<br />

and
 Correction
 for
 FPGA-Based
 Debugging<br />

John Lach, William H. Mangione-Smith, Miodrag Potkonjak -<br />

Univ. of California, Los Angeles, CA<br />

All
 Design
 Methods
S essions
 are
s haded
 blue,
 Embedded
S ystems
 Sessions
 are
 shaded
g rey.


Session 13<br />

ROOM:
 406AB<br />

DESIGN
 METHODS
 FOR<br />

EMERGING<br />

TECHNOLOGIES<br />

CHAIR: Ingrid
 Verbauwhede -
 Univ.
 of<br />

California,
 Los
 Angeles,
 CA<br />

ORGANIZERS: Tadahiro
 Kuroda,
<br />

Telle
 Whitney<br />

The future IC will include very different<br />

technologies. This session includes<br />

papers that suggest some of them.<br />

The first paper describes design<br />

implementation and performance<br />

evaluation of 3-D IC’s. The second<br />

paper gives a strategy to design and<br />

model the optical interconnects. T h e<br />

third paper describes the design of a<br />

quantum DOT based microprocessor.<br />

13.1 Multiple
 SI
 Layer
 ICs:<br />

Motivation,
Performance<br />

Analysis,
 and
 Design
 Implications<br />

Shukri Souri, Kaustav Banerjee - Stanford Univ.,<br />

Stanford, CA<br />

Amit Mehrotra - Univ. of Illinois, Urbana, IL<br />

Krishna C. Saraswat - Stanford Univ., Stanford, CA<br />

13.2 High-Level
 Model
 for
 a
 WDMA<br />

Passive
 Optical
 Interconnection<br />

Scheme
 for
 a
 Reconfigurable<br />

Multiprocessor
System<br />

Vince Boros - Univ. of Queensland, St Lucia,<br />

Australia<br />

13.3 DESIGN CONTEST: A
 Design
 of<br />

and
 Design
 Tools
 for
 a
 Novel<br />

Quantum
 Dot
 Based
 Microprocessor<br />

Peter Kogge, Michael Kontz, Michael Niemier -<br />

Univ. of Notre Dame, Notre Dame, IN<br />

Session 14<br />

ROOM:
 408B<br />

SIGNAL
 INTEGRITY<br />

Tuesday, June 6<br />

CHAIR: Luis
 Miguel
 Silveira -<br />

INESC/IST,
 Lisboa,
 Portugal<br />

ORGANIZERS: Joel
 Phillips,
 Lou<br />

Scheffer<br />

Controlling noise on signals is of critical<br />

importance in deep submicron chips.<br />

The first paper describes a tool and flow<br />

for noise analysis and control. T h e<br />

second and third papers describes the<br />

extensions needed for SOI and dynamic<br />

logic. The fourth paper contains a new<br />

approach to reduced models intended to<br />

make multiport analysis faster without<br />

sacrificing too much accuracy.<br />

14.1 Clarinet:
 A
 Noise
 Analysis<br />

Tool
 and
 Methodology
 for
 Deep-<br />

Submicron
 Design<br />

Shoba Alwar, Aurobindo Dasgupta, Amir<br />

Grinshpon, Rafi Levy, Chanhee Oh, Boaz O r s h a v,<br />

Vladimir Zolotov - Motorola, Austin, TX<br />

14.2S Static
 Noise
 Analysis
 for<br />

Digital
 Integrated
 Circuits
 in<br />

Partially-Depleted
Silicon-on-<br />

Insulator
Technology<br />

Kenneth L. Shepard, Dae-Jin Kim - Columbia<br />

Univ., New York, NY<br />

14.3S Dynamic
 Noise
 Immunity<br />

in
 Precharge-Evaluate
 Circuits<br />

Dinesh Somasekhar - Intel Corp., Hillsboro, OR<br />

Seung Hoon Choi, Kaushik Roy - Purdue Univ.,<br />

West Lafayette, IN<br />

Yibin Ye, Vivek De - Intel Corp., Hillsboro, OR<br />

14.4 Extended
 Krylov
 Subspace<br />

Method
 for
 Reduced
 Order<br />

Analysis
 of
 Linear
 Circuits
 with<br />

Multiple
 Sources<br />

Tuyen V. Nguyen - IBM Austin Research Lab.,<br />

Austin, TX<br />

Janet M.L. Wa n g - Univ. of California, Berkeley, CA<br />

Session 15<br />

ROOM:
 403<br />

PANEL:
 EDA
<br />

MEETS
 .COM:
 HOW
<br />

E-SERVICES
 WILL<br />

CHANGE
 THE
 EDA<br />

BUSINESS
 MODEL<br />

CHAIR: Jennifer
 Smith
 -
 Dain
 Rauscher<br />

Wessels,
 San
 Francisco,
 CA<br />

ORGANIZERS: Tom
 Quan,
 Andrew
 Kahng
<br />

The skyrocketing complexities of SOC<br />

design have led to such design<br />

bottlenecks as iterations, poor scaling<br />

of tools, and inadequate computational<br />

power of desktop workstations and servers.<br />

At the same time, many organizations face<br />

long capital budgeting cycles for new<br />

hardware and software, and increased total<br />

cost of ownership (software, maintenance,<br />

integration, training, etc.) for their design<br />

c a p a b i l i t y. This panel addresses the "dot<br />

.com" phenomenon and its associated<br />

technology and infrastructure -- e-services -which<br />

offer the promise of new design<br />

capabilities for chip designers and new<br />

business models for EDA companies. Issues<br />

include (1) for EDA, how will e-services<br />

transform sales channels, the push to<br />

interoperability, or how customer needs are<br />

addressed? (2) for ASIC vendors, will eservices<br />

change how end users design, and<br />

how vendors deploy their services? (3) for eservices<br />

providers and investors, what's real,<br />

what's the market, and how does this affect<br />

valuations and business strategy?<br />

PANELISTS:<br />

Jacques
 Benkoski
 -
 Monterey
 Design<br />

Systems,
 Sunnyvale,
 CA<br />

David
 Dick -
 Fujitsu
 Microelectronics,<br />

San
 Jose,
 CA<br />

Adriaan
 Ligtenberg -
 Cadence
 Design<br />

Systems,
 Inc.,
 San
 Jose,
 CA<br />

Mike
 Schuh -
 Foundation
 Capital,
 Palo<br />

Alto,
 CA<br />

Greg
 Spirakis -
 Intel
 Corp.,
 Santa<br />

Clara,
 CA<br />

Bruce
 Toal -
 Hewlett-Packard
 Co.,<br />

Richardson,
 TX<br />

t
e
c
h
n
i
c
a
l<br />

p
r
o
g
r
a
m<br />

33


t
e
c
h
n
i
c
a
l<br />

p
r
o
g
r
a
m<br />

8
:
3
0<br />

t
o<br />

1
0
:
0
0<br />

All
 speakers
 are<br />

denoted
 in
 Bold<br />

34<br />

S
 -
 denotes<br />

short
 paper<br />

Wednesday, June 7<br />

Session 16<br />

ROOM:
 404AB<br />

TIMING
 ANALYSIS
 AND<br />

VERIFICATION<br />

CHAIR:
Hidetoshi
 Onodera -
 Kyoto
 Univ.,
 Kyoto,<br />

Japan<br />

ORGANIZERS: Srinivas
 Devadas,
 Hidetoshi
 Onodera<br />

The first paper shows a symbolic simulation method<br />

for transister-level simulation. The second paper<br />

presents a novel dynamic delay model to take into<br />

account capacitive coupling, while the next paper<br />

takes into account coupling effects during timing<br />

analysis. The last paper presents an algorithm to<br />

remove false paths in a timing graph model.<br />

16.1 Symbolic
 Timing
 Simulation
 Using<br />

Cluster
 Scheduling<br />

Clayton B. McDonald, Randal E. Bryant - Carnegie Mellon<br />

Univ., Pittsburgh, PA<br />

16.2 Critical
 Path
 Analysis
 Using
 a<br />

Dynamically
 Bounded
 Delay
 Model<br />

Soha Hassoun - Tufts Univ., Medford, MA<br />

16.3S Taco:
 Timing
 Analysis
 with
 Coupling<br />

Ravishankar Arunachalam - Carnegie Mellon Univ.,<br />

Pittsburgh, PA<br />

Karthik Rajagopal - Intel Corp., Santa Clara, CA<br />

Lawrence T. Pileggi - Carnegie Mellon Univ., Pittsburgh, PA<br />

16.4S Removing
 User-Specified
 False<br />

Paths
 from
 Timing
 Graphs<br />

Rajendran V. Panda, David Blaauw - Motorola, Inc., Austin, TX<br />

Session 17<br />

ROOM:
 408A<br />

LOGIC/PHYSICAL
CO-DESIGN<br />

CHAIR: Massoud
 Pedram -
 Univ.
 of
 Southern<br />

California,
 Los
 Angeles,
 CA<br />

ORGANIZERS: Jason
 Cong,
<br />

Margaret
Marrek-Sadowska<br />

This session presents novel techniques that link<br />

logical and physical designs. The first paper<br />

presents and efficient technique for performancedriven<br />

circuit partitioning with retiming to minimize<br />

global interconnect delays. The second paper<br />

proposes an interesting concept called the crosstalk<br />

immunity set in the logic domain which can help<br />

noise-avoidance layout. The third paper explores<br />

the use of functional symmetry in post-layout<br />

optimization. The last paper presents a fast<br />

incremental FPGA mapping algorithm which<br />

supports an efficient iterative design methodology.<br />

17.1 Performance-Driven
 Multi-Level
 and<br />

Multi-Way
 Partitioning
 with
 Retiming<br />

Jason Cong, Sung Kyu Lim - Univ. of California, Los Angeles, CA<br />

Chang Wu - Aplus Design Technologies, Inc., Los Angeles, CA<br />

17.2 High
 Performance
 Domino
 Logic<br />

Synthesis
 with
 Noise
 Constraints<br />

Ki-Wook Kim, Sung-Mo Kang - Univ. of Illinois, Urbana, IL<br />

Unni K. Narayanan - Intel Corp., Santa Clara, CA<br />

17.3S Fast
 Post-Placement
 Rewiring
 Using<br />

Easily
 Detectable
 Functional
 Symmetries<br />

Chih-Wei (Jim) Chang - Univ. of California, Santa Barbara, CA<br />

Chung-Kuan Cheng - Univ. of California at San Diego, La Jolla, CA<br />

Peter R. Suaris - Mentor Graphics Corp., Wilsonville, OR<br />

M a l g o rzata Mare k - S a d o w s k a - Univ. of California, Santa Barbara, CA<br />

17.4S Depth
 Optimal
 Incremental
 Mapping<br />

for
 Field
 Programmable
 Gate
 Arrays<br />

Jason Cong - Univ. of California, Los Angeles, CA<br />

Hui Huang - Stanford Univ., Stanford, CA<br />

*
 Please
 be
 advised
t hat
 the
 two
Ke ynotes
 and
 some
 of
t he
T echnical
S essions
 will
b e
 
 
 
<br />

video
t aped
 during
 the
c onference.<br />

All
 Design
 Methods
S essions
 are
s haded
 blue,
 Embedded
S ystems
 Sessions
 are
 shaded
g rey.


Session 18<br />

ROOM:
 406AB<br />

POWER
 ANALYSIS
 AND<br />

OPTIMIZATION
 FOR<br />

EMBEDDED
 SOFTWARE<br />

CHAIR: Luca
 Benini -
 Univ.
 of
 Bologna,<br />

Bologna,
 Italy<br />

ORGANIZERS: John
 Glossner,
<br />

Luciano
 Lavagno<br />

This session deals with several levels at<br />

which the problem of software power<br />

consumption can be handled. The first<br />

paper discusses how bus and memory<br />

activity can be minimized by compressing<br />

the code. The next paper discusses how<br />

part of the data cache can be hardwired<br />

and optimized for power. The next two<br />

papers deal with compiler optimizations.<br />

Finally the impact of the real-time operating<br />

system is discussed, considering<br />

communication and scheduling primitives.<br />

18.1 Code
 Compression
 for
 Low<br />

Power
 Embedded
 System
 Design<br />

Haris Lekatsas - Princeton Univ., Princeton, NJ<br />

Joerg Henkel - NEC USA, C&C Research Labs.,<br />

Princeton, NJ<br />

Wayne Wolf - Princeton Univ., Princeton, NJ<br />

18.2S Synthesis
 of
 Application-<br />

Specific
 Memories
 for
 Power<br />

Optimization
in 
 Embedded
S ystems<br />

Luca Benini - Univ. of Bologna, Bologna, Italy<br />

A l b e rto Macii, Enrico Macii, Massimo Poncino -<br />

Politecnico di Torino, Torino, Italy<br />

18.3S Influence
 of
 Compiler<br />

Optimizations
 on
 System
 Power<br />

Mahmut Kandemir, Vijaykrishnan Narayanan,<br />

Mary Jane Irwin, Wu Ye - Penn State Univ.,<br />

University Park, PA<br />

18.4S Power
 Minimization<br />

Derived
 from
 Architectural-Usage<br />

of
 VLIW
 Processors<br />

Catherine H. Gebotys - Univ. of Waterloo,<br />

Waterloo, ON, Canada<br />

18.5S Power
 Analysis
 of<br />

Embedded
 Operating
 Systems<br />

Ganesh Lakshminarayana - NEC USA, C&C<br />

Research Labs., Princeton, NJ<br />

Session 19<br />

ROOM:
 408B<br />

EMBEDDED<br />

COMPILATION<br />

TECHNIQUES<br />

Wednesday, June 7<br />

CHAIR: John
 Glossner -
 IBM
 Corp.,<br />

Yorktown
 Heights,
 NY<br />

ORGANIZERS: Kees
 Vissers,
 Luciano<br />

Lavagno<br />

This session explores compilation<br />

techniques for embedded systems.<br />

Memory latency is an important issue. A<br />

compiler that reorders code to hide<br />

memory latency for DSP and multimedia<br />

applications is discussed. The second<br />

paper shows how to compile a language<br />

with concurrency and timing constraints<br />

into fast sequential code. Two shorter<br />

papers discuss minimizing memory<br />

bandwidth in telecom applications and<br />

using compilers to predict the<br />

performance of a program as an aid for<br />

h a n d - o p t i m i z a t i o n .<br />

19.1 Memory
 Aware
 Compilation<br />

through
 Accurate
 Timing<br />

Extraction<br />

Peter Grun, Nikil D. Dutt, Alexandru Nicolau -<br />

Univ. of California, Irvine, CA<br />

19.2 Compiling
 Esterel
 into<br />

Sequential
 Code<br />

Stephen A. Edwards - Synopsys, Inc.,<br />

Mountain View, CA<br />

19.3S Interactive
 Algorithms
 for<br />

Minimizing
 Memory
 Bandwidth
 in<br />

High
 Throughput
 Telecom
 and<br />

Multimedia<br />

Omnes Thierry - IMEC VZW - Desics, Leuven,<br />

Belgium<br />

19.4S Predicting
Performance<br />

Potential
 of
 Modern
 DSPs<br />

Naji S. Ghazal, A. Richard Newton, Jan M.<br />

Rabaey - Univ. of California, Berkeley, CA<br />

Session 20<br />

Room:
 ROOM:
 403<br />

PANEL:
 FUTURE<br />

SYSTEMS-ON-CHIP:<br />

SOFTWARE
 OR<br />

HARDWARE
 DESIGN?
<br />

CHAIR: Brian
 DiPert -
 EDN,<br />

Sacramento,
 CA<br />

ORGANIZERS: Shishpal
 Rawat,
<br />

Sylvia
 Tam
<br />

<strong>Adv</strong>ances in device technology have led to<br />

an era where entire systems can be<br />

implemented on a single component,<br />

commonly referred to as system-onchip.<br />

With shrinking product life cycles<br />

placing severe time to market demands on<br />

manufacturers, coupled with their need to<br />

quickly change a product's feature set to<br />

address evolving customer requirements,<br />

programmability will emerge as a cornerstone<br />

for all chips implemented in the future.<br />

The Internet, communications, consumer<br />

electronics, and computing markets are first<br />

to take advantage of system-on-chip<br />

t e c h n o l o g y. What are the benefits of<br />

programmability to these and other markets<br />

and are there potential pitfalls? What<br />

architectures and programmability (or<br />

reconfigurability) are going to be the likely<br />

winners and at what cost? Are these<br />

architectures likely to converge or diverge?<br />

The panelists will debate the merits of their<br />

existing approaches and how they are likely<br />

to be shaped in the future.<br />

PANELISTS:<br />

Barry
 Britton
 -
 Lucent
 Technologies,<br />

Allentown,
 PA<br />

Bob
 Broderson
 -
 Univ.
 of
 California,<br />

Berkeley,
 CA<br />

Bob
Co lwell -
I ntel
Co rp.,
Hi llsboro,
 OR<br />

Bill
 Harris
 -
 Cisco
 Systems,
 Research<br />

Triangle
 Park,
 NC<br />

Chris
 Rowen
- 
T ensilica,
 Santa
C lara,
 C
A<br />

Danesh
 Tavana -Triscend,
 Mountain<br />

View,
 CA
<br />

t
e
c
h
n
i
c
a
l<br />

p
r
o
g
r
a
m<br />

35


t
e
c
h
n
i
c
a
l<br />

p
r
o
g
r
a
m<br />

1
0
:
3
0<br />

t
o<br />

1
2
:
0
0<br />

All
 speakers
 are<br />

denoted
 in
 Bold<br />

36<br />

S
 -
 denotes<br />

short
 paper<br />

Wednesday, June 7<br />

Plenary Panel<br />

ROOM:
 Concourse
 Hall<br />

PANEL:
 EMBEDDED
 SYSTEMS
 DESIGN
 IN
 THE
 NEW
 MILLENNIUM<br />

CHAIR:
 A.
 Richard
 Newton,
 Univ.
 of
 California,
 Berkeley,
 CA<br />

ORGANIZER: Randolph
 E.
 Harr<br />

As
 we
 turn
 the
 corner
 into
 the
 new
 century,
 design
 is
 increasingly
 focused
 on
 single
 integrated<br />

circuit
 implementations
 of
 complete
 systems.

 Similar
 to
 alchemy
 in
 the
 middle
 ages,
 the
 design<br />

of
 a
 complex
 system
 onto
 an
 IC
 is
 an
 art
 and
 possibly
 an
 illusion
 understood
 by
 a
 very
 few.

 It<br />

is
 a
 complex
 search
 for
 an
 optimal
 answer
 which
 requires
 understanding
 the
 application,
 the<br />

system
 domain,
 digital
 function
 and
 control,
 and
 the
 fundamentals
 of
 circuits
 and
 IC<br />

fabrication
 technology.
 Due
 to
 the
 complexity
 of
 standards
 and
 processing,
 no
 one
 company<br />

understands
 or
 owns
 all
 of
 the
 needed
 technologies.<br />

This
 plenary
 panel
 draws
 on
 leaders
 from
 companies
 that
 are
 successfully
 addressing
 embedded<br />

system
 design
 problems
 in
 the
 new
 millennium.
 The
 panelists
 will
 discuss
 what
 they

 perceive
 as<br />

the
 biggest
 problems
 today
 and
 down
 the
 road,
 and
 outline
 solutions
 they
 will
 have
 a
 part
 in<br />

bringing
 about.<br />

They
 will
 collectively
 address
 the
 challenges
 of
 authoring
 and
 integrating
 major
 components<br />

such
 as
 microprocessors,
 memory,
 custom
 digital
 logic
 and
 software
 into
 a
 single
 design.<br />

Questions
 that
 arise
 in
 the
 design
 of
 complex
 embedded
 systems
 are:<br />

1)
 How
 does
 one
r efine
 an
app lication
pr oblem
 to
 a
 solution
 in
t he
 face
of 
I C
t echnology<br />

limitations?
 
Ar e
 there
t hat
ma ny
t all,
 thin
 designers
or 
ar e
t hey
 not
r eally
t he
 norm
 needed?<br />

2)
 Are
 the
 issues
 the
 same
 old
 DSM
 issues
 as
 for
 custom
 design:
 problems
 induced
 by
 the<br />

physics
 of
 the
 sub-micron
 structures
 as
 well
 as
 problems
 induced
 by
 the
 shear
 enormity<br />

of
 the
 millions
 of
 components?<br />

3)
 How
 does
 one
 trade-off
 the
 power
 savings
 and
 performance
 gains
 of
 custom
 hardware<br />

with
 the
 flexibility
 and
 reusability
 of
 programmable
 solutions?<br />

4)
 What
 about
 balancing
 the
 increasing
 mixed
 functions
 of
 embedded
 DSP
 with
 analog
 and<br />

user
 interfaces?<br />

5)
 Are
 shrinking
 time
 to
 market
 and
 market
 lifetime
 windows
 forcing
 everything
 to
 a<br />

reusable
 architectural
 platform?<br />

6)
 Can
 anything
 be
 learned
 from
 board
 /
 subsystem
 design
 techniques
 and
 carried
 down
 to<br />

Embedded
 System
 on
 a
 Chip
 design?<br />

7)
 How
 do
 we
 achieve
 and
 exploit
 the
 most
 transistors?

 Think
 of
 the
 problem
 as
 inherently<br />

parallel
 and
 figure
 out
 ways
 to
 synchronize
 where
 needed?

 Or
 treat
 it
 as
 a
 traditional<br />

software,
 sequential
 specification
 and
 look
 for
 ways
 to
 parallelize
 to
 tasks?<br />

The
group
 
 will
compar e
 the
chall enges
t hey
have
f aced
in
implement ing
embedded
 systems
as
well<br />

as
 the
 solutions
t hat
 have
 come
 to
 fruition
 thus
 far.
 They
 will
c onsider
 whether
 embedded
 systemon-a-chip
<br />

is
 the
 dawn
 of
 a
 new
 industry,
 the
 natural
 progression
 of
 the
 existing
 semiconductor<br />

integrated
 circuit
 market,
 or
 the
 seemingly
 unreachable
 goal
 of
 turning
lead
 into
 gold.<br />

PANEL
 MEMBERS:<br />

Tudor
 Brown -
 ARM,
 LOCATION<br />

Sönke
 Mehrgardt -
 Infineon
 Technologies,
 Munich,
 Germany<br />

Walden
C .
Rh ines -
M entor
Gr aphics
Cor p.,
W ilsonville,
OR<br />

Henry
 Samueli -
 Broadcom,
 Irvine,
 CA<br />

Geoff
 Tate -
 Rambus,
 LOCATION


Biographies<br />

Wednesday, June 7<br />

Tudor
 Brown<br />

Tudor
 Brown
 graduated
 in
 Electrical
 Sciences
 from<br />

Cambridge
 University
 in
 1989.
 His
 early
 career
 included<br />

industrial
 control,
 Ethernet
 and
 graphics
 controllers;<br />

bringing
 together
 analog
 electronics
 and
 computer<br />

engineering.
 He
 has
 been
 dedicated
 to
 ARM<br />

developments
 since
 1984,
 leading
 several
 CPU
 and<br />

system
 designs
 in
 that
 time.
 He
 has
 managed
 the<br />

development
 team
 since
 1991
 and
 been
 CTO
 since
 1997.<br />

Sönke
 Mehrgardt<br />

Dr.
 Sönke
 Mehrgardt
 was
 elected
 to
 the
 Management<br />

Board
 of
 Infineon
 Technologies
 in
 April
 1999.
 He
 is<br />

Chief
 Technology
 Officer
 and
 Executive
 Vice
 President.<br />

Previously
 he
 held
 the
 position
 President
 Signal<br />

Processing
 and
 Control
 and
 before
 that
 President
 of<br />

Consumer
 Electronics
 ICs
 in
 Siemens
 Semiconductor<br />

Group.
Aft er
being
t en
 years
w ith
IT T-Semiconductor,<br />

Dr.
 Mehrgardt
 
 joined
 Siemens
 in
 1993.
 He
 holds
 a
 MA<br />

in
 Physics
 and
 an
 Ph.D.
 in
 Natural
 Science
 from
 the<br />

University
 of
 Goettingen
 and
 where
 he
 was
 Assistant<br />

Professor
 at
 the
 university
for
nine
years .<br />

Richard
 Newton<br />

Richard
 Newton
 has
 been
 actively
 involved
 as
 a<br />

researcher
 and
 teacher
 in
 the
 areas
 of
 design<br />

technology,
 electronic
 system
 architecture,
 and<br />

integrated
 circuit
 design
 since
 1979.
 In
 addition
 to<br />

his
 academic
 role,
 Professor
 Newton
 has
 helped
 to<br />

found
 a
 number
 of
 design
 technology
 companies,<br />

including
 SDA
 Systems
 (now
 Cadence
 Design
 Systems),<br />

PIE
 Design
 Systems,
 Simplex
 Solutions,
 and
 Synopsys,<br />

where
 he
 rejoined
 the
 Board
 of
 Directors
 in
 1994.<br />

Since
 1988,
 he
 has
 acted
 as
 a
 Venture
 Partner
 with<br />

the
 Mayfield
Fund, 
a
 high-technology
v enture
capi tal<br />

partnership,
 where
 he
 has
 contributed
 to
 both
 the<br />

evaluation
 and
 early-stage
 development
 of
 over
 a<br />

dozen
 new
 companies
 and
 is
 presently
 a
 Member
 of
 the<br />

Board
 of
 Directors
 of
 two
 of
 them.
 Professor
 Newton<br />

is
 a
 member
 of
 the
 Microsoft
 Research
 Laboratories<br />

Technical
 <strong>Adv</strong>isory
Board
 and
is 
 a
Fel low
 of
 the
 IEEE.<br />

Walden
 C.
 Rhines<br />

Walden
 C.
 Rhines
 is
 President
 and
 Chief
 Executive<br />

Officer
 of
 Mentor
 Graphics.

 He
 joined
 Mentor
 in
 1993<br />

from
 Texas
 Instruments
 (TI)
 where
 he
 was
 most<br />

recently
 Executive
 Vice
 President
 in
 charge
 of
 TI's<br />

semiconductor
 business.

 During
 twenty-one
 years
 at<br />

TI,
 he
 managed
 all
 parts
 of
 their
 semiconductor
 and<br />

computer
 systems
 businesses
 and
 was
 responsible
 for<br />


<br />

development
 of
 key
 products
 such
 as
 TI's
 TMS
 320<br />

family
 of
 digital
 signal
 processors
 and
 speech<br />

synthesis
 components
 for
 Speak
 'N
 Spell.


 He
 is<br />

currently
 Vice
 Chairman
 of
 the
 Electronic
 Design<br />

Automation
 Consortium
 and
 a
 board
 member
 of
 Lewis<br />

and
 Clark
 College,
 the
 Oregon
 Independent
 College<br />

Foundation,
 SEMI/Sematech
 and
 the
 Oregon<br />

Symphony.
 He
 received
 a
 BSE
 degree
 from
 the<br />

University
 of
 Michigan,
 an
 MS
 and
 PhD
 from
 Stanford<br />

University,
 an
 MBA
 from
 Southern
 Methodist<br />

University
 and
 an
 Honorary
 Doctor
 of
 Technology<br />

degree
 from
 Nottingham
 Trent
 University.<br />

Henry
 Samueli<br />

Dr.
 Henry
 Samueli
 co-founded
 Broadcom
 Corporation<br />

in
 1991.
 As
 Co-Chairman
 and
 Chief
 Technical
 Officer<br />

of
 the
 company,
 he
 is
 responsible
 for
 all
 research
 and<br />

development
 activities.
 Broadcom
 is
 a
 leading<br />

supplier
 of
 integrated
 circuits
 enabling

 broadband<br />

communications
 to
 and
 throughout
 the
 home
 and<br />

business.
 
 Dr.
 Samueli
 also
 co-founded
 PairGain<br />

Technologies
 in
 1988
 and
 served
 as
 Chief
 Scientist
 of<br />

the
 company
 until
 1994.
 From
 1985-1995
 he
 was
 a<br />

Professor
 in
 the
 Electrical
 Engineering
 Department
 at<br />

UCLA
 where
 he
 supervised
 advanced
 research<br />

programs
 in
 broadband
 communications
 circuits.

 He<br />

has
 published
 over
 100
 papers
 on
 the
 subject.
 From<br />

1980-1985,
 he
 held
 various
 engineering
 management<br />

positions
 in
 the
 Electronics
 and
 Technology
 Division<br />

of
 TRW,
 Inc.
 Dr.Samueli
 is
 on
 the
 Board
 of
 Trustees<br />

of
 the
 UCI
 Foundation
 and
 he
 is
 a
 member
 of
 the
 UCI<br />

Chief
 Executive
 Roundtable.

 He
 is
 also
 a
 member
 of<br />

the
 Board
 of
 Directors
 of
 the
 Orange
 County<br />

Performing
 Arts
 Center

 and
 Project
 Tomorrow,
 a
 nonprofit
<br />

organization
 supporting
 K-12
 math
 and<br />

science
 education.

 Dr.
 Samueli
 received
 a
 B.S.,
 M.S.<br />

and
 Ph.D.
 in
 Electrical
 Engineering
 from
 UCLA
 in
 1975,<br />

1976,
 and
 1980,
 respectively.<br />

Geoff
 Tate<br />

Geoff
 Tate
 has
 been
 CEO
 of
 Rambus
 Inc.
 since
 May<br />

1990;
 and
 is
 a
 Member
 of
 the
 Board
 of
 Rambus
 Inc.<br />

From
 1979
 to
 1990,
 Geoff
 was
 at
 <strong>Adv</strong>anced
 Micro<br />

Devices
 in
 various
 positions:
 his
 last
 position
 was<br />

Senior
 Vice
 President
 of
 the
 Microprocessor
 &
 Logic<br />

Group.

 Geoff
 received
 a
 Bachelors
 in
 Computer<br />

Science
 from
 University
 of
 Alberta
 in
 1974;
 and
 an<br />

MBA
 from
 Harvard
 University
 in
 1976.<br />

t
e
c
h
n
i
c
a
l<br />

p
r
o
g
r
a
m<br />

37


t
e
c
h
n
i
c
a
l<br />

p
r
o
g
r
a
m<br />

2
:
0
0<br />

t
o<br />

4
:
0
0<br />

All
 speakers
 are<br />

denoted
 in
 Bold<br />

38<br />

S
 -
 denotes<br />

short
 paper<br />

Wednesday, June 6<br />

Session 21<br />

ROOM:
 404AB<br />

NEW
 TECHNIQUES
 IN
 POWER<br />

ESTIMATION
 AND<br />

PERFORMANCE
IMPROVEMENT<br />

CHAIR: Vivek
 Tiwari -
 Intel
 Corp.,
 Santa
 Clara,
 CA<br />

ORGANIZERS: Luciano
 Lavagno,
 Timothy
 Kam<br />

The first three papers present new techniques in<br />

power estimation. The fourth paper proposes<br />

pipelined FSMs. The last paper discusses analysis<br />

and optimization techniques to improve<br />

performance of system design.<br />

21.1 The
 Design
 and
 Use
 of
 Simplepower:
 A<br />

Cycle-Accurate
 Energy
 Estimation
 Tool<br />

Wu Ye, Vijaykrishnan Narayanan, Mahmut Kandemir, Mary<br />

Jane Irwin - Penn State Univ., University Park, PA<br />

21.2 An
 Instruction-Level
 Functionality-<br />

Based
 Energy
 Estimation
 Model
 for
 32-bits<br />

Microprocessors<br />

Carlo Brandolese, William Fornaciari, Fabio Salice, Donatella<br />

Sciuto - Politecnico di Milano, Milano, Italy<br />

21.3S Dynamic
 Power
 Management
 of<br />

Complex
 Systems
 Using
 Generalized<br />

Stochastic
 Petri
 Nets<br />

Qinru Qiu, Qing Wu, Massoud Pedram - Univ. of Southern<br />

California, Los Angeles, CA<br />

21.4S Wave-Steering
O ne-Hot
 Encoded
F SMs<br />

Luca Macchiarulo, Malgorzata Marek-Sadowska - Univ. of<br />

California, Santa Barbara, CA<br />

21.5 Performance
 Analysis
 and<br />

Optimization
 of
 Latency
 Insensitive<br />

Systems<br />

Luca P. Carloni, Alberto L. Sangiovanni-Vincentelli - Univ. of<br />

California, Berkeley, CA<br />

Session 22<br />

ROOM:
 408A<br />

COMBINED
 GLOBAL
 ROUTING,<br />

BUFFERING
 AND
 WIRESIZING<br />

CHAIR: Patrick
 Groeneveld -
 Magma
 Design<br />

Automation,
 Inc.,
 Cupertino,
 CA<br />

ORGANIZERS: Lou
 Scheffer,
 Patrick
 Groeneveld<br />

This session deals with routing long wires during<br />

top-level routing on the chip. To optimize delay,<br />

buffers must be inserted. A problem is that these<br />

buffers cannot be placed as arbitrary locations, but<br />

only in specific areas. The papers provide various<br />

solutions to this problem. The last paper deals with<br />

combined routing and wiresizing.<br />

22.1 A
 Fast
 Algorithm
 for
 Context-Aware<br />

Buffer
 Insertion<br />

John Lillis, Ashok Jagannathan, Sung-Woo Hur - Univ. of<br />

Illinois, Chicago, IL<br />

22.2 Maze
 Routing
 with
 Buffer
 Insertion<br />

and
 Wiresizing<br />

Minghorng Lai, D.F. Wong - Univ. of Texas, Austin, TX<br />

22.3 Routing
 Tree
 Construction
 with
 Fixed<br />

Buffer
 Insertion<br />

Jason Cong, Xin Yuan - Univ. of California, Los Angeles, CA<br />

22.4 A
 Current
 Driven
 Routing
 and<br />

Verification
 Methodology
 for
 Analog<br />

Applications<br />

Thorsten Adler - Infineon Technologies AG, Munich, Germany<br />

Lars Hedrich, Hiltrud Brocke, Erich Barke - Univ. of Hanover,<br />

Hanover, Germany<br />

All
 Design
 Methods
S essions
 are
s haded
 blue,
 Embedded
S ystems
 Sessions
 are
 shaded
g rey.


Session 23<br />

Room:
 ROOM:
 406AB<br />

ADVANCES
 IN
S YSTEM<br />

MODELING
A ND
 SYNTHESIS<br />

CHAIR: Rajesh
 K.
 Gupta -
 Univ.
 of<br />

California,
 Irvine,
 CA<br />

ORGANIZERS: Randolph
 Harr,
<br />

Donatella
 Sciuto<br />

The session presents different approaches to<br />

system level modeling, synthesis, and optimization.<br />

The first deals with a new base model of<br />

representation for system designs, while the<br />

second considers system-level modeling from the<br />

embedded OS perspective. The next two papers<br />

introduce different aspects of the Espirit/OMI Cosy<br />

Project. The fifth paper shows a task scheduling<br />

algorithm based on Peri Nets, while the last one<br />

considers partitioned cache to improve the<br />

performance of embedded applications.<br />

23.1 A
C odesign
 Virtual
M achine
f or<br />

Hierarchical,
B alanced
 Hardware/<br />

Software
S ystem
M odeling<br />

Joann Paul, Simon Peffers, Donald E. Thomas -<br />

Carnegie Mellon Univ., Pittsburgh, PA<br />

23.2 An
 Operating
 System<br />

Approach
 to
 System
 Level
 Design<br />

Dirk Desmet, Diederik Verkest, Hugo De Man -<br />

IMEC, Leuven, Belgium<br />

23.3S YAPI:
 Application
 Modeling<br />

for
 Signal
 Processing
 Systems<br />

E rwin de Kock, Gerben Essink, Wim Smits, Pieter van<br />

der Wolf, Jean-Yves Brunel, Wido Kru i j t z e r, Paul Lieverse -<br />

Philips Research Labs., Eindhoven, The Netherlands<br />

Kees A. Vi s s e r s - Univ. of California, Berkeley, CA<br />

23.4S Cosy
 Communication
 IP’s<br />

J e a n - Yves Bru n e l, Wido Kru i j t z e r, Arjan Kenter -<br />

Philips Research Labs., Eindhoven, The Netherlands<br />

Frederic Petrot - Univ. Pierre et Marie Curie<br />

L a u rent Pasquier - Philips Research Labs., Paris, France<br />

Erwin de Kock, Wim Swits - Philips Research<br />

Labs., Eindhoven, The Netherlands<br />

23.5S Synthesis
 and
 Optimization<br />

of
C oordination
 Controllers
 for<br />

Distributed
 Embedded
S ystems<br />

Gaetano Borriello - Univ. of Washington, Seattle, WA<br />

23.6S
 Application-Specific
M emory<br />

Management
f or
E mbedded
S ystems<br />

Using
S oftware-Controlled
C aches<br />

Derek Chiou, Prabhat Jain, Srinivas Devadas,<br />

L a rry Rudolph - Massachusetts Institute of Te c h n o l o g y,<br />

Cambridge, MA<br />

Session 24<br />

Wednesday, June 7<br />

ROOM:
 408B<br />

DESIGNING
 SYSTEMS<br />

ON
 A
 CHIP<br />

CHAIR: Randolph
 E.
 Harr -
 Synopsys,<br />

Inc.,
 Mountain
 View,
 CA<br />

ORGANIZERS: Kurt
 Keutzer,
 Asawaree<br />

Kalavade<br />

This session begins with a tutorial<br />

overview of tools and methodologies being<br />

put in place at IBM to support a corebased<br />

approach to the design of systemson-a-chip.<br />

Topics covered will include<br />

interconnect and communication, core<br />

implementation, interface synthesis, and<br />

verification. The remaining two papers will<br />

go into detail on methodologies for<br />

design verification and manufacture<br />

test respectively.<br />

24.1 EMBEDDED
 TUTORIAL:<br />

Designing
Systems-on-a-chip<br />

Using
 Cores<br />

Reinaldo Bergamaschi - IBM Corp., Yorktown<br />

Heights, NY<br />

William Lee - IBM Microelectronics, Research<br />

Triangle Park, NC<br />

24.2 Verification
 of
 Configurable<br />

Processor
 Cores<br />

Marines Puig-Medina, Gulbin Ezer, Pavlos<br />

Konas - Tensilica, Santa Clara, CA<br />

24.3 Design
 of
 System-on-a-Chip<br />

Test
 Access
 Architectures
 under<br />

Place-and-Route
 and
 Power<br />

Constraints<br />

Krishnendu Chakrabarty - Duke Univ.,<br />

Durham, NC<br />

Session 25<br />

ROOM:
 403<br />

PANEL:
 THE
 FUTURE
 OF<br />

SYSTEM
 DESIGN<br />

LANGUAGES
<br />

CHAIR: Richard
 Goering
 -
 EE
 Times,<br />

Felton,
 CA<br />

ORGANIZERS: Nanette
 Collins,
 Clifford
 E.<br />

Cummings,
 Richard
 Goering<br />

Verilog HDL was a breakthrough for the<br />

hardware design community in 1986. Over<br />

the years, the methodology based on the<br />

Verilog HDL has been extended with<br />

utilities and enhancements. With 0.25and<br />

0.18- processes enabling a system to<br />

be packed onto a single integrated circuit<br />

(IC), design problems have surfaced that no<br />

one could have predicted 13 years ago. As a<br />

result, several new design language<br />

proposals have been introduced since the<br />

last Design Automation Conference (<strong>DAC</strong>),<br />

all claiming to aid system-on-chip (SOC)<br />

design. Several claim to improve the<br />

d e s i g n e r’s ability to efficiently create,<br />

implement, and verify SOC designs from<br />

architectural specification through functional<br />

implementation. The panel, comprised of<br />

experienced designers and representatives<br />

of organizations submitting design language<br />

proposals, will debate the various proposals<br />

and will try to identify what future trend will<br />

accelerate system design.<br />

PANELISTS:<br />

Clifford
 E.
 Cummings -
 Sunburst<br />

Design,
 Beaverton,
 OR<br />

Simon
 Davidmann -
 Co-Design<br />

Automation,
 San
 Jose,
 CA<br />

Joachim
 Kunkel -
 Synopsys,
 Inc.,<br />

Mountain
 View,
 C
A<br />

Oz
 Levia
 -
 Improv,
 Santa
 Clara,
 CA<br />

John
 Sanguinetti -
 CynApps,
 Santa<br />

Clara,
 CA<br />

Steven
 E.
 Schulz -
 Texas
 Instruments,<br />

Dallas,
 TX<br />

t
e
c
h
n
i
c
a
l<br />

p
r
o
g
r
a
m<br />

39


t
e
c
h
n
i
c
a
l<br />

p
r
o
g
r
a
m<br />

4
:
3
0<br />

t
o<br />

6
:
0
0<br />

All
 speakers
 are<br />

denoted
 in
 Bold<br />

40<br />

S
 -
 denotes<br />

short
 paper<br />

Wednesday, June 6<br />

Session 26<br />

ROOM:
 404AB<br />

MIXED
 SIGNAL
 DESIGN
 AND<br />

ANALYSIS<br />

CHAIR: Mojy
 C.
 Chian -
 Conexant
 Systems
 Inc.,<br />

Newport
 Beach,
 CA<br />

ORGANIZERS: Ingrid
 Verbauwhede,
 David
 Blaauw<br />

With the explosion of the telecommunication market,<br />

there is an over increasing trend to integrate more<br />

functionality on one single chip. The papers in this<br />

session demonstrate enabling technologies in<br />

support of this trend. The first paper presents an<br />

efficient simulation techniques for digital telecom<br />

transceivers. The second paper demonstrates a<br />

cell-based techniques for substrate and power<br />

supply noise analysis. The third paper reports on the<br />

design methodology and trade-offs for the<br />

implementation of a 14-bit D/A Converter.<br />

26.1 A
 Methodology
 for
 Efficient
 High-Level<br />

Dataflow
 Simulation
 of
 Mixed-Signal
 Front-<br />

Ends
 of
 Digital
 Telecom
 Transceivers<br />

Gerd Vandersteen, Piet Wambacq - IMEC, Leuven, Belgium<br />

Yves Rolain - VUB, Brussels, Belgium<br />

Petr Dobrovolny, Stephane Donnay, Marc Engels, Ivo Bolsens -<br />

IMEC, Leuven, Belgium<br />

26.2 High-Level
 Simulation
 of
 Substrate<br />

Noise
 Generation
 Including
 Power
 Supply<br />

Noise
 Coupling<br />

Marc van Heijningen, Mustafa Badaroglu, Stephane Donnay,<br />

Marc Engels, Ivo Bolsens - IMEC, Leuven, Belgium<br />

26.3 DESIGN CONTEST: Systematic
 Design
<br />

of
 a
 14-bit
 150-MS/S
 CMOS
 Current-Steering<br />

D/A
 Converter<br />

Geert Van der Plas, Jan Vandenbussche, Walter Daems, Anne<br />

Van Den Bosch, Georges Gielen, Michiel Steyaert, Willy Sansen -<br />

Katholieke Univ., Leuven, Belgium<br />

Session 27<br />

ROOM:
 408A<br />

FLOORPLANNING
 &
 PLACEMENT<br />

CHAIR: Louis
 Scheffer -
 Cadence
 Design
 Systems,<br />

Inc.,
 San
 Jose,
 CA<br />

ORGANIZERS: Patrick
 Groeneveld,
 Lou
 Scheffer<br />

Two critical tasks in chip design are the construction<br />

of an appropriate floorplan and the detailed<br />

placement of each component. This session starts<br />

with a new data structure for floorplanning, followed<br />

by a new technique for enforcing symmetry<br />

constraints and a new aspect ratio optimization. The<br />

last two papers implement detailed placement by<br />

partitioning, while trying to control both timing and<br />

routing congestion.<br />

27.1 B*-Trees:
 A
 New
 Representation
 for<br />

Non-Slicing
 Floorplans
 with
 Hard/Pre-<br />

Placed/Soft
 Modules<br />

Yun-Chih Chang, Su-Wei Wu - National Chiao Tung Univ.,<br />

Hsinchu, Taiwan, ROC<br />

Ya o - Wen Chang - National Chiao Tung Univ., Hsinchu, Taiwan ROC<br />

27.2S Block
 Placement
 with
 Symmetry<br />

Constraints
 Based
 on
 the
 O-Tree
 Non-Slicing<br />

Representation<br />

Yingxin Pang - Univ. of California at San Diego, La Jolla, CA<br />

Florin Balasa, Koen Lampaert - Conexant Systems, Inc.,<br />

Newport Beach, CA<br />

Chung-Kuan Cheng - Univ. of California at San Diego, La Jolla, CA<br />

27.3S Floorplan
 Sizing
 by
 Linear<br />

Programming
Approximation<br />

Pinhong Chen, Ernest S. Kuh - Univ. of California, Berkeley, CA<br />

27.4S Timing-Driven
 Placement
 Based
 on<br />

Partitioning
 with
 Dynamic
 Cut-Net
 Control<br />

Shihg-Liang Ou, Massoud Pedram - Univ. of Southern<br />

California, Los Angeles, CA<br />

27.5S Can
 Recursive
 Bisection
 Alone<br />

Produce
 Routable
 Placements?<br />

Andrew E. Caldwell, Andrew B. Kahng, Igor L. Markov - Univ.<br />

of California, Los Angeles, CA<br />

All
 Design
 Methods
S essions
 are
s haded
 blue,
 Embedded
S ystems
 Sessions
 are
 shaded
g rey.


Session 28<br />

ROOM:
 406AB<br />

SYSTEM
 LEVEL<br />

SCHEDULING<br />

CHAIR: Erwin
de 
Koc k -
Phi lips
Res earch<br />

Labs.,
 Eindhoven,
 The
 Netherlands<br />

ORGANIZERS: Kees
V issers,
 John
G lossner<br />

An important part of system software is<br />

the task-scheduling approach. In this<br />

session the subject of finding priorities<br />

under real-time constraints is addressed,<br />

in the context of a real-time operating<br />

system. Furthermore a novel technique<br />

for addressing the scheduling problems<br />

at this level will be presented. Also an<br />

approach is presented that indicates<br />

where reductions should be made in<br />

execution times of tasks.<br />

28.1 Task
 Scheduling
 with
 RT<br />

Constraints<br />

Marco di Natale - Universita’ Degli Studi di<br />

Pisa, Pisa, Italy<br />

Alberto L. Sangiovanni-Vincentelli - Univ. of<br />

California, Berkeley, CA<br />

Felice Balarin - Cadence Berkeley Labs., Berkeley, CA<br />

28.2 Task
 Generation
 and<br />

Compile-Time
 Scheduling
 for
 Mixed<br />

Data-Control
 Embedded
 Software<br />

Jordi Cortadella - Univ. Politecnica de Catalunya,<br />

Barcelona, Spain<br />

Alex Kondratyev - Univ. of Aizu, Fukushima, Japan<br />

Luciano Lavagno - Univ. di Udine, Udine, Italy<br />

M a rc Massot - Univ. de Girona, Girona, Spain<br />

Sandra Moral - Univ. Politecnica de Cataluny,<br />

Barcelona, Spain<br />

Claudio Passerone - Politecnico di Torino,<br />

Torino, Italy<br />

Yosinori Watanabe - Cadence European Labs.,<br />

Roma, Italy<br />

Alberto L. Sangiovanni-Vincentelli - Univ. of<br />

California, Berkeley, CA<br />

28.3 Schedulability-Driven<br />

Performance
 Analysis
 of
 Multiple<br />

Mode
E mbedded
R eal-Time
S ystems<br />

Youngsoo Shin, Daehong Kim - Seoul National<br />

Univ., Seoul, Korea<br />

Session 29<br />

Wednesday, June 6<br />

ROOM:
 408B<br />

ARCHITECTURES
 FOR<br />

EMBEDDED
 SYSTEMS<br />

CHAIR: Kees
 A.
 Vissers -
 Univ.
 of<br />

California,
 Berkeley,
 CA<br />

ORGANIZERS: Donatella
 Scuito,
<br />

Rajesh
 Gupta<br />

Selection of an appropriate architecture<br />

is an important design decision for<br />

embedded systems. Papers in this<br />

session address architectural choices<br />

and organization of embedded systems<br />

using reconfigurable hardware. Last<br />

paper proposes a new technique for<br />

design of communication functions for<br />

embedded systems on a chip.<br />

29.1 System
 Design
 of
 Active<br />

Basestations
 Based
 on<br />

Dynamically
Reconfigurable<br />

Hardware<br />

Athanassios Boulis, Mani B. Srivastava - Univ.<br />

of California, Los Angeles, CA<br />

29.2 Hardware-Software
Co-<br />

Design
 of
 Embedded<br />

Reconfigurable
Architectures<br />

Yanbing Li - Synopsys, Inc., Mountain View, CA<br />

Tim Callahan - Univ. of California, Berkeley, CA<br />

Ervan Darnell - Silicon Spice, Mountain Vi e w, CA<br />

Randolph E. Harr, Uday Kurkure, Jon Stockwood -<br />

Synopsys, Inc., Mountain View, CA<br />

29.3 Communication
Architecture<br />

Tuners:
 A
 Methodology
 for
 the<br />

Design
 of
 High
 Performance<br />

Communication
 Architectures
 for<br />

System-on-Chips<br />

Kanishka Lahiri - Univ. of California at San<br />

Diego, La Jolla, CA<br />

Anand Raghunathan, Lakshminarayana Ganesh -<br />

NEC USA, C&C Research Labs, Princeton, NJ<br />

Sujit Dey - Univ. of California at San Diego, La<br />

Jolla, CA<br />

Session 30<br />

ROOM:
 403<br />

PANEL:
 EMBEDDED<br />

SYSTEMS
 EDUCATION<br />

CHAIR: Alberto
 L.
 Sangiovanni-<br />

Vincentelli -
 Univ.
 of<br />

California,
 Berkeley,
 CA<br />

ORGANIZERS: Sharad
M alik,
J an
R abaey,<br />

Asawaree
 Kalavade<br />

The design and design automation of<br />

embedded systems is rapidly emerging as a<br />

research area in its own right. It draws from<br />

several traditional areas of study such<br />

as system specification, modeling and<br />

analysis; computer architecture and<br />

microarchitecture, as well as compilers and<br />

operating systems. However, the embedded<br />

domain adds some interesting twists in terms<br />

of tighter problem constraints that demand a<br />

fresh look at even these traditional areas. In<br />

addition, there are several emerging EDA<br />

areas such as design reuse and integration of<br />

systems on a chip that are critical to the study<br />

of embedded systems. All these aspects are<br />

not typically covered by computer engineering<br />

and EDA curricula. This panel addresses the<br />

challenges associated with the educational<br />

issues in embedded systems design and<br />

design automation. The panelists will examine<br />

issues in including embedded systems in<br />

university curricula, as well as in setting up<br />

research programs that are crucial for the<br />

education of graduate students.<br />

The panel of distinguished members have all<br />

grappled with these issues and will share their<br />

experiences in setting up various programs,<br />

as well as point out changes we should be<br />

looking at for the future.<br />

PANELISTS:<br />

Edward
 Lee
 -
 Univ.
 of
 California,<br />

Berkeley,
 CA<br />

Phil
 Koopman
 -
 Carnegie
 Mellon
 Univ.,<br />

Pittsburgh,
 PA<br />

Alberto
 L.
 Sangiovanni-Vincentelli -<br />

Univ.
 of
 California,
 Berkeley,
 CA<br />

t
e
c
h
n
i
c
a
l<br />

p
r
o
g
r
a
m<br />

41


t
e
c
h
n
i
c
a
l<br />

p
r
o
g
r
a
m<br />

8
:
3
0<br />

t
o<br />

1
0
:
0
0<br />

All
 speakers
 are<br />

denoted
 in
 Bold<br />

42<br />

S
 -
 denotes<br />

short
 paper<br />

Thursday, June 8<br />

Session 31<br />

ROOM:
 404AB<br />

INTERCONNECT
ANALYSIS<br />

CHAIR: David
 D.
 Ling -
 IBM
 Corp.,
 Yorktown<br />

Heights,
 NY<br />

ORGANIZERS: Joel
 Phillips,
 Alan
 Mantooth<br />

This session features efficient methods for modeling<br />

interconnnect. The first two papers discuss new<br />

model reduction approaches, the third, closed-form<br />

models for coupling noise, and the fourth, compact<br />

discretizations for capacitance extraction.<br />

31.1 Passive
 Model
 Order
 Reduction<br />

Algorithm
 Based
 on
 Chebyshev
 Expansion
 of<br />

Impulse
 Response
 of
 Interconnect<br />

Networks<br />

Janet Meiling Wang - Univ. of California, Berkeley, CA<br />

31.2 Passive
 Model
 Order
 Reduction
 of<br />

Multiport
 Distributed
 Interconnects<br />

Emad Gad, Anestis Dounavis, Michel Nakhla, Ramachandra<br />

Achar - Carleton Univ., Ottawa, ON, Canada<br />

31.3S Predicting
 Coupled
 Noise
 in
 RC<br />

Circuits
 by
 Matching
 1,
 2,
 and
 3
 Moments<br />

Bernard N. Sheehan - Mentor Graphics Corp., St. Paul, MN<br />

31.4S Singularity-Treated
Quadrature-<br />

Evaluated
 Method
 of
 Moments
 Solver
 for
<br />

3-D
 Capacitance
 Extraction<br />

Jinsong Zhao - Cadence Design Systems, Inc., San Jose, CA<br />

Session 32<br />

ROOM:
 408A<br />

HIGH
 LEVEL
 SYNTHESIS
 FOR<br />

DSPs: DATA
 INTENSIVE<br />

APPLICATIONS<br />

CHAIR: Nikil
D .
 Dutt -
U niv.
o f
Ca lifornia,
I rvine,
C A<br />

ORGANIZERS: Rajesh
 K.
 Gupta,
 Kazutoshi<br />

Wakabayashi<br />

Papers in this session address synthesis and<br />

optimization techniques for DSP and memory<br />

intensive applications. The first and the last papers<br />

address memory organization to minimize latency<br />

and bandwidth effects. The second paper proposes<br />

an improvement over latency bounding techniques<br />

for DFGs. The third paper in this session looks at<br />

interface generation from data flow graphs.<br />

32.1 Optimal
 Two
 Level
 Partitioning
 and<br />

Loop
 Scheduling
 for
 Hiding
 Memory
 Latency<br />

for
 DSP
 Applications<br />

Zhong Wang, Michael Kirkpatrick, Edwin Hsing-Mean Sha -<br />

Univ. of Notre Dame, Notre Dame, IN<br />

32.2 On
 Lower
 Bounds
 for
 Scheduling<br />

Problems
 in
 High-Level
 Synthesis<br />

M. Narasimhan, J. Ramanujam - Louisiana State Univ.,<br />

Baton Rouge, LA<br />

32.3S Efficient
 Building
 Block
 Based
 RTL-<br />

HDL
 Code
 Generation
 from
 Synchronous<br />

Data-Flow
 Graphs<br />

Jens Horstmannshoff, Heinrich Meyr- Integrated Signal<br />

Processing System, RWTH Aachen, Germany<br />

32.4S High-Level
 Memory
 Mapping<br />

Exploration
 for
 Dynamically
 Allocated
 Data<br />

Structures<br />

Peeter Ellervee - KTH, Kista, Sweden<br />

Miguel Miranda, Francky Catthoor - IMEC, Leuven, Belgium<br />

Ahmed Hemani - KTH, Kista, Sweden<br />

*
 Please
 be
 advised
t hat
 the
 two
Ke ynotes
 and
 some
 of
t he
T echnical
S essions
 will
b e
 
 
 
<br />

video
t aped
 during
 the
c onference.<br />

All
 Design
 Methods
S essions
 are
s haded
 blue,
 Embedded
S ystems
 Sessions
 are
 shaded
g rey.


Session 33<br />

ROOM:
 406AB<br />

EMBEDDED
 TUTORIAL:<br />

MOSFET
 MODELING
 AND<br />

CIRCUIT
 DESIGN:

<br />

RE-ESTABLISHING
 A<br />

LOST
 CONNECTION<br />

CHAIR:
 Brian
 Mulvaney -
 Motorola,<br />

Inc.,
 Austin,
 TX<br />

ORGANIZER: Daniel
 Foty
<br />

Amid the blizzard of design-automation<br />

technologies, the analytical MOSFET m o d e l s<br />

(and their associated model parameter sets)<br />

receive scant attention from the design<br />

c o m m u n i t y. However, these models and<br />

parameter sets are fundamental to the design<br />

process, since they represent the critical<br />

"communication link" between a design group<br />

and its wafer foundry.<br />

The first part of this tutorial will examine the<br />

present "infrastructure" of MOS modeling for<br />

circuit simulation, with particular emphasis on<br />

how history has played a role at least as large<br />

as that of engineering. The viewpoint will be<br />

that of a circuit design "consumer" of MOS<br />

models who must make the best possible use<br />

of a badly flawed infrastructure. In recent<br />

years, the entire structure of MOS models has<br />

been evolving into continually more<br />

complicated and empirical forms, opening up a<br />

"reality gap" between a model's mathematical<br />

structure and circuit design usage.<br />

The second part of this tutorial will<br />

demonstrate the connection between<br />

M O S F E T modeling and a modern approach to<br />

designing analog and digital integrated circuits.<br />

A methodology is presented is which permits<br />

M O S F E T sizing for optimal bandwidth, optimal<br />

dc matching, balanced compromises in<br />

bandwidth and dc matching, and other<br />

combinations of circuit performance. T h e<br />

methodology permits operation anywhere in<br />

the continuum of MOSFET operation through<br />

weak, moderate, and strong inversion. T h e<br />

methodology is particularly compatible with the<br />

EKV MOSFET model, as will be shown.<br />

PRESENTERS:<br />

David
B inkley
 -
 Concorde
 Microsystems,<br />

Knoxville,
 TN<br />

Daniel
 Foty
 -
 Gilgamesh
 Associates,<br />

Fletcher,
 VT<br />

Session 34<br />

ROOM:
 408B<br />

RECONFIGURABLE<br />

COMPUTING
 SYSTEMS<br />

Thursday, June 8<br />

CHAIR: Telle
 Whitney - Malleable<br />

Technologies,
 San
 Jose,
 CA<br />

ORGANIZERS: Telle
 Whitney,
 Ingrid<br />

Verbauwhede<br />

Reconfigurable computing systems<br />

provide a platform for a vast array of<br />

attractive applications. The session<br />

opens with a novel FPGA d e s i g n<br />

environment. The second paper<br />

proposes a metric for FPGA placement<br />

and routing. The session closes with a<br />

case study of a reconfigurable system.<br />

34.1 Using
General-Purpose<br />

Programming
 Languages
 for
 FPGA<br />

Design<br />

Brad Hutchings, Brent Nelson - Brigham Young<br />

Univ., Provo, UT<br />

34.2 An
Architecture-Driven<br />

Metric
 for
 Simultaneous<br />

Placement
 and
 Global
 Routing
 for<br />

FPGAs<br />

Yao-Wen Chang, Yu-Tsang Chang - National<br />

Chiao Tung Univ., Hsinchu, Taiwan ROC<br />

34.3 Morphosys:
 Case
 Study
 of
 a<br />

Reconfigurable
 Computing
 System<br />

Targeting
 Multimedia
 Applications<br />

Hartej Singh, Guangming Lu, Ming-Hau Lee, Fadi<br />

J. Kurdahi, Nader Bagherzadeh - Univ. of<br />

California, Irvine, CA<br />

Eliseu Filho - Federal Univ. of Rio de Janei, Rio de<br />

Janeiro, Brazil<br />

Rafael Maestre - Univ. Complutense, Madrid, Spain<br />

Session 35<br />

ROOM:
 403<br />

PANEL:
 SURVIVAL<br />

STRATEGIES
 FOR<br />

MIXED-SIGNAL<br />

SYSTEMS-ON-CHIP
<br />

CHAIR: Stephen
 Ohr,
 EE
 Times,
<br />

New
 York,
 NY<br />

ORGANIZERS: Rob
 A.
 Rutenbar,
 
<br />

Georges
 Gielen<br />

More and more large ASICs require analog<br />

subsystems to interface to the real<br />

world--to wireless and wired networks,<br />

to sensors and transducers in<br />

embedded applications, to electrically<br />

complex high-speed interconnect. This is a<br />

major problem, since these analog<br />

subsystems break almost every assumption<br />

we know and love about digital systems. Wi t h<br />

respect to today's logic-centric CAD flows,<br />

analog blocks fit poorly and abstract badly.<br />

What is happening to help mixed-signal SoC<br />

designers in this difficult area? And what can<br />

we hope for here? Analog synthesis? Mixedsignal<br />

IP? Practical reuse methodologies? Or<br />

will we continue to design analog the oldfashioned<br />

way – one transistor, one polygon<br />

at a time? Our panelists offer a mix of widely<br />

d i ffering viewpoints on this important question.<br />

PANELISTS:<br />

Henry
 Chang -
 Cadence
 Design<br />

Systems,
 Inc.,
 San
 Jose,
 CA<br />

Georges
 Gielen
 -
 Katholieke
 Univ.<br />

Leuven,
 Leuven
 Belgium<br />

Rudolf
 Koch -
 Infineon
 Technologies<br />

AG,
 Munich,
 Germany<br />

Roy
 McGuffin -
 Antrim
 Design
 Systems,<br />

Scotts
 Valley,
 CA<br />

K.
 C.
 Murphy -
 Pivotal
 Technologies,<br />

Pasadena,
 CA<br />

Rob
A .
Ru tenbar
- 
Ca rnegie
M ellon<br />

Univ.,
P ittsburgh,
 PA<br />

t
e
c
h
n
i
c
a
l<br />

p
r
o
g
r
a
m<br />

43


t
e
c
h
n
i
c
a
l<br />

p
r
o
g
r
a
m<br />

1
0
:
3
0<br />

t
o<br />

1
2
:
0
0<br />

All
 speakers
 are<br />

denoted
 in
 Bold<br />

44<br />

S
 -
 denotes<br />

short
 paper<br />

Thursday, June 6<br />

Session 36<br />

ROOM:
 404AB<br />

INTELLECTUAL
PROPERTY<br />

PROTECTION
 &
 RE-USE<br />

CHAIR: Kenji
 Yoshida -
 Toshiba
 Corp.,
 Kawasaki,<br />

Japan<br />

ORGANIZERS: Anantha
 Chandrakasan,
 Mojy
 Chian<br />

This session addresses issues in IP protection and<br />

reuse methodologies for the modern SoC<br />

environments. The first two papers discuss forensic<br />

engineering and finger printing techniques based on<br />

graph theory approaches. The final two papers<br />

present HW/SW IP protection and reuse<br />

methodologies for web-based designs.<br />

36.1 Forensic
 Engineering
 Techniques
 for<br />

VLSI
 CAD
 Tools<br />

David T. Liu, Jennifer L. Wong, Darko Kirovski,<br />

Miodrag Potkonjak - Univ. of California, Los Angeles, CA<br />

36.2 Fingerprinting
 IPs
 Using
 Constraint-<br />

Addition:
 Approach
 and
 Graph
 Coloring
<br />

Case
 Study<br />

Gang Qu, Miodrag Potkonjak - Univ. of California, Los Angeles, CA<br />

36.3S Hardware/Software
 IP
 Protection<br />

Marcello Dalpasso - Univ. of Padova, Padova, Italy<br />

Alessandro Bogliolo - Univ. of Ferrara, Ferrara, Italy<br />

Luca Benini - Univ. of Bologna, Bologna, Italy<br />

36.4S A
 Web-CAD
 Methodology
 for
 IP-Core<br />

Analysis
 and
 Simulation<br />

Alessandro Fin, Franco Fummi - Univ. di Verona, Verona, Italy<br />

Session 37<br />

ROOM:
 408A<br />

CORRECTNESS
 ISSUES
 IN<br />

HIGH
 LEVEL
 SYNTHESIS<br />

CHAIR: Kwang-Ting
 (Tim)
 Cheng -
 Univ.
 of<br />

California,
 Santa
 Barbara,
 CA<br />

ORGANIZERS: Kazutoshi
 Wakabayashi,
<br />

Rajesh
 K.
 Gupta<br />

With the diffusion of high-level tools into practical<br />

designs, designers continue to face problems such<br />

as how to ensure that a high-level synthesis tool<br />

generates a correct structure after it applies various<br />

transformations, and to ensure the testability of the<br />

synthesized design. This session explores answers<br />

to these questions.<br />

37.1 Optimizing
 Sequential
 Verification
 by<br />

Retiming
Transformations<br />

Gianpiero Cabodi, Stefano Quer - Politecnico di Torino,<br />

Torino, Italy<br />

Fabio Somenzi - Univ. of Colorado, Boulder, CO<br />

37.2 Efficient
 Methods
 for
 Embedded<br />

System
 Design
 Space
 Exploration<br />

Harry Hsieh - Univ. of California, Berkeley, CA<br />

Felice Balarin - Cadence Berkeley Labs., Berkeley, CA<br />

Luciano Lavagno - Cadence Design Systems, Inc., Berkeley, CA<br />

A l b e rto L. Sangiovanni-Vincentelli - Univ. of California, Berkeley, CA<br />

37.3 Synthesis-for-Testability
of<br />

Controller-Datapath
 Pairs
 that
 Use
<br />

Gated
 Clocks<br />

Mehrdad Nourani - Univ. of Texas, Richardson, TX<br />

Joan E. Carletta - Univ. of Akron, Akron, OH<br />

Christos A. Papachristou - Case Western Reserve Univ.,<br />

Cleveland, OH<br />

All
 Design
 Methods
S essions
 are
s haded
 blue,
 Embedded
S ystems
 Sessions
 are
 shaded
g rey.


Session 38<br />

ROOM:
 406AB<br />

SOC
 TEST<br />

METHODOLOGIES
 AND<br />

DEFECT
 MODELLING<br />

CHAIR: Anand
 Raghunathan -
 NEC
 USA,<br />

C&C
 Research
 Labs.,
 Princeton,
 NJ<br />

ORGANIZERS: Anand
 Ragunathan,
<br />

Kenji
 Yoshida<br />

The first two papers present HW and<br />

SW based self test techniques.<br />

targetting respectively crosstalk and<br />

stuck-at faults in System-on-Chip<br />

design. The last paper explains the<br />

application of fuzzy logic to model<br />

defects using a resistive fault model.<br />

38.1 Self-Test
 Methodology
 for<br />

At-Speed
 Test
 of
 Crosstalk
 in
 Chip<br />

Interconnects<br />

Xiaoliang Bai, Sujit Dey - Univ. of California at<br />

San Diego, La Jolla, CA<br />

Janusz Rajski - Mentor Graphics Corp.,<br />

Wilsonville, OR<br />

38.2 Embedded
 Hardware
 and<br />

Software
Self-Testing<br />

Methodologies
 for
 Processor
 Cores<br />

Li Chen, Sujit Dey, Pablo Sanchez, Ying Chen -<br />

Univ. of California at San Diego, La Jolla, CA<br />

38.3 Modeling
 and
 Simulation
 of<br />

Real
 Defects
 Using
 Fuzzy
 Logic<br />

Mehrdad Nourani, Amir Attarha - Univ. of Texas,<br />

Richardson, TX<br />

Caro Lucas - The Univ. of Tehran, Tehran, Iran<br />

Session 39<br />

Thursday, June 6<br />

ROOM:
 408B<br />

EMBEDDED
 TUTORIAL:<br />

BRIDGING
 THE
 GAP<br />

BETWEEN
 FULL
 CUSTOM<br />

AND
 ASIC
 DESIGN<br />

CHAIR: Bryan
 D.
 Ackland -
 Bell
 Labs.
 -<br />

Lucent
 Technologies,
H olmdel,
N J<br />

ORGANIZER: Kurt
 Keutzer<br />

Custom designs manufactured in .25<br />

micron processes have routinely exceeded<br />

speeds of 600 MHz. where ASIC designs<br />

in the same processes may only achieve<br />

150 MHz. The first aim of this embedded<br />

tutorial is to identify precisely what factors<br />

are responsible for the gap between A S I C<br />

and custom performance. Initial studies<br />

indicate that key factors are: preferential<br />

processing, use of dynamic logic families,<br />

better circuit design, elimination of<br />

guardbanding, and generally better<br />

attention to design at the RTL, gate, and<br />

transistor levels. Each of the participants<br />

will evaluate these aspects and quantify<br />

the contribution of each aspect. Keutzer’s<br />

talk will focus on determining the extent to<br />

which new tools and methodologies can<br />

close the performance gap between A S I C<br />

and custom. Dally’s talk will focus on<br />

looking at new custom design techniques,<br />

that promise to continue to keep custom<br />

design performance well ahead of that<br />

attainable by ASIC techniques. Finally the<br />

two presentations will be critiqued and<br />

reviewed by a small panel of designers and<br />

CAD tool developers.<br />

3
9
.
1 Closing
t he
G ap
b etween
 ASIC<br />

and
C ustom:
 An
 ASIC P
e
r
s
p
e
c
t
i
v
e<br />

Kurt Keutzer - Univ. of California, Berkeley, CA<br />

39.2 Closing
t he
G ap
b etween
 ASIC<br />

and
C ustom:
 A
 Custom P
e
r
s
p
e
c
t
i
v
e<br />

William J. Daly - Stanford Univ., Stanford, CA<br />

39.3 Comments
 on
 Closing
 the<br />

Gap
 between
 ASIC
 and
 Custom<br />

Michael Keating - Synopsys, Inc., Mountain<br />

View, CA<br />

Udi Kra - Silicon Value, Jerusalem, Israel<br />

Earl Killian - Tensilica, Santa Clara, CA<br />

Martin Lefebvre - Cadabra Technologies,<br />

Santa Clara, CA<br />

Session 40<br />

ROOM:
 403<br />

PANEL:
 CASE
 STUDIES:<br />

CHIP
 DESIGN
 ON
 THE<br />

BLEEDING
 EDGE<br />

CHAIR: John
 M.
 Cohn
 -
 IBM,
 Essex<br />

Junction,
 VT<br />

ORGANIZER: Rob
A .
 Rutenbar<br />

Often, the most interesting tools,<br />

methodologies, and insights come from<br />

designs that push hard on the "leading edge"<br />

of technology -- what the survivors<br />

commonly call the "bleeding edge" of<br />

design. In this session, we collect three<br />

such on-the-edge designs, each done in<br />

a different style, each aimed at a very diff e r e n t<br />

market, each with its own unique set of<br />

challenges and solutions. The session off e r s<br />

case studies from an enormous FPGA, a<br />

maximally high-end PC graphics engine, and<br />

a huge system-on-a-chip for a cellular<br />

handset. Design leads from each design<br />

team will share some technical strategies,<br />

some success stories, and some horror<br />

stories in a set of introductory talks. A s h o r t<br />

panel session at the end will allow the<br />

audience to ask questions of all the speakers.<br />

PANELISTS:<br />

Luis
 Aldaz
 -
 Philips
 Semiconductors,<br />

San
 Jose,
 CA<br />

Chris
 Malachowsky
 -
 NVIDIA,
 Santa<br />

Clara,
 CA<br />

Steve
 Young -
 Xilinx,
 San
 Jose,
 CA<br />

t
e
c
h
n
i
c
a
l<br />

p
r
o
g
r
a
m<br />

45


t
e
c
h
n
i
c
a
l<br />

p
r
o
g
r
a
m<br />

2
:
0
0<br />

t
o<br />

4
:
0
0<br />

All
 speakers
 are<br />

denoted
 in
 Bold<br />

46<br />

S
 -
 denotes<br />

short
 paper<br />

Thursday, June 8<br />

Session 41<br />

ROOM:
 404AB<br />

LAYOUT
 OPTIMIZATION<br />

CHAIR: Ralph
 H.J.M.
 Otten -
 Delft
 Univ.
 of
 Tech.,<br />

Delft,
 The
 Netherlands<br />

ORGANIZERS: Telle
 Whitney,
 Miodrag
 Potkonjak<br />

Layout needs to be optimized to a wide variety of<br />

objectives these days. This session presents a<br />

sample of three widely different aspects: from device<br />

sizing, module generation to density equalizing.<br />

Especially the last topic, needed to enable effective<br />

chemical-mechanical polishing, is new and<br />

challenging issue in layout design.<br />

41.1 Minflotransit:
 Min-Cost
 Flow
 Based<br />

Transistor
 Sizing
 Tool<br />

Vijay Sundararajan, Sachin S. Sapatnekar, Keshab K. Parhi -<br />

Univ. of Minnesota, Minneapolis, MN<br />

41.2 Convex
 Delay
 Models
 for
 Transistor<br />

Sizing<br />

Mahesh Ketkar, Kishore Kasamsetty, Sachin S. Sapatnekar -<br />

Univ. of Minnesota, Minneapolis, MN<br />

41.3 A
 Macro-Driven
 Circuit
 Design<br />

Methodology
 for
 Custom
 High
 Performance<br />

Datapaths<br />

Mahadevamurty Nemani, Vivek Tiwari - Intel Corp., Santa<br />

Clara, CA<br />

41.4S Model-Based
 Dummy
 Feature<br />

Placement
 for
 Oxide
 Chemical-Mechanical<br />

Polishing
Manufacturability<br />

Ruiqi Tian, D. F. Wong - Univ. of Texas, Austin, TX<br />

Robert Boone - Motorola Inc., Austin, TX<br />

41.5S Practical
 Iterated
 Methods
 for<br />

Layout
 Density
 Control<br />

Yu Chen, A n d rew B. Kahng - Univ. of California, Los Angeles, CA<br />

Alexander Zelikovsky - Georgia State Univ., Atlanta, GA<br />

Session 42<br />

ROOM:
 408A<br />

DECISION
 PROCEDURES
 FOR<br />

CAD
 PROBLEMS<br />

CHAIR: Eugene
 Goldberg -
 Cadence
 Design<br />

Systems,
 Inc.,
 Berkeley,
 CA<br />

ORGANIZERS: Limor
 Fix,
 Kurt
 Keutzer<br />

Boolean functions manipulation and boolean<br />

satisfiability are fundamental underlying technology<br />

in many EDA applications. A tutorial on SAT<br />

algorithms will be presented and new variable order<br />

and partition algorithms for BDDs will be described.<br />

42.1 EMBEDDED
 TUTORIAL: Boolean<br />

Satisfiability
 Models
 and
 Algorithms
 for
 EDA<br />

Joao P. Marques Silva - Technical Univ. of Lisbon,<br />

Lisboa, Portugal<br />

Karem Sakallah - Univ. of Michigan, Ann Arbor, MI<br />

42.2 Analysis
 of
 Composition,
 and
 How
 to<br />

Obtain
 Smaller
 Canonical
 Graphs<br />

Jawahar Jain, Dinos Moundanos - Fujitsu Labs. of America,<br />

Sunnyvale, CA<br />

Kartik Mohanram - Univ. of Texas, Austin, TX<br />

Yuan Lu - Carnegie Mellon Univ., Pittsburgh, PA<br />

42.3 Efficient
 Variable
 Ordering
 Using<br />

Abstraction
 Based
 Sampling
 Techniques<br />

Yuan Lu - Carnegie Mellon Univ., Pittsburgh, PA<br />

Jawahar Jain - Fujitsu Labs. of America, Inc., Sunnyvale, CA<br />

All
 Design
 Methods
S essions
 are
s haded
 blue,
 Embedded
S ystems
 Sessions
 are
 shaded
g rey.


Session 43<br />

ROOM:
 406AB<br />

NEW
 FRAMEWORKS<br />

FOR
 THE
 EDA
 FIELD<br />

CHAIR: Olivier
 R.
 Coudert -
 Monterey<br />

Design
S ystems
I nc.,
S unnyvale,
C A<br />

ORGANIZERS: Sharad
 Malik,
<br />

Srinivas
 Devadas<br />

As design technology and the EDA field as a<br />

whole mature, new frameworks for<br />

roadmapping, measuring, and reusing the<br />

leading edge of progress must be put in place.<br />

This session presents four types of such<br />

frameworks. First, a framework for an extensible,<br />

open-source living technology roadmap is<br />

presented. Next is a framework for composing<br />

modular components for system simulation.<br />

Third is a framework for instrumenting and<br />

measuring (then improving) the design process<br />

itself. The session closes with a panel of three<br />

perspectives on how the web will be enabling to<br />

R&D processes throughout EDA.<br />

43.1 GTX:
 The
 Marco
 GSRC<br />

Technology
 Extrapolation
 System<br />

Andrew Caldwell, Andrew B. Kahng, Farinaz<br />

Koushanfar, Hua Lu, Igor L. Markov, Michael R.<br />

Oliver, D. Stroobandt - Univ. of California, Los<br />

Angeles, CA<br />

43.2S A
 System
 Simulation<br />

Framework<br />

Peter van den Hamer, Wim van der Linden,<br />

Peter Bingley, Nico Schellingerhout - P h i l i p s<br />

R e s e a rch Labs., Eindhoven, The Netherlands<br />

43.3S Metrics:
 A
 System<br />

Architecture
 for
 Design
 Process<br />

Optimization<br />

Andrew B. Kahng, Stefanus Mantik - Univ. of<br />

California, Los Angeles, CA<br />

PANEL:
 WEB-BASED<br />

FRAMEWORKS
 TO<br />

ENABLE
 CAD
 R&D<br />

PANEL
 MEMBERs:<br />

Igor L. Markov - Univ. of California, Los Angeles, CA<br />

Arno Wagner - Univ. of Trier, Trier, Germany<br />

Session 44<br />

ROOM:
 408B<br />

HIGH
 PERFORMANCE<br />

MICROPROCESSOR<br />

DESIGN<br />

Thursday, June 8<br />

CHAIR: David
 Blaauw -
 Motorola,
 Inc.,<br />

Austin,
 TX<br />

ORGANIZERS: Anantha
Chandrakasan,<br />

Ralph
 Otten<br />

This session presents design methodologies and<br />

verification techniques for Gigahertz microprocessors.<br />

The methodologies address a range of problems from<br />

low-level timing verification and clock distribution to<br />

Cache coherence protocols and multiprocessor design<br />

verification. The use of “timing closure” by design is high<br />

lighted. The various approaches are verified on large<br />

commercial processors. The session also includes the<br />

design of high performance using GaAs technology.<br />

44.1 Timing
 Closure
 by
 Design,
 a<br />

High
 Frequency
 Microprocessor<br />

Design
 Methodology<br />

Stephen Posluszny, Naoaki Aoki, Dave<br />

Boerstler, Paula Coulman, Sang Dhong, Brian<br />

Flachs, Peter Hofstee, Nobuo Kojima, O h s a n g<br />

Kwon, Kyung Tek Lee - IBM Corp., Austin, TX<br />

Dave Meltzer - IBM C o r p ., Yorktown, NY<br />

Kevin Nowka, Jaehong Park, Jim Peter - IBM<br />

C o r p ., Austin, TX<br />

Joel Silberman - IBM C o r p ., Yorktown, NY<br />

Osamu Takahashi, Paul Villarubia - IBM Corp.,<br />

Austin, TX<br />

44.2 Multiprocessing
Design<br />

Verification
M ethodology
 for
 Motorola<br />

MPC74xx
 PowerPC Microprocessor<br />

Jen-Tien Yen, Qichao Richard Yin - Motorola<br />

Inc., Austin, TX<br />

44.3 A
 Methodology
 for
 Formal<br />

Design
 of
 Hardware
 Control
 with<br />

Application
 to
 Cache
 Coherence<br />

Protocols<br />

Cindy Eisner - IBM Haifa Research Lab., Haifa, Israel<br />

Russ Hoover, Wayne Nation, Kyle L. Nelson - IBM<br />

Corp., Rochester, MN<br />

Irit Shitsevalov - IBM Haifa Research Lab., Haifa, Israel<br />

Ken Valk - IBM Rochester Server Group,<br />

Rochester, MN<br />

44.4 DESIGN CONTEST:
CGAAS<br />

PowerPC
 FXU<br />

Richard B. Brown, Alan Drake - Univ. of<br />

Michigan, Ann Arbor, MI<br />

Session 45<br />

ROOM:
 403<br />

PANEL:
 WHEN
 BAD<br />

THINGS
 HAPPEN
 TO<br />

GOOD
 CHIPS<br />

CHAIR: Nagaraj
 NS -
 Texas<br />

Instruments,
 Dallas,
 TX<br />

ORGANIZERS: Nagaraj
 NS,
S hishpal
 Rawat<br />

45.1 EMBEDDED
 TUTORIAL: Yield<br />

and
 Reliability
 Challenges
 in<br />

Designing
 "Good"
 Chips<br />

Andrzej
 Strojwas -
 Carnegie<br />

Mellon
 Univ.,
 Pittsburgh,
 PA<br />

Design of reliable chips with high yield is an<br />

extremely challenging task in UDSM<br />

technologies. Time to market pressures,<br />

which often limit the necessary verification<br />

before tape-out, typically are manifested as<br />

ramp-to-production problems on "good"<br />

designs either in the manufacturing process or<br />

in the field. Burn-in process, a reactive<br />

measure to ship reliable chips, is not eff e c t i v e<br />

for high volume designs. Another cause for<br />

concern is hidden failures that go undetected<br />

due to incompleteness of test vectors.<br />

This session begins with a tutorial that<br />

examines a number of "bad things that can<br />

happen to good chips" both during<br />

manufacturing and in the field. The concept<br />

of "design marginality" which can significantly<br />

affect manufacturing yield, the proximity to<br />

the "cliffs" in chip operation, and test escapes<br />

that could cause failures in the field are<br />

discussed. Then the panel, from different<br />

perspectives on yield and reliability<br />

challenges, will describe their own real-world<br />

experiences, and discuss how these<br />

challenges could be addressed in the<br />

manufacturing process, design, and EDA.<br />

PANELISTS:<br />

Ray
 Hokinson -
 Compaq
 Computer<br />

Corp.,
 Shrewsbury,
 MA<br />

Sung-Mo
 Kang -
 Univ.
 of
 Illinois,<br />

Urbana,
 IL<br />

Wonjae
 Kang
 -
 Intel
 Corp.,
 Santa<br />

Clara,
 CA<br />

David
 Overhauser -
 Simplex
 Solutions,<br />

Santa
 Clara,
 CA<br />

Suni
 Nassif -
 IBM
 Corp.,
 Austin,
 TX<br />

Tak
 Young -
 Monterey
 Design,<br />

Mountain
 View,
 CA<br />

t
e
c
h
n
i
c
a
l<br />

p
r
o
g
r
a
m<br />

47


t
e
c
h
n
i
c
a
l<br />

p
r
o
g
r
a
m<br />

4
:
3
0<br />

t
o<br />

6
:
0
0<br />

All
 speakers
 are<br />

denoted
 in
 Bold<br />

48<br />

S
 -
 denotes<br />

short
 paper<br />

Thursday, June 8<br />

Session 46<br />

ROOM:
 404AB<br />

LARGE-SCALE
PARASITIC<br />

ANALYSIS<br />

CHAIR:
 Alan
 Mantooth -
 Univ.
 of
 Arkansas,<br />

Fayetteville,
 AR<br />

ORGANIZER: Alan
 Mantooth<br />

Methods and algorithms are presented in this<br />

session that address large-scale parasitic analysis.<br />

The first paper describes a method for improving the<br />

speed of extraction and matrix sparsification for<br />

substrate coupling. The second paper describes a<br />

new method for capacitance calculation that<br />

involves integrated equation formalation but that<br />

uses charge distributions that decouple charge<br />

variation from conductor geometry. The last paper<br />

combines frequency and time domain techniques to<br />

achieve performance improvements in simulation of<br />

thermal networks.<br />

46.1 Fast
 Methods
 for
 Extraction
 and<br />

Sparsification
 of
 Substrate
 Coupling<br />

Joseph D. Kanapka - Massachusetts Institute of Technology,<br />

Cambridge, MA<br />

Joel R. Phillips - Cadence Design Systems, Inc., San Jose, CA<br />

Jacob K. White - Massachusetts Instittute of Te c h n o l o g y, Cambridge, MA<br />

46.2 Large
 Scale
 Capacitance
 Calculation<br />

Sharad Kapur, David E. Long - Bell Labs. - Lucent<br />

Technologies, Murray Hill, NJ<br />

46.3 Fast
 Temperature
 Calculation
 for<br />

Transient
 Electrothermal
 Simulation
 by<br />

Mixed
 Frequency/Time
 Domain
 Thermal
<br />

Model
 Reduction<br />

Ching-Han Tsai, Sung-Mo (Steve) Kang - Univ. of Illinois,<br />

Urbana, IL<br />

Session 47<br />

ROOM:
 408A<br />

ADVANCES
 IN
 HIGH
 LEVEL<br />

SYNTHESIS<br />

CHAIR:
Kazutoshi
 Wakabayashi -
 NEC
 Corp.,<br />

Kawasaki,
 Japan<br />

ORGANIZERS: Rajesh
 K.
 Gupta,
 Kazutoshi<br />

Wakabayashi<br />

This session focuses on architectural synthesis and<br />

optimization issues. The first paper presents a<br />

simultaneous behavioral synthesis and physical<br />

design flow for DFGs. The second paper proposes a<br />

new approach for describing multi-way<br />

synchronization protocols using a graphical<br />

formalism. The third paper discusses optimization of<br />

carry-save-adder representation by retiming.<br />

47.1 Unifying
 Behavioral
 Synthesis
 and<br />

Physical
 Design<br />

Bill Dougherty, Donald E. Thomas - Carnegie Mellon Univ.,<br />

Pittsburgh, PA<br />

47.2 Hardware
 Implementation
 of<br />

Communication
 Protocols
 Modeled
 by<br />

Concurrent
 EFSMs
 with
 Multi-Way<br />

Synchronization<br />

Hisaaki Katagiri - Osaka Univ., Toyonaka, Japan<br />

Keiichi Yasumoto - Shiga University, Hikone, Japan<br />

Akira Kitajima - Osaka Univ., Osaka, Japan<br />

Teruo Higashino, Kenichi Taniguchi - Osaka Univ.,<br />

Toyonaka, Japan<br />

47.3 Joint
 Module
 Selection
 and
 Retiming<br />

with
 Carry-Save
 Representation<br />

Zhan Yu - Univ. of California, Los Angeles, CA<br />

Kei-Yong Khoo - Synopsys, Inc., Mountain View, CA<br />

Alan N. Willson Jr. - Univ. of California, Los Angeles, CA<br />

All
 Design
 Methods
S essions
 are
s haded
 blue,
 Embedded
S ystems
 Sessions
 are
 shaded
g rey.


Session 48<br />

ROOM:
 406AB<br />

FAULT
 SIMULATION<br />

AND
 EXTRACTION
 OF<br />

LOW-LEVEL
 EFFECTS<br />

CHAIR:
 Thomas
 W.
 Williams -
 Synopsys,<br />

Inc,
 Boulder,
 CO<br />

ORGANIZERS: Tim
 Cheng,
<br />

Irith
 Pomeranz<br />

This session features fault simulation<br />

and extraction techniques for digital and<br />

analog circuits taking into account lowlevel<br />

effects. The first paper presents<br />

fault simulation and test development<br />

techniques for analog circuitry. T h e<br />

second paper describes an environment<br />

for mixed switch/RTL fault simulation.<br />

The third paper introduces a<br />

comprehensive fault representation<br />

mechanism and a fault simulation<br />

procedure for it. The fourth paper<br />

presents an efficient extraction method<br />

for bridging faults.<br />

48.1 Closing
 the
 Gap
 Between<br />

Analog
 and
 Digital
 Testing<br />

Khaled Saab, Naim Ben Hamida, Bozena<br />

K a m i n s k a - Fluence Technology Inc., Beaverton, OR<br />

48.2 A
 Switch
 Level
 Fault<br />

Simulation
Environment<br />

Venkatram Krishnaswamy, Jeremy Casas,<br />

Thomas Tetzlaff - Intel Corp., Hillsboro, OR<br />

48.3S Universal
 Fault
 Simulation<br />

Using
 Tuple
 Faults<br />

Kumar Dwarakanath, Shawn Blanton -<br />

Carnegie Mellon Univ., Pittsburgh, PA<br />

48.4S An
 Efficient
 Algorithm
 to<br />

Extract
 Two-Node
 Bridges<br />

Sreejit Chakravarty, Sujit Thomas Zachariah,<br />

Carl D. Roth - Intel Corp., Santa Clara, CA<br />

Session 49<br />

ROOM:
 408B<br />

LOW
 POWER
 DESIGN<br />

TECHNIQUES
 AND<br />

ESTIMATION<br />

Thursday, June 8<br />

CHAIR: Tadahiro
 Kuroda -
 Toshiba<br />

Corp.,
 Kawasaki,
 Japan<br />

ORGANIZERS: David
 Blaauw,
<br />

Vivek
 Tiwari<br />

The first paper describes a control<br />

generated clocking scheme to save<br />

power in datapath registers and clock<br />

drivers of sequential circuits. T h e<br />

second paper discuses bus encoding to<br />

reduce dynamic and static power in I/O<br />

for memory systems.The third paper<br />

proposes a dynamic voltage scaling<br />

scheme and a method to modify existing<br />

application programs for real-time multimedia<br />

applications. The last paper<br />

presents a functional-level power<br />

estimation methodology for predicting<br />

power dissipation of embedded software<br />

at compilation time.<br />

49.1 Power
 Minimization
 Using<br />

Control
 Generated
 Clocks<br />

Srikanth Rao Muro o r, Soumitra Kumar Nandy -<br />

Indian Institute of Science, Bangalore, India<br />

49.2 Bus
 Encoding
 for
 Low-Power<br />

High-Performance
Memory<br />

Systems<br />

Naehyuck Chang, Kwanho Kim, Heonshik Shin,<br />

Jinsung Cho - Seoul National Univ., Seoul, Korea<br />

49.3S Run-Time
 Dynamic
 Voltage<br />

Scaling
 with
 Software
 Feedback<br />

Loop
f or
L ow-Power
V LSI<br />

P
r
o
c
e
s
s
o
r
s<br />

Seongsoo Lee, Takayasu Sakurai - Univ. of<br />

Tokyo, Tokyo, Japan<br />

49.4S Function-Level
Power<br />

Estimation
 Methodology
 for<br />

Microprocessors<br />

Gang Qu - Univ. of California, Los Angeles, CA<br />

Naoyuki Kawabe, Kimiyoshi Usami - Toshiba<br />

Corp., Kawasaki, Japan<br />

Session 50<br />

ROOM:
 403<br />

PANEL:
 EMERGING
 EDA<br />

COMPANIES:<br />

ACQUIRING
 MINDS<br />

WANT
 TO
 KNOW<br />

CHAIR: Dan
 Schweikert -
 Sun<br />

Microsystems,
 Palo
 Alto,
 CA<br />

ORGANIZER: Mike
 Murray<br />

The EDA industry is entering its third decade<br />

with more software suppliers than ever before,<br />

automating smaller and smaller pieces of<br />

the design flow. The question invariably is<br />

whether an emerging EDA company can<br />

remain independent and grow large enough to<br />

rival the big EDA players. In fact, executives<br />

managing newcomer EDA players know what it<br />

takes to be a publicly traded company. T h e y<br />

understand first and foremost about technology<br />

and the ensuing design methodology challenge.<br />

Customer support is crucial to the equation, as is<br />

the imperative to have better software and better<br />

integration of tool suites within a design flow.<br />

Executives managing these emerging and much<br />

talked about companies are colorful and<br />

seasoned EDA veterans. They have a healthy<br />

mix of senior-level experience, business<br />

acumen and vision –– much like the big EDA<br />

players –– and the intimate knowledge of the<br />

work in the trenches which appeals to real<br />

designers. They are pushing the envelope of<br />

company strategy by introducing clever and<br />

innovative ideas related to new business<br />

models, pricing and technologies that are<br />

currently being implemented or are working.<br />

The panel will offer a lively and spirited debate<br />

on the correct strategy for success.<br />

PANELISTS:<br />

Lorne
 Cooper
 -
 Sente,
 Inc.,<br />

Chelmsford,
 MA<br />

Subbu
 Gannesan
 -
 Tharas
 Systems,<br />

Santa
 Clara,
 CA<br />

Moshe
 Gavrielov -
 Verisity,
 Mountain<br />

View,
 CA<br />

Rajeev
 Madhavan
 -
 Magma
 Design<br />

Automation,
 Cupertino,
 CA<br />

Judy
 Owen
 -
 SiliconX,
 San
 Jose,
 CA<br />

Y.C.(Buno)
 Pati
 -
 Numerical<br />

Technologies,
 Inc.,
 San
 Jose,
 CA<br />

t
e
c
h
n
i
c
a
l<br />

p
r
o
g
r
a
m<br />

49


t
u
t
o
r
i
a
l
s<br />

50<br />

Tutorial 1 - Friday, June 9<br />

Tutorials
 will
 be
 held
 at
 the
 Los
 Angeles
 Convention
 Center
 in
 the
 Second
 Level
 meeting<br />

rooms.<br />

8:00
 AM
 -
Tu torial
 Registration
 Opens
 (Second
 Level) 12:00
 Noon
- 
L unch
<br />

8:30
 AM
 -
 Continental
 Breakfast
 5:00
 PM
 -
 Tutorials
 End<br />

9:00
 AM
 -
 Tutorials
 Begin<br />

THE
 QUEST
 FOR
 SYNTHESIS
 AND
 LAYOUT
 TIMING
 CLOSURE<br />

Organizers: Jason
 Cong -
 Univ.
 of
 California,
 Los
 Angeles,
 CA<br />

Patrick
 Groeneveld -
 Magma
 Design
 Automation,
 Inc.,
 Cupertino,
 CA<br />

Presenters:<br />

Jason
 Cong -
 University
 of
 California,
 Los
 Angeles,
 CA<br />

Olivier
 Coudert -
 Monterey
 Design
 Systems,
 Inc.,
 Sunnyvale,
 CA<br />

Anthony
 Drumm -
 IBM
 Corp.,
 Rochester,
 MN<br />

Patrick
 Groeneveld
 -
 Magma
 Design
 Automation,
 Cupertino,
 CA<br />

Audience: Logic
 designers,
 layout
 designers
 and
 CAD
 engineers
 would
 benefit
 from
 understanding<br />

new
 algorithm
 and
 tool
 capabilities
 for
 achieving
 timing
 closure
 between
 synthesis
 and
 layout.<br />

This
 tutorial
 will
 also
 help
 design
 project
 managers
 and
 academic
 researchers
 in
 understanding
 the<br />

state-of-art
t he
s olutions
fo r
ti ming
c losure
a nd
 future
 research
 directions.<br />

D
e
s
c
r
i
p
t
i
o
n:
 Getting
 timing
 closure
 between
 synthesis
 and
 layout
 has
 become
 the
 biggest<br />

challenge
 in
 deep
 submicron
 chip
 design.
 The
 increasing
 significance
 of
 interconnect
 delay
 is<br />

forcing
 a
 complete
 re-assessment
 of
 the
 traditional
 division
 between
 the
 logical
 design
 and<br />

physical
 design
 steps.
 How
 can
 we
 bond
lo gic
 synthesis
 with
 placement
 and
 routing
 such
 that
 the<br />

resulting
 chip
 will
 meet
 the
 original
 circuit
 timing
 specifications?
 This
 tutorial
 will
 provide
 the<br />

latest
 answers
 to
 this
 question.
 An
 in-depth
 technical
 overview
 of
 all
 techniques
 for
 timing
 closure<br />

will
 be
 discussed:
 new
 synthesis
 techniques,
 wire
 buffering
 for
 optimum
 speed,
 timing
 analysis
 and<br />

clocking
 strategies,
 signal
 integrity,
 etc.
 Also,
 the
 technical
 core
 of
 a
 number
 of
 new
 design<br />

systems
 will
 be
 presented,
 each
 operating
 in
 fundamentally
 different
 ways.
 The
 audience
 will
 be<br />

offered
a 
s trong
i nsight
i n
 the
 fundamental
t echnical
p roblems
 and
 their
s olutions.<br />

The
 first
 section
 will
 provide
 an
 in-depth
 review
 of
 the
 existing
 techniques
 that
 have
 been<br />

successfully
 used
 today
 in
 designing
 high-performance
 chips.
 This
 covers
 the
 full
 "bag
 of<br />

tricks"
 for
 timing
 correction,
 including
 buffering
 and
 manipulating
 wire
 load
 models.
 Timing<br />

analysis
 and
 clocking
 methodologies
 will
 also
 be
 reviewed.
 The
 second
 part
 presents
 the
 new<br />

'gain-based
 synthesis'
 method
 that
 is
 based
 on
 the
 theory
 of
 logical
 effort.
 This
 method<br />

essentially
 fixes
 the
 gate
 delays
 before
 physical
 design,
 rather
 than
 the
 gate
 sizes.
 The<br />

presentation
 will
 describe
 how
 delay
 can
 be
 kept
 constant
 during
 placement
 and
 routing
 and,<br />

with
 that,
 how
 the
 timing
 closure
 problem
 can
 be
 solved.
 Various
 practical
 details
 of
 this<br />

method
 that
 emerged
 during
 commercial
 application
 will
 also
 be
 discussed.
 The
 third
 part<br />

introduces
 a
 new
 design
 closure
 method.
 It
 is
 based
 on
 simultaneous
 optimization
 and
 model<br />

refinement.
 The
 placement,
 synthesis,
 timing,
 and
 routing
 engines
 suitable
 for
 this
 'spiraling<br />

convergence'
 technique
 will
 be
 presented,
 together
 with
 the
 framework
 that
 enables
 their<br />

interaction.
 The
 fourth
 section
 presents
 the
 latest
 approaches
 to
 global
 interconnect
 planning<br />

and
 optimization
 at
 the
 chip-level.
 Buffer
 block
 planning
 and
 wire
 width
 planning
 can
 maximize<br />

the
 speed
 of
 the
 long
 wires
 crossing
 the
 chip.
 New
 methods
 to
 automate
 this
 process
 with
 be<br />

presented,
 together
 with
 more
 advanced
 methods
 for
 interconnect-centric
 designs,
 including<br />

floorplanning
 with
 interconnect
 planning,
 combining
 partitioning
 with
 retiming,
 etc.


Tutorial 2 - Friday, June 9<br />

STATIC
 TIMING
 ANALYSIS
 AND
 OPTIMIZATION
 FOR
 HIGH-PERFORMANCE<br />

DIGITAL
 DESIGN
 SUCCESS<br />

Organizers: Tim
 Burks
 -
 Magma
 Design
 Automation,
 Inc.,
 Cupertino,
 CA<br />

David
 Blaauw
 -
 Motorola,
 Inc.,
 Austin,
 TX<br />

Presenters:<br />

Tim
 Burks -
 Magma
 Design
 Automation,
 Inc.,
 Cupertino,
 CA<br />

Jacob
 Avidan -
 AmmoCore
 Technology
 Inc.,
 San
 Jose,
 CA<br />

Chandu
 Visweswariah
 -
 IBM
 Corp.,
 Yorktown
 Heights,
 NY<br />

Peter
 Beerel
 -
 Univ.
 of
 Southern
 California,
 Los
 Angeles,
 CA<br />

Audience:
 This
 tutorial
 is
 intended
 for
 anyone
 with
 an
 interest
 in
 static
 timing
 analysis<br />

techniques
 for
 verification
 and
 optimization,
 including
 system
 and
 circuit
 designers,
 CAD<br />

engineers,
 design
 project
 managers,
 and
 researchers.


<br />

D
e
s
c
r
i
p
t
i
o
n:
 Too
 important
 to
 entrust
 to
 tools,
 but
 too
 difficult
 to
 attempt
 without
 them...<br />

specifying
 and
 achieving
 timing
 goals
 has
 become
 a
 central
 part
 of
 the
 design
 process.

 As<br />

timing-driven
 methodologies
 come
 online,
 it
 is
 critical
 to
 pay
 close
 attention
 to
 timing
 from
 the<br />

beginning
 of
 the
 design
 flow.

 When
 combined
 with
 formal
 verification,
 static
 timing
 analysis<br />

methods
 can
 verify
 the
 correct
 operation
 of
 a
 design
 more
 thoroughly
 than
 billions
 of<br />

simulation
 cycles.

 However,
 many
 pitfalls
 and
 traps
 await
 the
 unwary
 user.

 Successful
 use
 of<br />

static
 timing
 analysis
 requires
 a
 combination
 of
 design
 discipline,
 well-understood
 modeling<br />

assumptions,
 and
 carefully
 chosen
 algorithms.<br />

The
 first
 section
 of
 this
 tutorial
 will
 cover
 the
 basic
 models
 and
 algorithms
 of
 static
 timing<br />

analysis.
 
 Topics
 will
 include
 the
 static
 analysis
 assumption,
 graph
 models
 of
 circuit
 timing,<br />

arrival
 and
 required
 time
 calculation,
 path-tracing
 algorithms,
 multifrequency
 clocks,
 timing<br />

models
 for
 latches
 and
 dynamic
 logic,
 algorithms
 for
 handling
 false
 and
 multicycle
 paths,
 and<br />

algorithms
 for
 fast
 incremental
 timing
 analysis.
 
 Special
 attention
 will
 be
 given
 to
 the
 problem<br />

of
 verifying
th at
 a
 design
 has
 been
 properly
co nstrained.<br />

The
 second
 section
 will
 describe
 delay
 calculation
 methods
 in
 detail.
 Beginning
 with
 gate-level
 delay<br />

models,
 the
 models
 used
 in
 current
 tools
 will
 be
 described,
 including
 table
 lookup
 models,
 operating<br />

condition
 scaling,
 and
 the
 characterization
 process.
 
 The
 Delay
 Calculation
 Language
 (DCL)
 standard<br />

will
 be
 presented
 and
 an
 overview
 of
 effective
 capacitance
 and
 wire
 delay
 calculation
 algorithms
 will<br />

be
g iven.
 
A 
 discussion
o f
 limitations
 of
g ate-level
 models
 will
l ead
t o
a 
p resentation
o f
 transistorlevel
<br />

modeling
 techniques
 including
 partitioning,
 fast
 timing
 simulation,
 generation
 of
 worst-case<br />

delays
a nd
d elay
c alculation
f or
s pecial
c ircuits
i ncluding
d omino
a nd
d ifferential
l ogic.<br />

The
 third
 section
wi ll
 be
de voted
 to
 optimizing
 circuits
ba sed
 on
st atic
 timing
 analysis,
 implying<br />

optimal
 sizing
 of
 gates
 and
 wires.
 Heuristic
 and
 formal
 methods
 will
 be
 compared
 and
 contrasted,<br />

with
a n
e mphasis
on 
 the
la tter.
 
 The
co mponents
of 
a
s tate-of-the-art
s tatic
o ptimization
to ol<br />

include
 fast
 simulation,
 time-domain
 sensitivity
 analysis,
 innovative
 problem
 formulation
 with<br />

the
 help
 of
 a
 static
 timing
 analyzer
 and
 a
 nonlinear
 optimization
 package;
 each
 of
 these<br />

components
 will
be 
 discussed.
 
 Limitations
an d
 methodology
 implications
wi ll
 also
 be
 addressed.<br />

Finally,
 several
 advanced
 timing
 analysis
 topics
 will
 be
 presented.Some
 simple
 techniques
 from<br />

asynchronous
 analysis
 will
 be
 discussed
t hat
 can
 be
u sed
 to
 verify
 system-level
 performance
 and<br />

to
 derive
 the
 necessary
 conditions
 for
 correct
 operation
 of
 dynamic
 circuits.
 The
 effects
 of
 noise<br />

and
 process
 variation
on 
 timing
 analysis
wi ll
 also
 be
 briefly
 discussed.<br />

t
u
t
o
r
i
a
l
s<br />

51


t
u
t
o
r
i
a
l
s<br />

52<br />

Tutorial 3 - Friday, June 9<br />

SYSTEM
 LEVEL
 DESIGN
 WITH
 EMBEDDED
 PLATFORMS<br />

Organizers: Kees
 Vissers
 -
 Philips
 Research
 Labs.,
 Briarcliff
 Manor,
 NY<br />

Bart
 Kienhuis -
 Univ.
 of
 California,
 Berkeley,
 CA<br />

Presenters:<br />

Alberto
 L.
 Sangiovanni
 Vincentelli -
 Univ.
 of
 California,
 Berkeley,
 CA<br />

Bart
 Kienhuis -
 Univ.
 of
 California,
 Berkeley,
 CA<br />

Jan
 Rabaey -
 Univ.
 of
 California,
 Berkeley,
 CA<br />

Kees
 Vissers -
 Philips
 Research
 Labs.,
 Briarcliff
 Manor,
 NY<br />

Diederik
 Verkest -
 IMEC,
 Leuven,
 Belgium<br />

Audience:
 This
 tutorial
 is
 intended
 for
 system
 design
 professionals,
 EDA
 professionals
 and<br />

people
 involved
 in
 embedded
 systems.
<br />

D
e
s
c
r
i
p
t
i
o
n:
 The
 increasing
 complexity
 of
 systems
 on
 silicon
 makes
 them
 more
 costly
 to<br />

manufacture
 and
de sign.
 
H owever,
 for
 these
sy stem
to 
b e
su ccessful
in 
t he
m arket,
th e
d esign<br />

time,
 and
 therefore
 time
 to
 market,
 should
 shrink.
 Over
 the
 years
 we
 have
 seen
 the
 trend
 in<br />

design
 from
 the
 transistor
 level
 to
 the
 gate
 level,
 then
 to
 the
 register
 transfer
 level,
 and<br />

recently
 to
 the
 behavioral
 level
 in
 VHDL
 and
 Verilog.
 
 However,
 while
 Moore's
 Law
 holds,
 future<br />

designs
 will
 have
 to
 start
 at
 even
 higher
 levels
 of
 abstraction
 in
 order
 to
 keep
 the
 design
 time<br />

sufficiently
 short.<br />

In
 this
 tutorial
 we
 introduce
 a
 separation
 between
 architecture
 and
 functions
 or
 applications,<br />

followed
 by
 mapping
 the
 application
 onto
 the
 architectures
 of
 the
 embedded
 platforms.
 In
 the<br />

morning
 session,
 we
 discuss
 trends
 in
 embedded
 systems
 and
 give
 a
 definition
 of
 platforms.
 
 We<br />

elaborate
 on
 the
 impact
 of
 platform
 thinking
 on
 embedded
 systems
 and
 highlight
 the
 importance
 of<br />

separation
 of
 computation
 and
 communication
 in
 embedded
 systems.
 We
 present
 the
 y-chart<br />

methodology
 for
 designing
 systems
 using
 embedded
 platforms.
 
 In
 this
 methodology,
 sets
 of<br />

applications
 are
 mapped
 onto
 architectures
 at
 several
 levels
 of
 abstraction.
 We
 show
 several
 models<br />

of
 computation
 that
 play
 an
 important
 role
 in
 the
 y-chart
 methodology.
 
 We
 explain
t hese
 models,<br />

including
 models
 for
 control
 and
 data
 flow.
 
 We
 demonstrate
 that
 the
 computation
 and<br />

communication
 characteristics
 in
 the
 Picoradio
 research
 at
 UC
 Berkeley
 define
 the
 exploration
 space<br />

for
n ovel
i mplementations.
W e
a lso
i llustrate
t he
n eed
f or
a nd
r ole
o f
a dvanced
s ystem
l evel
t ools.<br />

In
 the
 afternoon
 session,
 we
 look
 at
 two
 industrial
 design
 cases
 for
 multimedia
 systems.
 In
 the<br />

first
 design
 case,
 we
 focus
o n
 the
d esign
 and
 exploration
 of
 multimedia
 functions
 for
 a
 real-time<br />

high-performance
 platform
 that
 consists
 of
 a
 MIPS
 core,
 a
 VLIW
 core,
 and
 several
 coprocessors.<br />

We
 look
 at
t he
m odeling
 of
 video
 applications
 and
 at
t he
m odeling
 of
 architectures.
 We
 show
h ow<br />

we
 map
 Kahn
 Process
 Networks
 to
 VLIW
 cores
 and
 dedicated
 coprocessors,
 and
 we
 quantify
 and<br />

illustrate
 system
 trade-offs
 in
 terms
 of
h ardware,
 software
a nd
 communication
 costs.
T he
 design<br />

of
 the
 data
 transfer
 mechanism
 and
 data
 storage
 organization
 in
 these
 multimedia
 applications<br />

is
 extremely
 important
 and
 has
 a
 large
 impact
 on
 system
 performance
 and
 total
 power<br />

consumption.
 Using
 compiler
 technology,
 we
 show
 the
 exploration
 of
 several
 memory<br />

organizations.
 
W e
 present
 quantitative
re sults
f or
a 
 multimedia
ap plication.


Tutorial 4 - Friday, June 9<br />

SIGNAL
 INTEGRITY
 IN
 DEEP
 SUBMICRON
 DESIGNS<br />

Organizer: Chung-Kuan
 Cheng -
 Univ.
 of
 California,
 San
 Diego,
 CA<br />

Presenters:<br />

Tak
 Young -
 Monterey
 Design
 Systems
 Inc.,
 Sunnyvale,
 CA<br />

Shen
 Lin -
 Hewlett
 Packard
 Labs.,
 Palo
 Alto,
 CA<br />

Sanjay
 Dhar -
 Mentor
 Graphics
 Corp.,
 Wilsonville,
 Oregon<br />

Chung-Kuan
 Cheng -
 Univ.
 of
 California,
 San
 Diego,
 CA<br />

Audience:
 The
 tutorial
 is
 intended
 for
 system
 and
 circuit
 designers
 who
 would
 benefit
 from<br />

understanding
 signal
 integrity
 of
 interconnect
 dominated
 designs,
 for
 CAD
 engineers
 (both
 R
 &<br />

D
 and
 support),
 for
 design
 project
 managers,
 and
 for
 academic
 researchers.<br />

D
e
s
c
r
i
p
t
i
o
n:In
th is
tu torial,
 we
p resent
t he
 design
fl ow
an d
 analysis
 of
 signal
i ntegrity
in 
de ep<br />

submicron
 designs.
 We
 first
 talk
 about
 the
 RLC
 parasitic
 extraction.
 
 We
 then
 study
 the<br />

capacitive
 and
 inductive
 effect
 with
 emphasis
 on
 inductance
 for
 high
 speed
 circuitry.
 
 Finally,<br />

we
di scuss
 industrial
pr actices
 and
 cases
 studies.<br />

I
n
t
r
o
d
u
c
t
i
o
n
: We
 introduce
 the
 overall
 design
 flow
 and
 fundamental
 theories
 and
 concepts
 of<br />

RLC
 network
an alysis
 for
 interconnect
do minated
 designs.<br />

RLC
 Extraction:
We 
 describe
 the
 parasitic
 models
 and
 the
 extraction
 techniques
of 
 interconnect<br />

electronic
 parameters.
 
 An
 extraction
 flow
 with
 particular
 emphasis
 for
 cross-coupling<br />

capacitance
 screening
 and
 generation
 of
 net
 delays
 for
 full
 chip
 delay
 analysis
 will
 be
 discussed.<br />

On-Chip
 Inductance
 and
 Coupling
 Effects:
 We
 investigate
 the
 inductance
 and
 coupling
 effects<br />

for
 high
 speed
 designs.
 
 Impacts
 of
 RLC
 power/ground
 network
 modeling
 and
 delta
 i
 noise
 are<br />

discussed.
 Techniques
 to
 minimize
 inductance
 effects
 are
 explored.
 We
 also
 talk
 about
 our<br />

experience
 on
te st
 chip
 modeling
 and
 measurement.<br />

Industrial
 Practices
 and
 Case
 Studies:
 We
 address
 the
 bottlenecks
 regarding
 the
 effects
 of<br />

coupling
 and
 the
 significant
 amount
 of
 parasitics.
 Case
 studies
 from
 different
 industrial<br />

designs
 will
 be
 used
 to
 demonstrate
 the
 importance
 of
 interconnect
 effect
 on
 timing
 and<br />

functional
 behavior.

<br />

t
u
t
o
r
i
a
l
s<br />

53


t
u
t
o
r
i
a
l
s<br />

54<br />

Tutorial 5 - Friday, June 9<br />

DESIGN
 TECHNOLOGY
 FOR
 BUILDING
 WIRELESS
 SYSTEMS-ON-CHIP<br />

Organizer: Rajesh
 Gupta -
 Univ.
 of
 California,
 Irvine,
 CA<br />

Presenters:<br />

Mani
 Srivastava
 -
 Univ.
 of
 California,
 Los
 Angeles,
 CA<br />

Charles
 Chien -
 Rockwell
 Science
 Ctr.,
 Thousand
 Oaks,
 CA<br />

Georges
 Gielen -
 Katholieke
 Univ.,
 Leuven,
 Belgium<br />

Rajesh
 Gupta -
 Univ.
 of
 California,
 Irvine,
 CA<br />

Audience:
 This
 tutorial
 is
 targeted
 for
 practicing
 engineers
 interested
 in
 system
 and
 IC
 design<br />

tools
 for
 building
 wireless
 systems.
 CAD
 developers
 and
 researchers
 will
 develop
 an
 appreciation<br />

of
 the
 design
 tool
 requirements
 for
 wireless
 networked
 computing
 systems.

 Minimal
 familiarity<br />

with
 VLSI
 design,
 communications
 basics
 and
 computer
 programming
 is
 assumed.<br />

D
e
s
c
r
i
p
t
i
o
n:The
 progress
 in
 IC
 technology
 is
 making
 possible
 development
 of
 chips
 that<br />

incorporate
 all
 the
 elements
 of
 a
 complete
 wireless
 radio
 system
 on
 a
 chip
 (SOC)
 as
 a
 means
 to<br />

obtain
 low
 system
 cost,
 ease
 of
 system
 insertion
 and
 miniaturization.
 Such
 an
 antenna-tonetwork
<br />

chip
 would
 incorporate
 an
 RF
 front
 end,
 baseband
 digital
 signal
 processing,
 link
 layer<br />

coding
 functions
 for
 error,
 compression,
 and
 encryption,
 and
 medium
 access
 control
 and
 other<br />

network
 protocols.
 An
 example
 of
 the
 drive
 toward
 wireless
 system
 on
 a
 chip
 is
 the
 race
 among<br />

1000+
 design
ho uses
ar ound
 the
 world
to 
 develop
 a
 single-chip
 device
 for
 "Bluetooth."<br />

From
 an
 implementation
 point
 of
 view,
 this
 would
 require
 integration
 of
 analog
 circuits,
 high<br />

performance
 custom
 signal
 processing
 datapaths
 and
 cores,
 customized
 logic,
 embedded<br />

processor,
 and
 complex
 software
 environments
 on
 the
 same
 chip
 or
 substrate.
 The
 design,<br />

simulation,
 implementation,
an d
t esting
te chniques
 required
 for
su ch
ch ips
a re
 complex,
as 
ar e<br />

the
 metrics
t o
 evaluate
t he
 performance.
T he
 current
e ffort
 in
s tandardization
o f
p re-designed<br />

core
 cells
 is
 expected
 to
 play
 a
 major
 role
 in
 making
 it
 possible
 for
 designers
 to
 build
 complete<br />

and
 customized
 wireless
 SOCs.
 However,
 the
 diversity
 of
 cores
 required
 in
 such
 systems<br />

represents
 a
 special
 challenge
 in
 almost
 all
 aspects
 of
IC /System
 design.<br />

In
 this
 tutorial
 we
 present
 the
 state
 of
 the
 art
 in
 designing
 such
 systems,
 focusing
 from
 a<br />

system
p erspective,
o n
b oth
th e
CA D
pr oblems
t hat
a rise
fr om
s uch
ch ips
a s
we ll
a s
o n
th e
t ools<br />

and
 design
 techniques.
 The
 presentation
 is
 roughly
 divided
 into
 four
 parts.
 First
 part
 covers<br />

basics
 of
 wireless
 systems
 including
 RF,
 communication
 and
 networking
 subsystems;<br />

representation
 and
 modeling
 of
 wireless
 system
 components
 (RF,
 baseband
 DSP,
 protocol<br />

processing
fo r
ne twork
 interfaces)
an d
 optimization
 across
 heterogeneous
 processing
do mains.<br />

Second
 part
 addresses
 architectural
 design
 techniques
 for
 integrated
 wireless
 systems,<br />

particularly
 tradeoffs
 in
 a
 resource,
 power
 and
 bandwidth
 constrained
 environment.
 Third
 part<br />

addresses
 design
 tools,
 techniques
 and
 cores
 for
 wireless
 systems
 including
 tools
 for
 software<br />

synthesis
 and
 optimization.
 The
 last
 part
 addresses
 design
 tools
 and
 modeling
 for
 RF
 circuits.
 We<br />

address
 the
 technology,
 circuit,
 and
 architecture
 partition
 (e.g.
 where
 to
 draw
 the
 line
 between<br />

analog/RF
 and
 digital
 baseband
 processing)
 issues
 related
 to
 the
 integration
 of
 RF
 and<br />

baseband
pr ocessing
on 
 the
 same
 chip.


Tutorial 6 - Friday, June 9<br />

LOW-POWER
 SYSTEM
 DESIGN:
 APPLICATIONS,
 ARCHITECTURES,
 AND<br />

DESIGN
METHODOLOGIES<br />

Organizer: Anand
 Raghunathan -
 NEC
 USA
 C&C
 Research
 Labs.,
 Princeton,
 NJ<br />

Presenters:<br />

Anand
 Raghunathan -
 NEC
 USA
 C&C
 Research
 Labs.,
 Princeton,
 NJ<br />

Sujit
 Dey
 -
 Univ.
 of
 California,
 San
 Diego,
 CA<br />

Arkady
 Horak -
 Motorola
 Inc.,
 Austin,
 TX<br />

Trevor
 Mudge -
 Univ.
 of
 Michigan,
 Ann
 Arbor,
 MI<br />

Kaushik
 Roy -
 Purdue
 Univ.,
 West
 Lafayette,
 IN<br />

Audience:
 This
 tutorial
 is
 intended
 for
 system
 designers
 who
 are
 involved
 in
 designing
 lowpower
<br />

and
 portable
 systems,
 developers
 of
 system-level
 or
 low-power
 design
 methodologies<br />

and
 CAD
 tools,
 managers
 thereof,
 and
 researchers
 interested
 in
 low-power
 system
 design.<br />

D
e
s
c
r
i
p
t
i
o
n:<strong>Adv</strong>ances
 in
 semiconductor
 technologies,
 and
 the
 aggressive
 time-to-market,<br />

performance,
 and
 cost
 requirements
 have
 led
 to
 a
 paradigm
 shift
 in
 electronic
 system
 design,<br />

with
 the
 evolution
 of
 system-level-integration
 allowing
 an
 entire
 system
 to
 be
 integrated
 on
 a<br />

single
 chip.
 At
 the
 same
 time,
 the
 rapid
 growth
 in
 battery-driven
 systems,
 such
 as
 wireless<br />

communication
 devices
 and
 information
 appliances,
 has
 elevated
 power
 consumption
 to
 be
 a<br />

primary
 factor
 influencing
 system-level
 design
 decisions.
 This
 tutorial
 will
 highlight
 various<br />

critical
 aspects
 of
 low-power
 system
 design:
 applications,
 system
 architectures,
 design<br />

methodologies
 and
to ols,
 and
 relevant
 technology
 trends.<br />

The
 first
 part
 of
 the
 tutorial
 will
 present
 examples
 of
 low-power
 applications
 from
 the
 wireless<br />

communications
 domain
 and
 analyze
 the
 requirements
 imposed
 by
 application
 trends
 on
 systemlevel
<br />

architectures
 and
 design
 methodologies.
 We
 will
 then
 present
 examples
 of
 low-power<br />

system
 architectures
 for
 such
 applications
 to
 illustrate
 current
 design
 practice.
 Examples
 of
 IP<br />

cores
c urrently
av ailable
 in
 the
ma rket
w ill
be 
us ed
to 
il lustrate
th e
 issues
 involved
in 
 selecting<br />

and
 designing
 with
 low-power
 system
 components.
 We
 will
 also
 present
 various
 low-power<br />

architectural
t echniques
 that
 could
b e
e mployed
 in
e mbedded
p rocessor
 cores,
 including
 memory<br />

organization,
 low-power
 instruction
 decoding,
 and
 controlled
 speculative
 execution.<br />

The
 second
 part
 of
 the
 tutorial
 will
 focus
 on
 system-level
 design
 methodologies
 and
 tools
 for<br />

power
 analysis
 and
 reduction.
 Topics
 covered
 will
 include
 system-level
 power
 estimation
 and<br />

profiling,
 HW/SW
 partitioning
 and
 mapping
 for
 low-power,
 low-power
 embedded
 software,
 dynamic<br />

voltage
a nd
p erformance
m anagement
s trategies,
m emory
a nd
I /O
o ptimizations,
p ower
i ssues
i n<br />

bus
ar chitectures,
 system-level
 power
 management,
 and
 battery-friendly
sy stem
 design.<br />

The
 third
 part
 will
 examine
 technology
 trends
 and
 their
 impact
 on
 low-power
 system
 design.
 We<br />

will
 discuss
 trends
 in
 supply
 and
 threshold
 voltages,
 the
 increasing
 importance
 of
 managing<br />

leakage
 power,
 and
 power
 issues
 involved
 in
 the
 integration
 of
 heterogeneous
 technologies<br />

such
 as
lo gic
an d
DR AM,
 and
 multiple
 supply
an d
 threshold
 voltages
 on
a
si ngle
 chip.<br />

55


56<br />

Technical Program Committee<br />

David
 Blaauw<br />

Motorola,
 Inc.<br />

7700
 W.
 Palmer
 Ln.<br />

Bldg.
 C,
 MD:
 PL29<br />

Austin,
 TX
 78729<br />

512-996-7378<br />

b
l
a
a
u
w
@
a
d
v
t
o
o
l
s
.
s
p
s
.
m
o
t
.
c
o
m<br />

Richard
 Brown<br />

Univ.
 of
 Michigan<br />

Dept.
 of
 EECS,
 Rm.
 2403<br />

1301
 Beal
 Ave.<br />

Ann
 Arbor,
 MI
 48109-2122<br />

(313)
 763-4207<br />

brown@engin.umich.edu<br />

Ivo
 Bolsens<br />

IMEC<br />

Kapeldreef
 75<br />

Desics
 Division<br />

Leuven,
 BE
 B-3001
 Belgium<br />

32-16-281-244<br />

bolsens@imec.be<br />

Anantha
Chandrakasan<br />

Massachusetts
 Inst.
of 
 Tech.<br />

Dept.
 of
 EECS,
 Rm.
 38-107
 A<br />

50
 Vassar
 St.<br />

Cambridge,
 MA
 02139<br />

617-258-7619<br />

anantha@mtl.mit.edu<br />

Kwang-Ting
 (Tim)
 Cheng<br />

Univ.
 of
 California<br />

Dept.
 of
 ECE<br />

Santa
 Barbara,
 CA
 93106<br />

805-893-7294<br />

t
i
m
c
h
e
n
g
@
e
c
e
.
u
c
s
b
.
e
d
u<br />

Mojy
 C.
 Chian<br />

Conexant
 Systems
 Inc.<br />

4311
 Jamboree
 Rd.<br />

M/S-E03-301<br />

Newport
B each,
 CA
 92660-3095<br />

949-483-1080<br />

mojy.chian@conexant.com<br />

Jason
 Cong<br />

Univ.
 of
 California<br />

4651
 Boelter
 Hall<br />

Dept.
 of
 CS<br />

Los
 Angeles,
 CA
 90095<br />

310-206-2775<br />

cong@cs.ucla.edu<br />

Srinivas
 Devadas<br />

Massachusetts
 Inst.
 of
 Tech.<br />

Lab.
 for
 CS<br />

545
 Technology
 Sq.<br />

Rm.
 NE43-258<br />

Cambridge,
 MA
 02139<br />

617-253-0454<br />

devadas@mit.edu<br />

Limor
 Fix<br />

Intel
 Semiconductors
 Ltd.<br />

Future
 CAD
 Tech.
<br />

MTM
 Industrial
 Ctr.<br />

P.O.
 Box
 498<br />

Haifa,
 31000
 Israel<br />

972-4-8655256<br />

lfix@iil.intel.com<br />

John
 Glossner<br />

IBM
 Corp.,
 T.J.
 Watson
 Research<br />

Ctr.<br />

P.O.
 Box
 218,
 Rte.
 134<br />

M/S-29-237<br />

Yorktown
 Heights,
 NY
 10598<br />

914-945-2719<br />

glossner@us.ibm.com<br />

Patrick
 Groeneveld<br />

Magma
D esign
A utomation,
I nc.<br />

2
 Results
 Way<br />

Cupertino,
 CA
 95014<br />

408-864-2045<br />

patrick@magma-da.com<br />

Rajesh
 K.
 Gupta<br />

Univ.
 of
 California<br />

444
 CS<br />

Dept.
 of
 Info./CS<br />

Irvine,
 CA
 92697<br />

949-824-8052<br />

rgupta@ics.uci.edu<br />

Asawaree
 Kalavade<br />

Chair,
 Embedded
 Systems
<br />

Sub-Committee<br />

Lucent
 Technologies<br />

600
 Mountain
 Ave.<br />

Rm.
 2B-239<br />

New
 Providence,
 NJ
 07974<br />

908-582-1711<br />

kalavade@lucent.com<br />

Timothy
 Y.
 Kam<br />

Intel
 Corp.<br />

Strategic
 CAD
 Labs.,
 JFT-102<br />

5200
 NE
 Elam
 Young
 Pkwy.<br />

Hillsboro,
 OR
 97124-6497<br />

503-264-7536<br />

tkam@ichips.intel.com<br />

Kurt
 Keutzer<br />

Univ.
 of
 California<br />

Dept.
 of
 EECS<br />

Cory
 Hall
 566<br />

Berkeley,
 CA
 94720-1770<br />

408-982-9446<br />

keutzer@eecs.berkeley.edu<br />

Andreas
 Kuehlmann<br />

IBM
 Corp.<br />

T.J.
 Waston
 Research
 Ctr.<br />

P.O.
 Box
 218<br />

Yorktown
 Heights,
 NY
 10598<br />

914-945-3458<br />

kuehl@austin.ibm.com<br />

Tadahiro
 Kuroda<br />

Toshiba
 Corp.<br />

System
 ULSI
 Engineering
 Lab.<br />

580-1
Ho rikawa-cho,
S aiwai-ku<br />

Kawasaki,
 210-8520
 Japan<br />

81-44-548-2341<br />

t
a
d
a
h
i
r
o
.
k
u
r
o
d
a
@
t
o
s
h
i
b
a
.
c
o
.
j
p<br />

Luciano
 Lavagno<br />

Politecnico
 di
 Torino<br />

Dip.
 di
 Elettronica<br />

Corso
 Duca
 degli
 Abruzzi
 24<br />

Torino,
 10129
 Italy<br />

39-5644150<br />

lavagno@uniud.it


Alan
 Mantooth<br />

Univ.
 of
 Arkansas<br />

Dept.
 of
 EE,
 Rm.
 BEC
 3217<br />

Fayetteville,
 AR
 72701<br />

501-575-4838<br />

mantooth@engr.uark.edu<br />

Malgorzata
Marek-Sadowska<br />

Univ.
 of
 California<br />

Dept.
 of
 ECE<br />

Engineering
 I<br />

Santa
 Barbara,
 CA
 93106<br />

805-893-2721<br />

mms@ece.ucsb.edu<br />

Hidetoshi
 Onodera<br />

Kyoto
 Univ.<br />

Dept.
 of
 Communications/CE<br />

Sakyo-ku<br />

Kyoto,
 606-8501
 Japan<br />

81-75-753-5314<br />

onodera@kuee.kyoto-u.ac.jp<br />

Ralph
 H.J.M.
 Otten<br />

Delft
 Univ.
 of
 Tech.<br />

ITS/ENS<br />

Mekelweg
 4<br />

Delft,
 CD
 2628
<br />

The
 Netherlands<br />

31-15-2781600<br />

otten@cas.et.tudelft.nl<br />

Joel
 R.
 Phillips<br />

Cadence
 Berkeley
 Labs.<br />

2670
 Seely
 Rd.<br />

MS
 11B2<br />

San
 Jose,
 CA
 95134<br />

408-944-7983<br />

jrp@cadence.com<br />

Irith
 Pomeranz<br />

Univ.
 of
 Iowa<br />

Dept.
 of
 ECE<br />

205CC<br />

Iowa
 City,
 IA
 52242<br />

319-335-6054<br />

irith@eng.uiowa.edu<br />

Technical Program Committee<br />

Miodrag
 Potkonjak<br />

Univ.
 of
 California<br />

3532
 Boelter
 Hall<br />

Dept.
 of
 CS<br />

Los
 Angeles,
 CA
 90095-1596<br />

310-825-0790<br />

miodrag@cs.ucla.edu<br />

Anand
 Raghunathan<br />

NEC
 USA,
 C&C
 Research
 Labs.<br />

4
 Independence
 Way<br />

Princeton,
 NJ
 08540<br />

609-951-2967<br />

anand@ccrl.nj.nec.com<br />

Louis
 Scheffer<br />

Cadence
 Design
 Systems,
 Inc.<br />

555
 River
 Oaks
 Pkwy.<br />

M/S-2B2<br />

San
 Jose,
 CA
 95134<br />

408-944-7114<br />

lou@cadence.com<br />

Donatella
 Sciuto<br />

Politecnico
 di
 Milano<br />

Dip.
 di
 Elettronica
 e
 Informazione<br />

P.zza
 L.
 da
 Vinci
 32<br />

Milano,
 20133
 Italy<br />

39-2-23993662<br />

sciuto@elet.polimi.it<br />

Sunil
 D.
 Sherlekar<br />

Silicon
A utomation
S ystems
L td.<br />

3008,
 12th
 B
 Main,
 8th
 Cross<br />

HAL
 2nd
 Stage,
 Indiranagar<br />

Bangalore,
 560008
 India<br />

91-80-528-1461x3005<br />

sds@sasi.com<br />

Vivek
 Tiwari<br />

Intel
 Corp.<br />

3600
 Juliette
 Ln.<br />

M/S-SC12-603<br />

Santa
 Clara,
 CA
 95052<br />

408-765-0589<br />

vivek.tiwari@intel.com<br />

Ingrid
 Verbauwhede<br />

Univ.
 of
 California<br />

Dept.
 of
 EE<br />

744
 OB
 Boelter
 Hall<br />

P.O.
 Box
 951594<br />

Los
 Angeles,
 CA
 90095-1594<br />

310-794-5209<br />

ingrid@ee.ucla.edu<br />

Kees
 A.
 Vissers<br />

Univ.
 of
 California<br />

Dept.
 of
 EECS<br />

524
 Cory
 Hall,
 #1770<br />

Berkeley,
 CA
 94720-1770<br />

510-643-7683<br />

vissers@eecs.berkeley.edu<br />

Kazutoshi
Wakabayashi<br />

NEC
 Corp.<br />

C&C
 Media
 Research
 Labs.<br />

4-1-1
Miyazaki,Miyamae-ku<br />

Kawasaki,
 216-8555
 Japan<br />

81-44-856-2134<br />

wakaba@ccm.cl.nec.co.jp<br />

Telle
 Whitney<br />

Malleable
Technologies<br />

2880
 Zanker
 Rd.,
 Ste.
 104<br />

San
 Jose,
 CA
 95134<br />

408-435-7900x104<br />

telle@malleable.com<br />

Kenji
 Yoshida<br />

Toshiba
 Corp.<br />

580-1
 Horikawa-Cho<br />

Saiwai-Ku<br />

Kawasaki,
 210
 Japan<br />

81
 44
 548
 2400<br />

k
y
o
s
h
i
d
a
@
m
a
i
l
.
s
e
m
i
c
o
n
.
t
o
s
h
i
b
a
.
c
o
.
j<br />

57


58<br />

Technical Program Committee<br />

Nanette
 V.
 Collins<br />

Consultant<br />

37
 Symphony
 Rd.,
 Unit
 A<br />

Boston,
 MA
 02115<br />

617-437-1822<br />

nanette@nvc.com<br />

Randolph
 E.
 Harr<br />

Synopsys,
 Inc.<br />

700
 E.
 Middlefield
 Rd.<br />

Mountain
V iew,
CA
940 43-4033<br />

650-584-1927<br />

rharr@synopsys.com<br />

William
 H.
 Joyner,
 Jr.<br />

Semiconductor
 Research
 Corp.<br />

P.O.
 Box
 12053<br />

Research
 Triangle
 Park,
 NC<br />

27709-2053<br />

919-941-9472<br />

joyner@src.org<br />

Bryan
 Ackland







<br />

Lucent
 Technologies<br />

Don
 Bouldin









<br />

Univ.
 of
 Tennessee<br />

Panel-Sub Committee<br />

Andrew
 B.
 Kahng<br />

Univ.
 of
 California<br />

Dept.
 of
 CS<br />

3713
 Boelter
 Hall<br />

Los
 Angeles,
 CA
 90095-1596<br />

310-206-7073<br />

abk@cs.ucla.edu<br />

Mike
 Murray<br />

Acuson
 Corp.<br />

1220
 Charleston
 Rd.<br />

Box
 7393,
 MS-E-1<br />

Mountian
V iew,
 CA
9 4039-7393<br />

650-694-5876<br />

mikem@acuson.com<br />

Student Design Contest Judges<br />

Richard
 Gammack<br />

Compaq
 Computer
 Corp.<br />

Steven
 Maciejewski<br />

<strong>Adv</strong>anced
 Micro
 Devices<br />

Sean
 Stetson<br />

Texas
 Instruments<br />

Shishpal
 S.
 Rawat<br />

Intel
 Corp.<br />

M/S-FM5-108<br />

1900
 Prairie
 City
 Rd.<br />

Folsom,
 CA
 95630<br />

916-356-6639<br />

shishpal.s.rawat@intel.com<br />

Rob
 A.
 Rutenbar<br />

Carnegie
 Mellon
 Univ.<br />

2118
 Hammerschlag
 Hall<br />

5000
 Forbes
 Ave.<br />

Pittsburgh,
 PA
 15213-3890<br />

412-268-3334<br />

rutenbar@ece.cmu.edu<br />

Frank
 Sweeney







<br />

Dallas
Semiconductor<br />

John
 Wei












<br />

Intel
 Corp.


Bryan
 Ackland<br />

Lucent
 Technologies<br />

Bell
 Labs.<br />

101
 Crawfords
 Corner
 Rd.
<br />

Rm.
 4E-508<br />

Holmdel,
 NJ

 07733-1900<br />

732-949-7248<br />

bda@lucent.com<br />

Deborah
 Chalmers<br />

Cadence
D esign
 Systems,
I nc.<br />

2655
 Seely
 Rd.
<br />

San
 Jose,
 CA

 95134<br />

408-428-5795<br />

debc@cadence.com<br />

Giovanni
 DeMicheli<br />

Stanford
 Univ.<br />

Gates
 Computer
 Science<br />

Bldg.,
 Rm.
 333<br />

Stanford,
 CA

 94305-9030<br />

650-725-3632<br />

nanni@galileo.stanford.edu<br />

Sue
 Drouin<br />

Mentor
 Graphics
 Corp.<br />

8005
 SW
 Boeckman
 Rd.<br />

Wilsonville,
 OR

 97070-7777<br />

503-685-1183<br />

sue_drouin@mentorg.com<br />

Larry
 Eberle<br />

Synopsys,
 Inc.<br />

700
 E.
 Middlefield
 Rd.<br />

Mountain
 View,
 CA
 
 94043-4033<br />

650-584-4418<br />

leberle@synopsys.com<br />

Exhibitor Liaison Committee<br />

Ian
 Getreu<br />

Synopsys,
 Inc.<br />

19500
 NW
 Gibbs
 Dr.<br />

Beaverton,
 OR

 97006<br />

503-748-2137<br />

iang@synopsys.com<br />

Dave
 Guinther<br />

Avant!
 Corp.<br />

Front
 End
 Div.<br />

101
 Billerica
 Ave.,
 Bldg.
 5<br />

N.
 Billerica,
 MA

 01862<br />

978-436-9909x134<br />

daveg@chrysalis.com<br />

Jan
 Houts<br />

QuickLogic
 Corp.<br />

1277
 Orleans
 Dr.<br />

Sunnyvale,
 CA

 94089<br />

408-990-4256<br />

houts@quicklogic.com<br />

Mahendra
 Jain<br />

Sagantec<br />

47308
 Kato
 Rd.<br />

Fremont,
 CA
 94538<br />

510-360-5200<br />

mahendra@sagantec.com<br />

William
 H.
 Joyner,
 Jr.<br />

Semiconductor
R esearch
C orp.<br />

PO
 Box
 12053<br />

Research
 Triangle
P ark,
<br />

NC
 
 27709<br />

919-941-9472<br />

joyner@src.org<br />

Tony
 Kasovich<br />

Sente
 Inc.<br />

4655
 Old
 Ironsides
 Dr.<br />

Ste.
 370<br />

Santa
 Clara,
 CA

 95054<br />

408-654-5966<br />

tkasovich@senteinc.com<br />

Marie
 R.
 Pistilli<br />

MP
 Associates,
 Inc.<br />

5305
 Spine
 Rd.,
 Ste.
 A
<br />

Boulder,
 CO

 80301<br />

303-530-4333<br />

marie@dac.com<br />

Gary
 Smith<br />

Dataquest<br />

251
 River
 Oak
 Pkwy.<br />

San
 Jose,
 CA

 95134<br />

408-468-8271<br />

gary.smith@dataquest.com<br />

Lee
 Wood<br />

MP
 Associates,
 Inc.<br />

5305
 Spine
 Rd.,
 Ste.
 A<br />

Boulder,
 CO

 80301<br />

303-530-4333<br />

lee@dac.com<br />

Ellen
 J.
 Yoffa<br />

IBM
 Corp.<br />

T.J.
 Watson
 Research
 Ctr.<br />

PO
 Box
 218,
 Rm.
 39-153<br />

Yorktown
 Hts.,
 NY

 10598<br />

914-945-3270<br />

yoffa@watson.ibm.com<br />

59


sponsors<br />

60<br />

S p<br />

Sponsorship<br />

o n s o r s h i p<br />

The
 <strong>37th</strong>
 Design
 Automation
 Conference
 is
 sponsored
 by
 the
 A
C
M
/
S
I
G
D
A (Association
 for
 Computing<br />

Machinery/Special
 Interest
 Group
 on
 Design
 Automation),
 IEEE/CAS (Institute
 of
 Electrical
 and
 Electronics<br />

Engineers/Circuits
 and
 Systems
 Society),
 and
 EDA
 Consortium (Electronic
 Design
 Automation
 Consortium).<br />

Membership
 information
 is
 available
 on
 pages
 61
 -
 62
 or
 at
 the
 conference
 at
 the
 ACM
 and
 IEEE
 booths.
 Join<br />

before
 registering
 and
 save.<br />

IEEE
 Circuits
 and
 Systems
 Society<br />

The
 IEEE
 Circuits
 and
 Systems
 (CAS)
 Society
 is
 one
 of
 the
 largest
 societies
 within
 IEEE
 and
 in
 the
 world
 devoted<br />

to
 the
ana lysis,
 design,
 and
 applications
of 
c ircuits,
n etworks,
 and
 systems.
 It
 offers
i ts
 members
 an
 extensive<br />

program
 of
publ ications,
 meetings
 and
 technical
 and
 educational
ac tivities,
 encouraging
 an
ac tive
 exchange
 of<br />

information
 and
 ideas.
 The
 Society's
 peer
 reviewed
 publication
 activities
 include:
 Trans.
 on
 CAD;
 Trans.
 on
 CAS-<br />

Part
 I
 (Fundamentals);
 Trans.
 on
 CAS-Part
 II
 (Analog
 &
 Digital
 Signal
 Processing);
 Trans.
 on
 VLSI;
 Trans.
 on
 CAS<br />

for
 Video
 Technology;
 and
 the
 new
 Transactions
 on
 Multimedia
 which
 is
 co-sponsored
 with
 IEEE
 sister
 societies.<br />

CAS
 also
 sponsors
 or
 co-sponsors
 a
 number
 of
 international
 conferences,
 which
 include
 the
 Design
 Automation<br />

Conference
( <strong>DAC</strong>),
t he
I nt’l
Conf erence
on
 Computer-Aided
 Design
(I CCAD)
a nd
t he
 Int’l
 Symposium
 on
Ci rcuits
&<br />

Systems
(I SCAS).
A
w orldwide
c omprehensive
pr ogram
of 
adv anced
 workshops
i ncluding
a
new 
s eries
on
" Emerging<br />

Technologies
 in
 Circuits
 and
 Systems",
 as
 well
 as
 our
 continuing
 education
 short
 courses
 bring
 to
 our
 worldwide<br />

membership
t he
la test
dev elopments
 in
c utting-edge
te chnologies
of
i nterest
to 
 industry
and
ac ademia
al ike.<br />

The
 IEEE
C AS
 Society
 has
b een
 serving
i ts
m embership
 for
o ver
5 0
y ears
w ith
s uch
m ember
b enefits
 as:<br />

•
 Discounts
 on
 all
 Society
 publications,
 conferences
 and
 workshops
 (including
 co-sponsored
 and
 sister<br />

society
 publications
 and
 conferences)<br />

•
 The
 Society
 newsletter
 which
 includes
 short
 articles
 on
 emerging
 technologies,
 society
 news
 and
 current<br />

events
<br />

•
 Opportunities
 to
 network
 with
 peers
 and
 experts
 within
 our
 12
 focused
 committee
 meetings,
 the

 local<br />

events
 of
 over
 60
 chapters
 and
 more
 than
 20
 annual
 conferences/workshops<br />

•
O pportunity
t o
 read
a nd
r eview
p apers,
w rite
 articles
a nd
p articipate
 in
t he
 Society’s
 government<br />

•
 And
 all
 the
 personal
 and
 professional
 benefits
 of
 IEEE
 membership<br />

For
 more
 information,
 please
 contact
 the
 IEEE
 CAS
 Society.<br />

Mail:
 IEEE
 CAS
 Society E-mail:
cas.info@ieee-cas.org<br />

15
 W.
 Marne
 Ave. Phone:
1-219-871-0210<br />

P.O.
 Box
 265 Web:
 http://www.ieee-cas.org<br />

Beverly
 Shores,
 IN
 46301-0265<br />

Free
 IEEE
 and
 CAS
 Membership
 Promotion
 at
 the
 <strong>37th</strong>
 <strong>DAC</strong><br />

Promo Code – XDAX00<br />

The
 IEEE
 Circuits
 and
 Systems
 Society
 is
 offering
 free
 membership
 to
 IEEE
 and
 the
 CAS
 Society
 during
 the
 <strong>37th</strong><br />

<strong>DAC</strong>
 advance
 and
 on-site
 registration.
 The
 only
 stipulations
 are
 that
 you
 must
 be
 a
 first-time
 applicant
 and<br />

join
 both
 the
 IEEE
 and
 the
 CAS
 Society.
 Please
 use
 the
 IEEE
 CAS
 Society
 membership
 application
 form
 found
 on<br />

the
 opposite
 page.
 If
 you
 sign
 up
 for
 free
 membership,
 which
 is
 valid
 for
 the
 remainder
 of
 the
 year
 2000,
 you<br />

may
 also
 apply
 for
 the
 conference
 reduced
 registration
 rate.
 If
 you
 choose
 to
 register
 on-site,
 you
 must
 stop<br />

by
 the
 IEEE
 or
 CAS
 information
 booths
 to
 apply
 for
 free
 membership
 BEFORE
 you
 proceed
 to
 conference<br />

registration.
 This
 will
 allow
 you
 to
 register
 for
 the
 conference
 at
 the
 reduced
 rate.<br />

Note:
 If
 you
 pay
 for
 <strong>DAC</strong>
 registration
 before
 you
 apply
 for
 your
 free
 IEEE/CAS
 membership,
 you
 will
 be
 unable
 to<br />

receive
a
r efund
f or
t he
d ifference
 between
m ember
an d
n on-member
re gistration
 fees,
a lthough
y ou
 will
 still
 be<br />

able
t o
t ake
adv antage
o f
 all
t he
ot her
be nefits
o f
I EEE/CAS
m embership.


p<br />

IEEE
 Circuits
 &
S ystems Membership Application<br />

mail to: IEEE CAS Society Admin Off i c e<br />

fax to: (219) 871-0211<br />

15 W Marne Ave., P.O. Box 265<br />

Beverly Shores, IN 46301<br />

PLEASE PRINT - If last name is not family name circle first letter of family name.<br />

First Name__________________________________Middle Initial_______<br />

Family Surname_______________________________________________<br />

Home Address________________________________________________<br />

____________________________________________________________<br />

City_________________________________________________________<br />

State/Province___________Postal Code___________Country__________<br />

Were you ever a member of the IEEE? ❑ Yes ❑ No Years 19___ to 19___<br />

❑ Membership Renewal ❑ FREE Membership Offer (details on pg. 60)<br />

(If you were a previous IEEE member the FREE offer is not valid. Please provide payment information.<br />

* Note to FREE Applicants - Please use the promo code as your membership # on the conference registration form . )<br />

Membership #__________________________ Grade _________________<br />

Demographic Information Date of Birth_________________________<br />

❑ Male ❑ Female ______________________<br />

Business Information Business Phone ________________________<br />

Email ______________________ Fax __________________________<br />

Job Title, Present Occupation ____________________________________<br />

Number of years in professional practice ___________________________<br />

Company ____________________________________________________<br />

Address _____________________________________________________<br />

____________________________________________________________<br />

City_________________________________________________________<br />

State/Province___________Postal Code___________Country__________<br />

Education Information<br />

1. College/Univ. Name__________________________________________<br />

City & State________________________________________________<br />

Month Year<br />

Degree & Major___________________Date Received_______ /______<br />

2. College/Univ. Name__________________________________________<br />

City & State________________________________________________<br />

Month Year<br />

Degree & Major___________________Date Received_______ /______<br />

I hereby make application for IEEE membership and if elected will be governed by IEEE’s Constitution,<br />

Bylaws, and Code of Ethics. I authorize release of any information relating to this application.<br />

____________________________________________________________<br />

(FULL SIGNATURE OF APPLICANT) (DATE)<br />

The FREE membership offer expires June 8, 2000.<br />

(credit card payments only)<br />

Send mail to: ❑ Home Address<br />

❑ Company Address<br />

2000 MEMBER RATES<br />

Check (✔) a box Amount<br />

United States $56.50 ❑<br />

Canada*(w/GST) $53.50 ❑<br />

Canada*(w/HST) $57.00 ❑<br />

Africa, Europe<br />

Middle East $48.50 ❑<br />

Latin America $45.00 ❑<br />

Asia, Pacific $45.50 ❑<br />

Prices valid through August 15, 2000<br />

* IEEE Canadian Business Tax No.<br />

125634188 is included<br />

Subscription to Spectrum ($11.50/<br />

year) and The Institute are included<br />

in dues<br />

PAYMENT (US Dollars)<br />

IEEE/CAS<br />

Membership Dues $________<br />

❑ OAS Membership FREE<br />

offer expires 6/30/00<br />

Prices subject to change without notice<br />

❑ Check or money order enclosed.<br />

(Make payable to IEEE)<br />

❑ VISA ❑ MasterCard<br />

❑ American Express<br />

Charge Card Number<br />

Exp. Date<br />

Mo./Yr.<br />

Cardholder<br />

5 digit Zip Code<br />

Billing Statement Address - USA Only<br />

_____________________________________________<br />

Full signature of applicant using credit card.<br />

Date________________________________________<br />

115211<br />

Promo Code – XDAX00<br />

IEEE Account # - 30 0040 01900 40851 0 10<br />

IEEE<br />

Networking<br />

the World<br />

sponsors<br />

61


S p o n s o rs h i p<br />

Join
 ACM/SIGDA
 Benefit
 Yourself
 and
 Your
 Profession<br />

Join
 now
 and
 SAVE!
 ACM/SIGDA
 members
 receive
 significantly
 reduced
 rates
 for
 <strong>DAC</strong>
 and
 other
 important<br />

design
 automation
 and
 computer
 science
 conferences.
 You
 can
 join
 electronically
 by
 filling
 out
 our
 on-line<br />

order
 form
 at
 http://www.acm.org/catalog/sigs/sigda.html or
 by
 sending
 e-mail
 to
 acmhelp@acm.org.
 If<br />

you
 join
 now,
 you
 will
 receive
 our
 current
 conference
 Compendium
 CD-ROM
 which
 contains
 the
 proceedings
 of<br />

the
 1998
 <strong>DAC</strong>,
 ASP-<strong>DAC</strong>,
 DATE,
 and
 ICCAD
 conferences,
 as
 well
 as
 three
 symposia.
 You
 will
 also
 receive
 the<br />

1999
 Compendium
 CD-ROM
 when
 it
 is
 issued.
 For
 the
 latest
 conference
 scheduling
 information
 access
 our<br />

website
at 
 h
t
t
p
:
/
/
w
w
w
.
a
c
m
.
o
r
g
/
s
i
g
d
a
.<br />

ACM/SIGDA
 members
 gain
 other
 personal
 and
 professional
 DA
 benefits.
 They
 include
 an
 informative
 newsletter,<br />

reduced
 rates
 on
 proceedings
 and
 individual
 conference
 CD-ROMs,
 and
 travel
 grant
 eligibility.
 Membership
 in<br />

ACM/SIGDA
 also
 entitles
y ou
t o
 take
a dvantage
o f
t he
f ull
 array
of 
 ACM
 products
 and
s ervices,
 such
as 
T ODAES
-<br />

ACM's
T ransactions
o n
D esign
Au tomation
o f
E lectronic
S ystems,
 and
t he
A CM
Di gital
L ibrary
- 
AC M's
o nline
l ibrary<br />

of
 the
 ACM
 journals,
 magazines,
 and
 conference
 proceedings.
 Additional
 products
 and
 services
 include
 ACM<br />

sponsored
 conferences,
 subscriptions
 to
 ACM
 journals
 and
 magazines,
 ACM
 Press
 Books,
 and
 membership
 in
 one
 or<br />

more
 of
 the
 36
 ACM
 Special
 Interest
Gr oups.
 For
 details,
 visit
 the
 ACM
 website
 at
 h
t
t
p
:
/
/
w
w
w
.
a
c
m
.
o
r
g.
 During<br />

the
 conference,
 please
 visit
 the
 ACM
 Booth,
 located
 near
 the
 registration
 area,
 and
t he
 University
 Booth
 on
 the<br />

exhibition
f loor.
S ee
pa ge
2 1
f or
i nformation
a bout
t he
P h.D
F orum/SIGDA
M ember
 Meeting.<br />

SIGDA/<strong>DAC</strong>
 University
 Booth<br />

Each
 year
 SIGDA
 organizes
 the
 University
 Booth.
 The
 booth
 is
 an
 opportunity
 for
 university
 researchers
 to<br />

display
 their
 results
 and
 to
 interact
 with
 visitors
 from
 industry.
 Priority
 is
 given
 to
 presentations
 that<br />

complement
 the
 conference
 technical
 program.
 Demos
 that
 highlight
 benchmark
 results
 are
 also
 encouraged.<br />

The
 Design
 Contest
 winners
 will
 give
 demonstrations
 presenting
 their
 designs
 at
 the
 University
 Booth,<br />

Tuesday,
 12:00PM
 -
 2:00PM.
 The
 schedule
 of
 presentations
 will
 be
 published
 at
 the
 conference
 and
 will
 also<br />

be
 available
 on
 the
 SIGDA
 website.
 We
 thank
 the
 Design
 Automation
 Conference
 for
 its
 continued
 support<br />

of
 this
 project.<br />

EDA
 Consortium
 (E<strong>DAC</strong>)
<br />

Formed
 in
 1989
 the
 EDA Consortium
 is
 an
 international
 association
 of
 companies
 engaged
 in
 the<br />

development,
m anufacture,
 and
 sale
 of
 design
 tools
a nd
s ervices
 to
t he
 electronic
e ngineering
 community.<br />

The
 Consortium
 Enhances
 the
 EDA
 Industry’s
 Efficiency
 and
 Perceived
 Value
 by:<br />

• Leading
 forums
 to
 discuss
 industry
 issues<br />

• Maintaining
 a
 centralized
 web
 site<br />

• Sponsoring
 the
 <strong>DAC</strong> and
 DATE
 (Europe)
 conferences<br />

• Reporting
 revenue
 data
 on
 the
 EDA market
<br />

• Recognizing
 excellence
 through:<br />

-
 Phil
 Kaufman
 Award<br />

-
 Design
 Acheivement
 Awards<br />

• Supporting
 emerging
 EDA companies
<br />

Membership
 Includes
 these
 Benefits:<br />

• Company
 listing
 and
 links
 on
 the
 Consortium
 web
 site<br />

• Invitations
 to
 Consortium
 events<br />

• Member
 discounts
 on:<br />

-
 <strong>DAC</strong>
 &
 DATE
 exhibit
 spaces<br />

-
 Market
 Statistics
 Service
 -
 the
 only
 source
 for
 quarterly
 EDA
 revenue
 data<br />

Sponsorship<br />

For
 more
 information,
 contact
 EDA
 Consortium,
 111
 West
 Saint
 John
 Street,
 Suite
 220,
 San
 Jose,
 CA<br />

95113,
 Phone:
 (408)
 287-3322,
 Fax:
 (408)
 283-5283,
 E-mail:
 info@edac.org,
 Web
 site:
 www.edac.org.<br />

sponsors<br />

63


64<br />

Proceedings<br />

ACM/SIGDA
 (Association
 for
 Computing
 Machinery/Special
 Interest
 Group
 on
 Design
 Automation)<br />

and
 the
 Design
 Automation
 Conference
 will
 jointly
 publish
 the
 proceedings
 of
 <strong>DAC</strong>'00
 on
 CD-ROM.<br />

Papers
 can
 be
 accessed
 using
 Adobe
 Reader
 4.0
 (included
 on
 the
 CD-ROM).
 <strong>DAC</strong>
 Proceedings
 will<br />

also
 be
 available
 on
 the
 World
 Wide
 Web
 after
 the
 conference.<br />

A
 compendium
 CD-ROM
 containing
 the
 conference
 proceedings
 from
 the
 previous
 year
 is
 published<br />

annually,
 beginning
 with
 the
 Compendium'94
 (containing
 <strong>DAC</strong>,
 EURO-<strong>DAC</strong>,
 and
 ICCAD).<br />

Compendium'98
 contains
 ASP-<strong>DAC</strong>'98,
 <strong>DAC</strong>'98,
 DATE'98,
 ICCAD'98
 and
 proceedings
 from
 four<br />

symposia
 (FPGA'98,
 ISLPED'98,
 ISPD'98,
 and
 ISSS'98.
 Compendium'99
 will
 include
 ASP-<strong>DAC</strong>'99,<br />

DATE'99,
 <strong>DAC</strong>'99,
 ICCAD'99,
 FPGA'99,
 ISPD'99,
 ISLPED'99,
 CODES'99,
 and
 ISSS'99.
 SIGDA<br />

conference
 proceedings
 on
 CD-ROM
 and
 Compendium
 CD-ROMs
 are
 available
 from
 ACM.
 Stop
 by
 the<br />

ACM
 Booth
 for
 further
 information.<br />

<strong>37th</strong>
 <strong>DAC</strong>
 Proceedings<br />

The
 <strong>37th</strong>
 <strong>DAC</strong>
 proceedings
 will
 contain
 160
 papers,
 panels,
 and
 embedded
 tutorials.
 <strong>DAC</strong>
 is<br />

offering
 each
 conference
 and
 student
 registrant
 the
 proceedings
 in
 both
 the
 hardbound
 edition<br />

and
 the
 CD-ROM
 edition.

 Should
 you
 wish
 to
 purchase
 any
 additional
 copies
 you
 may
 do
 so
 at
 the<br />

ACM
 booth
 for
 $50.00.
 After
 the
 conference,
 mail
 orders
 should
 be
 sent
 to
 ACM;
 approximate
 cost<br />

after
 conference
 is
 $70
 for
 members,
 and
 $140
 for
 non-members

 ACM
 should
 be
 contacted
 before<br />

placing
 your
 order
 to
 determine
 cost
 and
 availability
 of
 the
 proceedings.
 The
 address
 is:
<br />

ACM
 Order
 Department<br />

P.O.
 Box
 11315<br />

New
 York,
 NY

 10286-1315<br />

1
 (800)
 342-6626

 (U.S.
 and
 Canada)<br />

1
 (212)
 626-0500

 (all
 other
 countries)<br />

Fax:

 1
 (212)
 944-1318<br />

e-mail:

 orders@acm.org<br />

TODAES<br />

Transactions
 on
 Design
 Automation
 of
 Electronic
 Systems
 (TODAES)<br />

TODAES
 will
 be
 your
 pulse
 in
 the
 rapidly
 changing
 field
 of
 design
 technology
 of
 electronic
 systems.<br />

TODAES
 will
 keep
 you
 current
 in
 the
 areas
 of
 system
 design,
 high
 level
 synthesis,
 physical
 layout,<br />

design
 verification,
 system
 reliability,
 and
 high
 performance
 circuits.
 TODAES
 subscriptions
 are<br />

available
 in
 three
 formats:
 print
 (includes
 an
 annual
 CD-ROM),
 online,
 or
 both.



<br />

ACM ACM/SIGDA
 ACM ACM/SIGDA SIGDA<br />

member member student
 member student
 member only Non-Member<br />

print $34 $24 $29 $19 $165 $175<br />

elec. $27 $17 $23 $13 $130 $140<br />

print
 &
 elec.<br />

$41 $31 $35 $25 $200 $210


Los Angeles Attractions<br />

The
s tars
o nly
co me
 out
at 
n ight
 to
e njoy
t he
m usic,
d ining,
t heatre,
s hopping,
m useums
an d
t he<br />

culture
 of
 Los
 Angeles.
 The
e ndless
 attractions
i n
 the
 Los
A ngeles
a rea
 will
p rovide
 entertainment<br />

and
 sumptuous
 dining
 experiences
 into
 the
 wee
 hours
 of
 the
 evening.
 
 Los
 Angeles
 is
 a
 city
 heavily<br />

dominated
 by
 the
 "vagaries
 of
 hipness".
 In
 a
 city
 where
 the
 course
 of
 our
 cultural
 tastes
 are<br />

conceived
 and
 given
 birth,
 drive
 to
 one
 of
 the
 many
 quaint
 or
 trendy
 restaurants,
 first
 class<br />

theater
 productions,
 movies,
 concerts
 or
 clubs
 and
 professional
 sporting
 events.
 
 Shop
 ‘til
 you<br />

drop,
 or
 tour
 one
 of
 the
 many
 fine
 art
 museums
 including
 the
 LA County
 and
 Getty
 Museums.
 A<br />

short
 15
 minute
dr ive
 from
th e
do wntown
 area
 will
 take
 you
 to
th e
 breathtaking
 Santa
M onica
or<br />

Venice
be ach
 for
 a
 sundry
of 
 outdoor
ac tivities
 and
 fine
 beach
 dining.<br />

If
 you
 prefer
t o
v isit
 the
d owntown
c ultural
 sites,
 Little
 Tokyo
 and
O livera
 Street,
 7th
M arket
 Place<br />

and
D odger
 Stadium
 
 are
a 
 short
 distance
f rom
t he
L os
 Angeles
C onvention
 Center.
 
A nd
 don’t
 forget<br />

a
 Universal
 City
 tours
 or
 Mann’s
 Chinese
 Theatre
 in
 Hollywood
 where
 you
 can
 match
 your
 footprints
 to<br />

the
 glamorous
 stars
 who
 have
 stirred
 our
 imagination
 and
 delighted
 us
 with
 their
 colorful
 escapades.<br />

There’s
 something
 for
 everyone
 in
 Los
 Angeles.
 Please
 visit
 the
 Los
 Angeles
 web
 site
 at<br />

www.losangeles.com
 for
 a
 complete
 listing
 of
 all
 activities
 in
 the
 area.<br />

<strong>37th</strong>
 <strong>DAC</strong>
 Party Wednesday,
 June
 7,
 7:00PM
 -
 10:00PM<br />

Pool
 Deck
 and
 Beer
 Garden
 (outdoors)
 at
 the
 Westin
 Bonaventure
 Hotel<br />

Enjoy
 a
 Taste
 of
 L.A.
 and
 dancing
 at
 the
 <strong>DAC</strong>
 party
 this
 year.

 Your
 tour
 of
 local
 cuisines
 starts<br />

on
 "Olivera
 Street"
 for
 fajitas,
 continuing
 on
 to
 "China
 Town/Little
 Tokyo"
 for
 Teriyaki
 and<br />

wontons.

 There
 are
 pasta,
 vegetable
 and
 cheese
 selections
 as
 well
 as
 an
 antipasto
 bar.

 The<br />

party
 includes
 dinner,
 dessert,
 drinks
 and
 dancing.

 The
 music
 will
 be
 provided
 by
 <strong>DAC</strong>
 favorite,<br />

the
 band
 Scandal.<br />

Guest/Family
Program<br />

A
 $45
 registration
 fee
 will
 admit
 each
 guest
 or
 family
 member
 to
 the
 following:<br />

1. Tuesday
 night
 Cocktail
 Party
 on
 the
 Galaxy
 Patio,
 Los
 Angeles
 Convention
 Center.<br />

2. Wednesday
 night
 <strong>37th</strong>
 <strong>DAC</strong>
 Party
 in
 the
 Westin
 Bonaventure.<br />

3. Use
 of
 the
 complimentary
 shuttle
 bus
 services
 between
 all
 <strong>DAC</strong>
 participating
 hotels
 and
 the<br />

Los
 Angeles
 Convention
 Center.<br />

4. Admission
 to
 the
 exhibit
 hall
 when
 accompanied
 by
 an
 attendee.<br />

REGISTRATION
 for
 the
 Guest/Family
 Program
 will
 be
 at
 the
 Conference
 Registration
 desk
 on<br />

Sunday,
 June
 4
 through
 Wednesday,
 June
 7.
 A
 badge
 will
 be
 provided
 for
 each
 registered
 guest<br />

or
 family
 member.
 This
 badge
 must
 be
 worn
 to
 participate
 in
 the
 above
 activities.
 Look
 for
 the<br />

Guest
 Registration
 sign
 in
 the
 registration
 area.
 Children
 under
 the
 age
 of
 14
 are
 not
 allowed
 in<br />

the
 exhibit
 hall
 or
 demo
 suite
 area.<br />

Weather<br />

Los
 Angeles
 weather
 conditions
 in
 June
 are
 quite
 pleasant.

 It
 is
 usually
 overcast
 in
 the
 morning,<br />

dissipating
b y
N oon.
I t
i s
n ot
ho t
-
t emperatures
a re
in 
th e
 mid
t o
h igh
 70's
F, 
lo ws
in 
th e
60 's
F.<br />

There
 is
 very
 little
 precipitation
 and
 sometimes
 it
 is
 a
 bit
 humid.

 Santa
 Ana
 conditions
 (wind)
 do<br />

not
 usually
 occur
 in
 June.

 Attire
 is
 casual
 for
 most
 events
 and
 restaurants.<br />

65


t
r
a
n
s
p
o
r
t
a
t
i
o
n<br />

66<br />

Busing<br />

Conference
 Shuttle
 Bus
 Service - Complimentary
 shuttle
b us
s ervice
 is
p rovided
f or
a ll<br />

<strong>DAC</strong>
 registered
 conference
 attendees,
 exhibitors,
 and
 guest
 program
 participants.
 Day
 and<br />

Evening
 Route
 busing
 will
 be
 provided
 to
 and
 from
 the
 Los
 Angeles
 Convention
 Center
 and
 all<br />

participating
 <strong>DAC</strong>
 hotels.
 Hours
 will
 be
 extended
 to
 accommodate
 the
 <strong>DAC</strong>
 Demo
 Suite
 attendees.<br />

Wednesday
 Night
 Party
 -
 At
 7:00
 PM
 Wednesday
 night
 the
 buses
 will
 run
 from

 all
 <strong>DAC</strong><br />

hotels
 to
 the
 Westin
 Bonaventure
 until
 1:00
 AM.<br />

Day
 Route
 Schedule (service
 every
 10-15
 minutes)<br />

Sunday,
 June
 4 2:00
 PM
 -


 6:30
 PM<br />

Monday,
 June
 5 7:00
 AM
 - 11:00
 PM<br />

Tuesday,
 June
 6 7:00
 AM
 - 11:00
 PM<br />

Wednesday,
 June
 7 7:00
 AM
 - 1:00
 AM
<br />

Thursday,
 June
 8 7:00
 AM
 -


 7:00
 PM<br />

Busing
 Routes
 and
 Designated
 Stops<br />

ROUTE
 1 PICK-UP
 LOCATION<br />

Westin
 Bonaventure
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.Figueroa
 Street
 Entrance<br />

Los
 Angeles
 Marriott
 Downtown 
.
.
.
.
.
.
.
.At
 the
 Westin
 Bonaventure<br />

ROUTE
 2<br />

Holiday
 Inn
 City
 Center 
.
.
.
.
.
.
.
.
.
.
.
.
.Front
 Entrance
 -
 Curbside<br />

Holiday
 Inn
 Downtown 
.
.
.
.
.
.
.
.
.
.
.
.
.
.Garland
 Street
 -
 Opposite
 Sidewalk<br />

Best
 Western
 Mayfair 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.Front
 Entrance
 -
 Curbside<br />

ROUTE
 3<br />

Los
 Angeles
 Athletic
 Club 
.
.
.
.
.
.
.
.
.
.
.
.Olive
 Street
 -
 Curbside<br />

Regal
 Biltmore
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.Grand
 Avenue<br />

Wyndham
 Checkers
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.At
 the
 Regal
 Biltmore<br />

ROUTE
 4<br />

Kawada 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.2nd
 Street
 -
 Passenger
 Zone<br />

New
 Otani
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.Los
 Angeles
 Street
 -
 Front
 Bus
 Zone<br />

Miyako
 Hotel
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.Front
 Entrance
 -
 Curbside<br />

Hotel
Inter-Continental
.
.
.
.
.
.
.
.
.
.
.
.
.Front
 Entrance
 -
 Curbside<br />

ROUTE
 5<br />

Wilshire
 Grand 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.7th
 Street
 Entrance<br />

Hyatt
 Regency
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.Hope
 Street
 -
 Curbside<br />

ROUTE
 6<br />

Radisson
 Midtown 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.Front
 Entrance<br />

ROUTE
 7<br />

Wilshire
 Royale 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.Front
 Entrance
 on
 Wilshire
 Blvd.<br />

Radisson
 Wilshire
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.Front
 Entrance
 on
 Wilshire
 Blvd.


Air Transportation/Rental Cars<br />

A
 5%
 discount
 off
 the
 lowest
 applicable
 fare
 will
 be<br />

offered
 ONLY
 when
 you
 or
 your
 travel
 agent
 call
 United’s
 toll-free
 number
 1-800-521-4041
 and<br />

refer
 to
 the
 Meeting
 ID
 Number
 5
4
3
Z
L.
 A
 10%
 discount
 off
 the
 unrestricted
 mid-week
 coach<br />

fares
 is
 available
 when
 purchased
 7
 days
 in
 advance.
 An
 additional
 5%
 discount
 will
 apply<br />

when
 you
 purchase
 your
 tickets
 at
 least
 60
 days
 in
 advance
 of
 your
 travel
 date.
 D
i
s
c
o
u
n
t
s<br />

apply
o n
U nited,
 Shuttle
b y
 United,
a nd
 United
 Express.
 Dedicated
r eservationists
a re
o n
d uty<br />

7
 days
 a
 week,
 7:00am
 –
 12:00
 midnight
 ET.
 Book
 early
 to
 take
 advantage
 of
 promotional
 fares<br />

that
 give
 you
 the
 greatest
 discount.
 Mileage
 Plus
 members
 receive
 full
 credit
 for
 all
 miles
 flown<br />

to
 this
 meeting.
T o
q ualify,
 travel
 to
 Los
A ngeles,
 CA
 (LAX)
 must
 be
 between
M ay
 27
 and
 June<br />

13,
 2000.
 There
ar e
8
 flights
a
 day
 from
 San
 Jose
 to
 LAX
 starting
 at
 7:00AM.<br />

You
 may
 purchase
 your
 ticket
 from
 a
 travel
 agent
 or
 by
 calling
 United
 Airlines
 personally.<br />

Whichever
 means
 of
 ticketing
 you
 choose,
 the
 special
 <strong>DAC</strong>
 fares
 can
 only
 be
 obtained
 when<br />

reservations
 are
 initiated
 by
 telephone
 through
 the
 toll-free
 number
 listed
 above
 using
 the<br />

<strong>DAC</strong> code
543ZL.<br />

Rental
 Cars<br />

This
 year
 <strong>DAC</strong> has
 contracted
 discounted
 rates
 with
 Budget
 Car
 Rental.
 To
 receive
 this
 rate
 mention<br />

the
D AC
r eference
# U060179
 when
m aking
 your
r eservation.
B udget
C ar
 Rental
1 -800-826-2090.<br />

Car
 Class Daily Weekly<br />

Compact $33 $165<br />

Full
 Size
 4-Door $43 $215<br />

Luxury $63 $315<br />

Getting
 from
t he
 Airport<br />

Super
 Shuttle
 
 can
 conveniently
 provide<br />

transportation
 from
 the
 Los
 Angeles<br />

International
 Airport
 to
 the
 area
 hotels.<br />

Proceed
 to
 shuttle
 departure
 area,
 outside<br />

Baggage
 Claim
 (lower
 level).
 Contact
 the<br />

Super
 Shuttle
 representative
 on
 the
 center<br />

traffic
 island
 to
 obtain
 a
 ticket.
 Return<br />

Shuttle
 Reservations:
 1-310-782-6600.<br />

Ticket Pricing 1 Way - $12.00<br />

Round Trip - $24.00<br />

Taxis
 are
 readily
 available
 from
 the
 airport<br />

to
 the
 Los
 Angeles
 Convention
 Center
 and<br />

should
 cost
 approximately
 $27.00.<br />

t
r
a
n
s
p
o
r
t
a
t
i
o
n<br />

67


h
o
u
s
i
n
g<br />

68<br />

Housing<br />

Handicapped
 Access/Special
 Needs<br />

The
 Conference
 policy
 has
 always
 been
 to
 fully
 cooperate
 with
 any
 attendee
 who
 has
 a<br />

special
 need
 or
 requirement.
 If
 you
 have
 a
 special
 need,
 we
 ask
 that
 you
 contact
 the
 <strong>DAC</strong><br />

office
 at
 (303)
 530-4333
 so
 that
 we
 may
 personally
 handle
 this
 matter
 for
 you.
 If
 you<br />

require
 special
 hotel
 accomodations,
 please
 indicate
 that
 on
 your
 hotel
 reservation
 form.<br />

Housing<br />

THE
 <strong>DAC</strong>
 OFFICE
 WILL
N OT
H ANDLE
 ROOM
R ESERVATIONS.<br />

ALL
 ROOM
 RESERVATIONS
 MUST
 BE
 MADE
 DIRECTLY
 WITH
 THE
<br />

<strong>DAC</strong> HOUSING
 BUREAU/ITS.<br />

<strong>DAC</strong> HOUSING BUREAU/ITS phone: (800) 424-5249 (US and Canada)<br />

108 Wilmot Rd. (847) 940-2155 (International)<br />

P.O. Box 825, Ste. 400 fax: (800) 521-6017 (US and Canada)<br />

Deerfield, IL 60015-0825 (847) 940-2386 (International)<br />

Sixteen
 hotels
 in
 Los
 Angeles
 are
 participating
 with
 discounted
 room
 rates
 for
 the
 <strong>37th</strong><br />

<strong>DAC</strong>.
 A
 hotel
 reservation
 form
 is
 on
 page
 70.
 Make
 your
 reservations
 now.<br />

AVAILABLE HOUSING FILLS QUICKLY!<br />

MAKE YOUR RESERVATIONS EARLY!<br />

Reservation
 requests
 will
 be
 handled
 on
 a
 first-come
 first-served
 basis
 by
 the
 <strong>DAC</strong><br />

Housing
 Bureau/ITS.
 A
 deposit
 of
 $150
 payable
 to
 the
 <strong>DAC</strong>
 Housing
 Bureau/ITS
 is<br />

required.
 Confirmations
 will
 be
 made
 by
 ITS.
 The
 special
 <strong>DAC</strong>
 rates
 will
 be
 honored
 on
 all<br />

reservations
 made
 by
 May
 5,
 2000,
 pending
 availability.<br />

<strong>DAC</strong>
 Housing
 Bureau/ITS
 will
 attempt
 to
 make
 your
 reservations
 as
 indicated
 by
 the<br />

choice
 of
 hotels
 you
 specify.
 If
 the
 hotels
 you
 choose
 are
 not
 available,
 ITS
 will
 make<br />

your
 reservation
 at
 an
 available
 Conference
 hotel.<br />

Before
 sending
 hotel
 reservations,
 check
 availability

 on
 the
 web
 at<br />

www.dac.com/hotav.html.<br />

See
 page
 69
 for
 a
 map
 of
 the
 Los
 Angeles
 hotels.<br />

N O T E : All meetings and exhibits will be at the Los Angeles Convention Center, with the<br />

exception of the Wednesday <strong>DAC</strong> Party and Birds-of-a-Feather Meetings held at the We s t i n<br />

B o n a v e n t u r e. All full-day tutorials will be held at the Los Angeles Convention Center.


1.
 Los
 Angeles
 Convention<br />

Center/STAPLES
Center<br />

3.
 The
 Westin
 Bonaventure
<br />

4.
 Wilshire
 Grand<br />

5.
 The
 Regal
 Biltmore<br />

6.
 Hyatt
 Regency
<br />

Los
 Angeles<br />

7.
 Hotel
 Inter-Continental<br />

at
 California
 Plaza<br />

8.
 Los
 Angeles
 Marriott<br />

9.
 Wyndham
 Checkers
 Hotel<br />

10.
 Holiday
 Inn
 City
 Center<br />

13.
 The
 New
 Otani
<br />

Hotel
 &
 Garden<br />

14.
 Kawada
 Hotel<br />

15.
 Holiday
 Inn
 L.A.<br />

Downtown<br />

16.
 Best
 Western
 The<br />

Mayfair
 Hotel<br />

17.
 The
 Los
 Angeles
<br />

Athletic
 Club<br />

19.
 Miyako
 Inn
 &
 Spa<br />

20.
 Shrine
 Auditorium<br />

21.
 Exposition
 Park/CA<br />

Science
 Center<br />

22.
 Music
 Center<br />

23.
 Dodger
 Stadium<br />

24.
 Wilshire
 Royale
 HJ<br />

25.
 Radisson
 Wilshire
 Plaza<br />

Los Angeles Hotel Map<br />

h
o
u
s
i
n
g<br />

69


70<br />

Hotel Reservation Form<br />

Hotel reservations, changes, and cancellations are handled by <strong>DAC</strong> Housing Bureau/ITS prior to May 5, 2000. All reservations<br />

are made on a first-come first-served basis. The following hotels are available:<br />

Before sending hotel reservations, check availability on the web at w w w. d a c . c o m / h o t a v. h t m l.<br />

Or call 1-847-940-2155, or 1-800-424-5249.<br />

HOTEL RATE HOTEL RATE<br />

(prioritize your choices) (choose one) (prioritize your choices) (choose one)<br />

Single Double<br />

___Best Western (The Mayfair Hotel) $108 $108<br />

___Holiday Inn City Center $122 $135<br />

___Holiday Inn Downtown $114 $114<br />

___Hotel Inter-Continental $155 $155<br />

___Hyatt Regency LA $146 $171<br />

___Kawada $102 $102<br />

___LA Atheletic Club $130 $130<br />

___Marriott Downtown $140 $155<br />

___Miyako Inn & Spa $105 $105<br />

* (Headquarter Hotel)<br />

Above rates honored only if your reservation is made by May 5, 2000.<br />

The <strong>DAC</strong> Housing Bureau/ITS will attempt to make your reservations as indicated by the choice of hotel(s) you specify. If the<br />

hotel(s) you choose are not available, the <strong>DAC</strong> Housing Bureau/ITS will make your reservation at an available Conference hotel.<br />

R E S E RVATIONS SENT TO THE <strong>DAC</strong> OFFICE WILL BE DISCARDED!<br />

Send deposit check or credit card information with completed form to:<br />

Single Double<br />

___The New Otani $135 $135<br />

___Radisson Wilshire Plaza $135 $145<br />

___Regal Biltmore Hotel $147 $157<br />

___* Westin Bonaventure $146 $164<br />

___Wilshire Grand (Standard) $138 $138<br />

___Wilshire Grand (Deluxe) $160 $160<br />

___Wilshire Royal HJ Plaza $105 $105<br />

___Wyndham Checkers $149 $149<br />

<strong>DAC</strong> Housing Bureau/ITS phone: (800) 424-5249 (US and Canada)<br />

108 Wilmot Rd. (847) 940-2155 (International)<br />

P.O. Box 825, Ste. 400 fax: (800) 521-6017 (US and Canada)<br />

Deerfield, IL 60015-0825 (847) 940-2386 (International)<br />

All reservations will be processed by mail, toll-free phone and fax. Hours of operation are 8:00A M - 5:00 P M C S T.<br />

Name ________________________________________________________________________________________<br />

Company ______________________________________________________________________________________<br />

Phone __________________________________________Fax: __________________________________________<br />

Mailing Address__________________________________________________________________________________<br />

City __________________________________________State ____________Zip __________________________<br />

E-mail Address __________________________________________________________________________________<br />

Arrival Date ______________ Departure Date ______________ Sharing with ______________________________<br />

Room Type ❏ single 1 bed ❏ double 1 bed ❏ double 2 beds ❏ triple ❏ quad ❏ ADA accessible room requested<br />

special request (ie smoking) _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _Reservations will be confirmed by the <strong>DAC</strong>/ITS Housing Bureau.<br />

CREDIT
 CARD
 INFORMATION AMEX______Visa______MC______Discover______Diners______<br />

Number
_______________________________________________________________________Exp.
 Date________________________<br />

Cardholder Name ________________________________________________________________________________<br />

(Please type or print clearly)<br />

Cardholder Signature ____________________________________________________________________________<br />

Your credit card will be billed a deposit in the amount of $150/room. If you prefer to guarantee by check, make check<br />

payable to <strong>DAC</strong> Housing Bureau/ITS. Check must be in U.S. dollars drawn from a U.S. bank, wire transfers will not be<br />

accepted. Please check <strong>DAC</strong> Housing Bureau/ITS confirmation for cancellation policies.<br />

All changes should be processed by phone or fax with the <strong>DAC</strong> Housing Bureau/ITS until 5/5/00.<br />

Cancellations occurring after 5/14/00 and prior to 72 hrs. of arrival date are to go directly to the hotel. Attendee will receive t h e<br />

deposit less a $20.00 processing fee. Cancellations made less than 72 hrs. of arrival date will lose the complete deposit.


Index<br />

About
 the
 Conference
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.4<br />

Airline
Transportation 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.6 7<br />

Best
 Paper
 Awards 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.3<br />

Birds-of-A-Feather 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
. 21<br />

Busing
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
. 66<br />

Conference
Registration
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
. inside
 back
 cover<br />

Conference
 Survey 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.3<br />

Convention
 Center / Hotel
 Key
 Map 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.6 9<br />

<strong>DAC</strong>net-2000 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.5<br />

Demo
 Suite
 Hours 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
. 2<br />

Electronic
 Design
 Automation
 Liaison
 Committee
 (ELC) 
.
.
.
.
.
.
.
.
.
.
.
.
.
. 59<br />

Executive
 Committee 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
. inside
 front
 cover<br />

Exhibit-Only 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
. 5<br />

Exhibit
 Highlights 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
. 2<br />

Exhibit
 Hours 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.3<br />

Exhibitor
 Listing 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
. 6-7<br />

Exhibitor
 Presentation
 Matrix
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.8<br />

Exhibitor
Presentations 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.9 - 1 7<br />

Free
 Monday 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.2,
 5<br />

Guest
 Program 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.6 5<br />

Hotel
 Reservation
 Form 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
. 70<br />

Housing
 Information/Handicapped
 Access 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.6 8<br />

Important
 Information
 at
 a
 Glance
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.3<br />

Interoperability
Workshop 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
. 20<br />

Los
 Angeles
 Attractions/Weather 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
. 65<br />

New
 to
 Conference 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.4<br />

Opening
 Keynote
 Address
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
. 22<br />

Ph.D
 Forum/SIGDA
 Member
 Meeting 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.2 1<br />

Proceedings 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
. 64<br />

Program
 Highlights 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.1<br />

Program
 Session
 Matrices 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.2 4-26<br />

Registration
 Hours 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.3<br />

Registration
Information
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
. 72<br />

Rental
 Cars 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
. 67<br />

Society
 Membership
 Applications 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.6 1-62<br />

Sponsorship
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.6 0-63<br />

Student
 Design
 Contest
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
. 21<br />

Technical
 Program
 Committee
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.5 6-58<br />

Technical
 Sessions 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.2 8 - 4 9<br />

Thursday
 Keynote
 Address 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
. 23<br />

Tutorial
Descriptions/Schedule 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.5 0-55<br />

Wednesday
N ight
D AC
P arty
 .
 .
 .
 .
 .
 .
 .
 .
 .
 .
 .
 .
 .
 .
 .
 .
 .
 .
 .
 .
 .
 .
 .
 .
 .
 .
 .
 .
 .
 .
 .
 .
 .
 .
 .
 .
 .6
5<br />

Workshop
 for
 VLSI
 Design
 Educators 
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
. 19<br />

Workshop
 for
 Women
 in
 Design
 Automation
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.1 8<br />

i
n
d
e
x<br />

71


72<br />

Registration Instructions<br />

7
 Easy
 Steps<br />

1
. Complete
Att endee
 Information 7
. Submit
vi a
 fax,
 mail
 or
on-l ine
along
wi th
paym ent:<br />

2
. Complete
Mem bership
 Status<br />

3
. Complete
Tut orial/Workshop
Sel ection<br />

4
. Complete
Regis tration
 Options
<br />

5
. Complete
Paym ent
Inf ormation<br />

6
. Complete
Att endee
 Survey<br />

<strong>37th</strong>
 Design
Au tomation
Conf erence<br />

Attn:
Regis tration
Desk<br />

5305
Spi ne
 Rd.,
 Ste.
A<br />

Boulder,
CO
 
8030 1<br />

TEL
#
(303)
 530-4333<br />

FAX
#
(303)
 530-4334<br />

FOR
INF ORMATION
ONLY, 
CALL
(800) 
 321-4573.<br />

A
. Payment
 MUST
be
 included
wi th
the
reg istration
form 
 or
it 
 WILL
be
 discarded.<br />

B
. Registration
 may
 be
 charged
 to
 Visa,
 Mastercard
 or
 American
 Express.
 For
 credit
 card
 payment
 include<br />

the
 complete
credi t
card
number, 
 expiration
date, 
 and
name
 on
the
card.
<br />

C
. If
pay ment
i s
rec eived
from 
 a
non- U.S.
bank, 
 the
att endee
 will
b e
c harged
a
 collection
 fee
of
$30.00.
<br />

D
. May
 8,
 2000,
is
the
DEADLINE to
 qualify
 for
 the
 advanced
 registration
 rate.
 Payment
 transactions
 must<br />

be
 completed
 on
 or
 before
 May
 8,
 2000
 in
 order
 to
 receive
 the
 early
 registration
 rate.

 After
 May
 8,<br />

2000,
 early
 registrants
 with
 incomplete
 payment
 information
 will
 be
 discarded
 and
 be
 required
 to<br />

register
 at
 conference.<br />

E
. The
 <strong>DAC</strong>
 office
 will
 continue
 to
 accept
 registrations
 until
 May
 15,
 2000,
 at
 the
 at-conference
 rate.<br />

After
May 
 15,
 2000,
 all
regis tration
mus t
be
 done
at
conf erence.<br />

F
. Register
 ONE
 person
 per
form 
 (copy
form 
 as
 needed).<br />

G
. Refund
 Policy:
 
 Written
 requests
 for
 cancellations
 must
 be
 received
 in
 the
 <strong>DAC</strong>
 office
 by
 May
 8,
 2000,<br />

and
 are
 subject
 to
 a
 $25.00
 processing
 fee.
 Cancellations
 received
 after
 May
 8,
 2000,
 will
 NOT
 be<br />

honored
and
 all
regis tration
fees 
 will
 be
forf eited.<br />

H
. Membership
number
m ust
be
inc luded
at 
ti me
 of
s ubmission
t o
recei ve
t he
members hip
rate.
No
ref unds<br />

will
 be
 made
 for
 change
 in
 membership
 status. If
 you
 would
 like
 information
 on
 becoming
 an
 IEEE
 or
 ACM<br />

member,
 refer
 to
 pages
 60
-
62 .<br />

S
t
u
d
e
n
t
s<br />

A
 special
 student
 rate
 applies
 to
 individuals
 who
 are
 members
 of
 IEEE
 or
 ACM
 and
 are
 currently
 enrolled
 in<br />

school.
 
 Students
 who
 advance
 register
 must
 include
 a
 valid
 IEEE
 or
 ACM
 student
 membership
 number
 and
 a<br />

valid
s tudent
I D.
 
S tudents
reg istering
 at
co nference
m ust
pres ent
a
 valid
I EEE
o r
ACM 
m embership
c ard
and<br />

a
 Student
 ID.
 
 Student
 registration
 includes
 a
 copy
 of
 the
 proceedings;
 it
 does
 NOT
 include
 any
 social
 events.<br />

One/Two
 Day<br />

One/Two-Days
 Only
 registration
 includes:
 the
 day(s)
 you
 select
 for
 the
 Technical
 Conference,
 all
 three
 days<br />

of
 the
 Exhibits
 and
 the
 proceedings
 in
 both
 the
 hard
 bound
 edition
 and
 CD-ROM.
 
 One/Two-days
 only<br />

registration
 does
 NOT
 include
 any
 social
event s.<br />

T
u
t
o
r
i
a
l
s<br />

Full-day
 tutorials
 are
 offered
 on
 Friday,
 June
 9,
 2000.
 You
 must
 register
 for
 at
 least
 one
 day
 of
 the<br />

Technical
 Conference
 to
 attend
 a
 tutorial. Tutorial
 registration
 fee
 includes:
 continental
 breakfast,<br />

lunch,
 breaks,
and
tut orial
n otes.
S ee
 pages
50 -55
for
tut orial
desc riptions.
 
Space
i s
l imited
 to
the
fi rst<br />

125
 persons.
 If
 your
 tutorial
 selection
 is
 filled
 and
 you
 do
 not
 indicate
 a
 second
 choice
 on
 the
 registration<br />

form,
 we
 will
 refund
 the
 tutorial
 registration
 fee.
 For
 tutorial
 availability,
 check
 the
 <strong>DAC</strong>
 homepage
 at<br />

w
w
w
.
d
a
c
.
c
o
m
/
t
u
t
a
v
.
h
t
m
l.<br />

Registration
 Hours<br />

Sunday,
 June
 4,
 2000,
 the
 registration
 desk
 will
 be
open
 from
8:00
 AM
 to
10:00
 AM,
ONLY 
f or
t hose
 wishing<br />

to
 attend
 the
 Workshop
 for
 Women
 in
 Design
 Automation,
 the
 VLSI
 Teachers
 Workshop
 or
 the<br />

Interoperability
 Workshop.
The
regis tration
desk
wi ll
 be
open
 to
 all
from 
 3:00
PM
to
5:00
 PM.<br />

Register
 on
-
li ne
 at
 w
w
w
.
d
a
c
.
c
o
m
.

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!