10.07.2015 Views

ArrowARM Guide - Embedded Developer

ArrowARM Guide - Embedded Developer

ArrowARM Guide - Embedded Developer

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

SPRING2007ARROW ARM SOLUTIONS GUIDEARM Solutions www.arrownac.com/arm


ARM SUPPORTTable of ContentsIt’s a fact. Arrow Electronics is theonly distributor and approved trainingcenter for ARM tools in North America.Which means we can solve yourARM-powered design challengesefficiently and completely. Our broadline card features more than a dozenmajor silicon suppliers offering ARMtechnology and our innovative servicescan help you at every point in yourdesign cycle. Whether you needsupport for ARM software developmentor architecture, you can rely on Arrowto deliver up-to-date and accuratetechnical information from well-versedindustry experts. Arrow’s vast line card,services, and unparalleled expertisedeliver comprehensive ARM solutionsthat get you to market faster.4 Arrow Services and SolutionsARM CORES8 ARM7TDMI10 ARM920T12 ARM926EJ-S14 ARM966E-S16 ARM1136J(F)-S18 ARM Cortex-A820 ARM Cortex-M322 ARM CortexR4(F)24 Intel XScale ®ARM SUPPLIERS28 Analog DevicesADuC7xx30 AtmelAT91SAM7 | AT91SAM934 Freescalei.MX3136 Intel ®Intel ® Network Processors and Intel ® I/O Processors38 Luminary MicroStellaris ® Family40 NXPLPC210x | LPC23xx and LPC24xx | LPC247846 STMicroelectronicsSTR7 and STR9 Families | STR730F | STR710F | STR750F |STR910F54 Texas InstrumentsDaVinciTOOLS58 IARIAR <strong>Embedded</strong> Workbench Version 4.41 for ARM60 KeilThe Keil RealView Microcontroller Development Kit62 ARMRealView Tools by ARMArrow Electronics ARM Solutions1-866-910-3650 www.arrownac.com/arm


4 |ARM Support from Concept through ProductionArrow solves your ARM challenges through outstanding technical support, training, and seminars designed to address yourspecific ARM requirements. We’re here to help you navigate at every point in the design cycle.Engineering Expertise—the Right Teamfor the Job Arrow’s Field Applications Engineers (FAEs) provide expertsupport for all your design requirements, no matter where youare located. Our FAEs undergo monthly ARM training andconstantly deliver the latest technical overviews of ARMtechnologies, so you can rest assured the information youreceive is accurate, up-to-date, and relevant.The Industry’s Only ARM Training Center Arrow is the “go-to source” for ARM training. It’s the onlyapproved ARM training center in North America and theonly distributor for ARM tools. You can turn to us for relevanttechnical information conveyed by seasonedtrainers because our team draws from theworld’s largest and most experienced poolof ARM technology experts. Our fieldtrainers provide multi-day classes thatdive deep into ARM architectures andsurrounding development tools. Theseclasses can be conducted at any Arrowbranch or customer location and give you access to qualitytechnical training available only from Arrow and ARM.To register or for more information, go towww.arrownac.com/arm.Arrow Technical Solutions Forum (ATSF): ARM Seminar Series for Cost-SensitiveApplicationsThis seminar series offered by Arrow addresses embeddedcustomers’ demand for ARM technology and supports youremerging requirements.ARM offers some of thebest solutions for balancingthe needs for high performance,high integration, low power, and small die sizes(low cost). This ARM technology seminar series providesvaluable solutions that get you to market faster. Visitwww.arrownac.com/atsf for more information.ARM Seminar Series for High-EndApplicationsARM technology is widely used in high-performance applicationsthat require the most from a processor yet need to maintain alow power profile. This seminar series is aimed at applicationsthat utilize media, complex user interfaces, and computationalintensiveapplications on large data segments. The higher endof the industrial, medical, transportation, and other commercialmarkets is addressed, providing you with valuable, effectivesolutions. For more information, visit www.arrownac.com/atsf.<strong>Embedded</strong><strong>Developer</strong>.com Finding the right ARM solution has never been easier.With <strong>Embedded</strong><strong>Developer</strong>.com, you can search ARMdevices by core type, peripheral sets, price, and manyother specifications. Compare and contrast device functions,download specifications and datasheets, and even go tothe Arrow shoppingcart and buy the bestdevelopment toolFIND. COMPARE. BUY.solution on-line.For information on Arrow’s ARM training and seminars, visit www.arrownac.com/arm or call 1-866-910-3650.Arrow Electronics ARM Solutions1-866-910-3650


| 5Innovative Arrow ServicesAccess to comprehensive ARM offerings and leading suppliers is complemented by Arrow services that go far beyondgetting you the components you need. Our engineering services, on-line development tool selection process, complimentarydevelopment tool evaluation program, supply chain solutions, and custom logic solutions ensure the success of your designfrom concept to production.Arrow Consulting Engineering Services The Arrow Consulting Engineering Services (ACES) programputs you in touch with pre-screened, qualified, and certifiedthird-party solutions and designservices companies so you cansave time, effort, and resources.The superior core competenciesof our partners allow them toprovide complete outsourceddesigns—while allowing you tofocus on your core competency.Arrowdevtools.com Find the best reference designs and evaluation tool solutionswith arrowdevtools.com—an on-line development tool selectionand purchasingprocess that gives youaccess to a vast rangeof development tools.This proprietaryparametric search engine allows you to narrow your tool searchquickly and intuitively to the unique tool you need to keep yourdevelopment on track. Browse and compare different solutionsand then conveniently and confidently purchase your tool forimmediate delivery from arrowdevtools.com on-line or throughArrow’s sales team. Arrowdevtools.com offers everything youneed to move your project rapidly to completion.Testdrive SM Arrow’s Testdrive tool evaluation program helps you save timeand money on your designs. The program allows you to try toolsbefore you buy them, free of charge for 21 days. You can test avast selection of toolsfrom all the majorsemiconductor supplierswithout impacting yourbudget. Additionally,Arrow’s Field Applications Engineers are familiar with the toolsoffered through Testdrive and can work through any issues thatmay arise, saving you precious resources and giving you accessto some of the industry’s leading expertise.Supply Chain Solutions For decades, Arrow has successfully managed one of the mostcomplex supply chains in the world, allowing us to offerunmatched insight and expertise. Our services, which includecollaborative material planning tools, vendor managed inventoryprograms, performance analysis services, materials managementprograms, and electronic communication services, can supportyour needs throughout a product’s entire lifecycle—from thetechnical discovery stage to design and prototype development,and through production and product end of life.Custom Logic Solutions Arrow’s Custom Logic Solutions group has partnered withindustry leaders to meet your custom logic needs with theright combination of vendor technology, design services, andintellectual property.Solutions range fromsmall FPGAs tostructured ASICs, tohighly complex standard cell ASICs. More than 130 localengineers and over 20 factory Custom Logic Solutionsengineers, as well as integrated staff from Arrow’s network ofdesign services partners, provide comprehensive design servicesthat help you get to market quickly with the right product at thelowest possible risk and cost. Custom Logic Solutions alsoextends engineering support into the IP space, enabling youto piece together complex SOCs (Systems On A Chip) withouthaving to be “experts at everything.”Global Programming Services More and more companies are relying on programmabledevices to improve performance, simplify design, reduce chipcount, and ease manufacturing. To help you keep up withconstant advances in technology, Arrow has developed GlobalProgramming Services to support procurement and the actualprogramming of your devices. Our services can give you greaterlevels of scheduling flexibility, reduce internal coordination andtracking, and avoid cost on capital equipment and staffing, toget you to market faster.For information on Arrow’s Innovative Services, visit www.arrownac.com/arm or call 1-866-910-3650.www.arrownac.com/arm


8 |ARM7TDMI and ARM7TDMI-SARM 32-Bit RISC Core with 16-Bit System CostsThe ARM7TDMI core is the industry’s most widely used 32-bit embedded RISC microprocessor. The ARM7TDMI-S is asynthesizable version of the ARM7TDMI. Optimized for cost- and power-sensitive applications, the ARM7TDMI solutionprovides the low power consumption, small size, and high performance needed in portable, embedded applications.The ARM7TDMI core is a 32-bit embedded RISC processor delivered as a hard macrocell optimized to provide the bestcombination of performance, power, and area characteristics. The ARM7TDMI core enables system designers to buildembedded devices requiring small size, low power, and high performance.The ARM7 family also includes the ARM7TDMI processor, the ARM7TDMI-S processor, the ARM720T processor, and theARM7EJ-S processor, each of which has been developed to address different market requirements.RISC Advantages The ARM architecture is based on the Reduced Instruction SetComputer (RISC) principles. The RISC instruction set andrelated decode mechanism are much simpler than those of theComplex Instruction Set Computer (CISC) designs. Thissimplicity has the following advantages:• A high instruction throughput• An excellent real-time interrupt response• A small, cost-effective, processor macrocellThe Instruction Pipeline • The instruction pipeline• Memory access• Memory interface• <strong>Embedded</strong>ICEA R M 7 T D M I - SControllogic32-bitALUCoprocessorInterfaceETM Interface<strong>Embedded</strong>ICE-RTlogicHigh-performancemultiplierBus Interface UnitThumbdecoderThe Instruction Pipeline The ARM7TDMI core uses a pipeline to increase the speed ofthe flow of instructions to the processor. This allows severaloperations to take place simultaneously.A three-stage pipeline is used, so instructions are executed inthree stages:• Fetch (the instruction is fetched from memory)• Decode (decoding of registers used in the instruction)• Execute (register/s read from register bank; shift and ALUoperations; write register/s back to register bank)During normal operation, while one instruction is being executed,its successor is being decoded and a third instruction is beingfetched from memory.Arrow Electronics ARM Solutions1-866-910-3650Memory Access The ARM7TDMI core has a Von Neumann architecture with asingle 32-bit data bus carrying both instructions and data. Onlyload, store, and swap instructions can access data from memory.This simplifies the internal logic of the processor memory interfaceusing less die area.Memory Interface The ARM7TDMI processor memory interface has been designedto allow performance potential to be realized while minimizing theuse of memory. Speed-critical control signals are pipelined toallow system control functions to be implemented in standardlow-power logic. These control signals facilitate the exploitationof fast-burst access modes supported by many on-chip andoff-chip memory technologies.


| 9<strong>Embedded</strong>ICE-RT Logic The <strong>Embedded</strong>ICE-RT logic provides integrated on-chip debugsupport for the ARM7TDMI core. You use the <strong>Embedded</strong>ICE-RTlogic to program the conditions under which a breakpoint orwatchpoint can occur.The <strong>Embedded</strong>ICE-RT logic contains a Debug CommunicationsChannel (DCC), which is used to pass information between thetarget and the host debugger. The <strong>Embedded</strong>ICE-RT logic iscontrolled through the Joint Test Action Group (JTAG) testaccess port.On execution, 16-bit Thumb instructions are transparentlydecompressed to full 32-bit ARM instructions in real timewithout performance loss.Applications • Industrial• Automotive• Personal audio (MP3, WMA, and AAC players)Features Architecture The ARM7TDMI processor has two instruction sets:• The 32-bit ARM instruction set• The 16-bit Thumb ® instruction setHaving both 32-bit ARM instructions and 16-bit Thumbinstructions gives the ARM7TDMI processor two advantages:instruction compression and higher performance over typical16-bit architectures.Microprocessor architectures traditionally have the samewidth for instructions and data. In comparison with 16-bitarchitectures, 32-bit architectures exhibit higher performancewhen manipulating 32-bit data and can access a large addressspace much more efficiently.Typically, 16-bit architectures have higher code density than32-bit architectures, but they have approximately half theperformance.The Thumb instructions implement a 16-bit instruction set on a32-bit architecture to provide:• Higher performance than a 16-bit architecture• Higher code density than a 32-bit architectureThe Thumb instruction set is a subset of the most commonlyused 32-bit ARM instructions. Thumb instructions are each16 bits long and have a corresponding 32-bit ARM instruction.This has the same effect on the processor model. Thumbinstructions operate with the standard ARM registerconfiguration, allowing excellent interoperability betweenARM and Thumb states.• 32-/16-bit RISC architecture (ARM v4T)• 32-bit ARM instruction set for maximum performanceand flexibility• 16-bit Thumb instruction set for increased code density• Unified bus interface; 32-bit data bus carries bothinstructions and data• Three-stage pipeline• 32-bit ALU• Very small die size and low power consumption• Fully static operation• Coprocessor interface• Extensive debug facilities:– <strong>Embedded</strong>ICE-RT real-time debug unit– JTAG interface unit– Interface for direct connection to <strong>Embedded</strong> TraceMacrocell (ETM)Benefits • Generic layout can be ported to specific processtechnologies• ARM and Thumb instruction sets can be mixed withminimal overhead to support application requirements forspeed and code density• Small die size reduces overall SoC area, cost, and powerconsumption• <strong>Embedded</strong>ICE-RT and optional ETM units enableextensive, real-time debug facilitiesPerformance Characteristics 0.18 µm 0.13 µm 0.090 µmSpeed Optimized Speed Optimized Speed OptimizedFrequency* (MHz) 115 133 236Area (mm 2 ) 0.59 0.26 0.18Power** (mW/MHz) 0.21 0.06 –*Worst-case conditions—0.18 µm process—1.62V, 125°C, slow silicon; 0.13 µm process—1.08V, 125°C, slow silicon; 90 nm process—0.9V, 125°C, slow silicon**Typical-case conditions—0.18 µm process—1.8V, 25°C, typical silicon; 0.13 µm process—1.2V, 25°C, typical silicon; 90 nm process—1V, 25°C, typical siliconwww.arrownac.com/arm


10 |ARM920THigh-Performance and Low-Power Platform OSThe ARM9TDMI processor core is a Harvard architecture device implemented using a five-stage pipeline consisting offetch, decode, execute, memory, and write stages. It can be provided as a standalone core that can be embedded intomore complex devices. The standalone core has a simple bus interface that allows you to design your own caches andmemory systems around it.The ARM920T processor is a member of the ARM9TDMI family of general-purpose microprocessors, which includes:• ARM9TDMI (core)• ARM940T (core plus cache and protection unit)• ARM920T (core plus cache and MMU)ARM920T Application Support Features The ARM9TDMI family of microprocessors supports both the32-bit ARM and 16-bit Thumb ® instruction sets, allowing youto trade off between high performance and high code density.The ARM920T processor is a Harvard cache architectureprocessor that is targeted at multi-programmer applicationswhere full memory management, high performance, and lowpower are all-important. The separate instruction and datacaches in this design are 16 KB each in size, with an eight-wordline length. The ARM920T processor implements an enhancedARM architecture v4 MMU to provide translation and accesspermission checks for instruction and data addresses.The ARM920T processor supports the ARM debug architectureand includes logic to assist in both hardware and softwaredebug. The ARM920T processor also includes support forcoprocessors, exporting the instruction and data buses alongwith simple handshaking signals.The ARM920T’s interface to the rest of the system is overunified address and data buses. This interface enablesimplementation of an Advanced Microcontroller Bus Architecture(AMBA), an Advanced System Bus (ASB), or an AdvancedHigh-performance Bus (AHB) scheme either as a fully compliantAMBA bus master, or as a slave for production test. TheARM920T processor also has a Tracking ICE mode, whichallows an approach similar to a conventional ICE mode ofoperation.The ARM920T processor supports the addition of an<strong>Embedded</strong> Trace Macrocell (ETM) for real-time tracing ofinstructions and data.ARM920T16KInstructioncacheMMUETM InterfaceARM9TDMIcoreWrite bufferControl Logic and Bus Interface UnitCoprocessorInterface16KDatacacheMMUAMBA AHB InterfaceArrow Electronics ARM Solutions1-866-910-3650


| 11Applications • Automotive infotainment• Industrial connectivity• Medical handheld• Platform OS-based devices• Next-generation smart phones,communicators, and PDA’s• 3G baseband and applications processor• Digital still camera• Audio and video decoding• Set-top boxFeatures • 32-/16-bit RISC architecture (ARMv4T)• 32-bit ARM instruction set for maximum performanceand flexibility• MMU which supports operating systems includingSymbian OS, Windows CE, Linux, and Palm OS• Instruction and data caches: ARM920T = 16K/16K,ARM922T = 8K/8K• Industry-standard AMBA bus interface• ETM interface for real-time trace capability with ETM9Benefits • Runs all major OS’s and existing middleware• Single development toolkit for reduced developmentcosts and shorter development cycle time• Multiple sourcing from industry-leading silicon vendors• Code-compatible upward migration path to ARM10Efamily• Excellent debug support for SoC designers• Instruction set can be extended by the use ofcoprocessors• 16-bit Thumb instruction set for increased code densityPerformance Characteristics 0.18 µM 0.13 µMSpeed OptimizedSpeed OptimizedFrequency* (MHz) 190-200 230-250Area with cache (mm 2 ) 11.80 4.70Cache size 16K/16K 16K/16KPower with cache** (mW/MHz) 0.80 0.25*Worst-case conditions—0.18 µm process—1.62V, 125˚C, slow silicon; 0.13 µm process—1.08V, 125˚C, slow silicon**Typical-case conditions—0.18 µm process–1.8V, 25˚C, typical silicon; 0.13 µm process—1.2V, 25˚C, typical siliconwww.arrownac.com/arm


12 |ARM926EJ-SARM926EJ-S Jazelle-Enhanced Macrocell ProcessorThe ARM926EJ-S fully synthesizable processor features a Jazelle-enhanced 32-bit RISC CPU, flexible sizeinstruction and data caches, Tightly Coupled Memory (TCM) interfaces, and a Memory Management Unit (MMU).It also provides separate instruction and data AMBA AHBTM interfaces particularly suitable for multi-layer AHB-basedsystems. The ARM926EJ-S processor implements the ARMv5TEJ instruction set and includes an enhanced 16 x 32-bitmultiplier, capable of single-cycle MAC operations. The instruction set includes 16-bit fixed-point DSP instructions toenhance performance of many signal processing algorithms and applications as well as supports Thumb ® and Java bytecodeexecution.The ARM926EJ-S processor is a member of the ARM9 family ofgeneral-purpose microprocessors. The processor is targeted atmulti-tasking applications where full memory management, highperformance, small die size, and low power are all important.ETM9 InterfaceThe processor supports the 32-bit ARM and 16-bit Thumbinstruction sets, enabling the user to trade off between high performanceand high code density. The ARM926EJ-S processorincludes features for efficient execution of Java byte codes, providingJava performance similar to JIT, but without the associatedcode overhead.InstructionTCM interfaceInstructioncacheMMUARM9EJ-ScoreDataTCM interfaceDatacacheMMUThe ARM926EJ-S processor supports the ARM debug architectureand includes logic to assist in both hardware and softwaredebug. The processor has a Harvard cached architecture andprovides a complete high-performance processor subsystem,including:• An ARM9EJ-S integer core• An MMU• Separate instruction and data AMBA AHB bus interfaces• Separate instruction and data TCM interfacesARM926EJ-SWrite bufferControl Logic and Bus Interface UnitCoprocessorAMBA AHB interfaceInterface Instruction DataThe ARM926EJ-S processor provides support for externalcoprocessors, enabling the addition of other floating-point orother application-specific hardware acceleration. The processorimplements ARM architecture version 5TEJ.The ARM926EJ-S processor is a synthesizable macrocell. Thismeans that you can optimize the macrocell for a particular targetlibrary, and you can configure the memory system to suit yourtarget application. You can individually configure the cache sizesto be any power of two between 4 KB and 128 KB.The tightly coupled instruction and data memories areinstantiated externally to the ARM926EJ-S macrocell, providingyou with the flexibility to optimize the memory subsystem forperformance, power, and particular RAM type. The TCMinterfaces enable non-zero wait-state memory to be attached,as well as provide a mechanism for supporting DMA.Arrow Electronics ARM Solutions1-866-910-3650


| 13Applications • Automotive infotainment• Audio and video decoding• Platform OS-based devices• Next-generation smart phones, communicators,and PDAs• 3G baseband and applications processor• Digital still cameraBenefits • Runs all major OS’s and existing middleware• Single-chip MCU, DSP, and Java solution• Support for leading Java run-times• High-efficiency Java bytecode execution• Ultra-low Java power consumption• Java JIT compiler performance without thedisadvantages• Jazelle support code has no increase in VM sizeFeatures • 32/16-bit RISC architecture (ARMv5TEJ)• 32-bit ARM instruction set for maximumperformance and flexibility• 16-bit Thumb instruction set for increased code density• DSP instruction extensions and single-cycle MAC• ARM Jazelle technology• MMU which supports operating systems includingSymbian OS, Windows CE, and Linux• Flexible instruction and data cache sizes• Instruction and data TCM interfaces withwait-state support• <strong>Embedded</strong>ICE-RT logic for real-time debug• Industry-standard AMBA bus AHB interfaces• Simple single-processor software structure, no needfor software partitioning across MCUs• Single development toolkit for reduced developmentcosts and shorter development cycle time• Multiple sourcing from industry-leading silicon vendors• Code-compatible upward migration path through tothe latest cortex family of processors• Process portable synthesizable design• Excellent debug support for SoC designers• Instruction set can be extended by the use ofcoprocessors• ARM-EDA Reference Methodology deliverablessignificantly reduce the time to generate a specifictechnology implementation of the core and to generateindustry-standard views and models• ETM interface for real-time trace capability with ETM9• Optional MOVE coprocessor delivers video encodingperformancePerformance Characteristics 0.18 µM 0.13 µM 90 nmSpeed Optimized Speed Optimized Area Optimized Speed Optimized Area OptimizedStandard cells SAGE-X SAGE-HS SAGE-X Advantage-HS MetroMemories HSHD HSHD HSHD Advantage MetroFrequency* (MHz) 200 276 238 500 250Area with cache (mm 2 ) 6.5 2.78 2.39 1.55 0.85Area without cache (mm 2 ) 3 1.61 1.45 1.05 0.50Cache size 8K/8K 8K/8K 8K/8K 8K/8K 8K/8KPower with cache** (mW/MHz) – – 0.48 0.29 0.14Power without cache** (mW/MHz) – – 0.36 0.24 0.11*Worst-case conditions—0.18 µm process—1.62V, 125˚C, slow silicon; 0.13 µm process—1.08V, 125˚C, slow silicon; 90 nm process—0.9V, 125˚C, slow silicon**Typical-case conditions—0.18 µm process—1.8V, 25˚C, typical silicon; 0.13 µm process—1.2V, 25˚C, typical silicon; 90 nm process—1V, 25˚C, typical siliconwww.arrownac.com/arm


14 |ARM966E-S<strong>Embedded</strong> Core with Flexible Memory System and DSP Instruction Set ExtensionsThe ARM966E-S processor is targeted at a wide range of embedded applications where high performance, low systemcost, small die size, and low power are all important. The ARM966E-S macrocell is a fully synthesizable 32-bit RISCprocessor aimed specifically at embedded hard real-time applications. The core implements the ARMv5TE instructionset and features an enhanced 16 x 32-bit multiplier capable of single-cycle MAC operations, and 16-bit fixed point DSPinstructions to accelerate signal processing algorithms and applications.The ARM966E-S processor has separate, directly connectedinstruction and data Tightly Coupled Memory (TCM), whichhave flexible sizes and run at the processor clock speed. TheARM966E-S processor supports ARM’s real-time tracetechnology with the optional ETM9 <strong>Embedded</strong> Trace Macrocell.The ARM966E-S features a simple memory map providing anarea and power-efficient solution for applications that do notrequire complex memory management support. The coreincludes an AMBA AHB interface and a coprocessorinterface for connection to application acceleration hardwaresuch as the VFP9-S floating-point coprocessor.InstructionTCM interfaceETM InterfaceARM9EcoreDataTCM interfaceThe ARM966E-S processor provides a high-performanceprocessor subsystem that includes the ARM9E-S RISC integerCPU core featuring:• ARMv5TE 32-bit instruction set with improvedARM/Thumb code inter-working and enhancedmultiplier designed for improved DSP performance• ARM debug architecture with additional support forreal-time debug; this enables critical exception handlersto execute while debugging the systemARM966E-SWrite bufferControl Logic and Bus Interface UnitCoprocessorInterfaceAMBA AHB interface• Support for external TCM; a TCM interface is providedfor each of the external instruction and data memoryblocks; the TCM interfaces of the ARM966E-S processorenable high-speed operation without incurring theperformance and power penalties of accessing thesystem bus, while having a lower area overhead than acached memory system; the size of both the Instructionand Data TCM blocks are implementor-specific to enabletailoring of the hardware to the embedded application• A simple fixed memory map for the local TCM, idealfor real-time embedded control applications• An AMBA AHB bus interface• Support for external coprocessors enabling floatingpointor other application-specific hardware accelerationto be added• Support for the use of a scan test methodology forthe standard-cell logic and Built-In-Self-Test (BIST)for the TCMProviding this complete high-frequency subsystem frees the SoCdesigner to concentrate on design issues unique to their system;the synthesizable nature of the device eases integration intoASIC technologies.Arrow Electronics ARM Solutions1-866-910-3650


| 15Applications • Automotive control: Powertrain with VFP9-S coprocessor• Industrial control• Mass storage devices: hard disc drives and DVD drives• Networking systems• Wireless devices• Digital still camerasFeatures • 32-/16-bit RISC architecture (ARMv5TE)• 32-bit ARM instruction set for maximum performanceand flexibility• 16-bit Thumb instruction set for increased code density• Tightly Coupled Memories (TCMs)• <strong>Embedded</strong>ICE-RT logic for real-time debug• Floating point capability with VFP9-S coprocessorBenefits • Single-chip MCU and DSP solution• Deterministic performance from TCM memories• Simple single-processor software structure; no needfor software partitioning across MCUs and eliminatesmulti-MCU debugging• Single development toolkit: reduced developmentcosts and shorter development cycle time• Optimized for hard real-time applications• Multiple sourcing from industry-leading silicon vendors• Code-compatible upward migration path toARM10E family• Excellent debug support for SoC designers• Instruction set can be extended by the use ofcoprocessors• ARM-EDA Reference Methodology deliverablessignificantly reduce the time to generate a specifictechnology implementation of the core and to generateindustry-standard views and models• ETM interface for real-time trace capability with ETM9• ARM-Synopsys Reference Methodology compliantdeliverables• Optional MOVE coprocessor delivers video encodingperformanceCore area, frequency range, and power consumption aredependent on process, libraries, and optimizations. Thenumbers quoted above are illustrative of synthesized coresusing general-purpose TSMC process technologies andARM Artisan standard-cell libraries and RAMs.The speed-optimized implementations refer to the librarychoices and synthesis flow decisions and tradeoffs madein order to achieve the target frequency performance. Thearea-optimized implementations refer to the library choicesand synthesis flow decisions and tradeoffs made in order toachieve a target area density.Performance Characteristics 0.18 µM 0.13 µM 90 nmSpeed Optimized Speed Optimized Speed Optimized Area OptimizedStandard cells NA NA Advantage-HS MetroFrequency* (MHz) 200 250 500 250Area (mm 2 ) 2 1 0.70 0.35Power** (mW/MHz) 0.70 0.25 0.15 0.07*Worst-case conditions—0.18 µm process—1.62V, 125˚C, slow silicon; 0.13 µm process—1.08V, 125˚C, slow silicon; 90 nm process—0.9V, 125˚C, slow silicon**Typical-case conditions—0.18 µm process—1.8V, 25˚C, typical silicon; 0.13 µm process—1.2V, 25˚C, typical silicon; 90 nm process—1V, 25˚C, typical siliconwww.arrownac.com/arm


16 |ARM1136J(F)-SA High-Performance, Low-Power Processor with DSP and Media ExtensionsThe award-winning ARM1136J-S and ARM1136JF-S processors deliver up to 660 Dhrystone 2.1 MIPS in a 0.13 µmprocess. Both processors feature the ARM v6 instruction set with media extensions, ARM Jazelle ® technology for efficientembedded Java execution, ARM Thumb ® code compression, and an optional floating-point coprocessor. Media processingextensions offer up to 1.9x the acceleration of media-processing tasks such as MPEG4 encode.Instruction and data cache sizes are configurable, and optional Tightly Coupled Memories (TCMs) can be added toaccelerate interrupt handling and data processing. These processors feature AMBA ® 2.0 AHB interfaces compatiblewith a wide range of system IP and peripherals. The ARM1136JF-S processor also features an integrated floating-pointcoprocessor, which makes it particularly suitable for embedded 3D-graphics applications.The ARM1136JF-S processor incorporates an integer unit thatimplements the ARM architecture v6. It supports the ARM andThumb instruction sets, Jazelle technology to enable directexecution of Java bytecodes, and a range of SIMD DSPinstructions that operate on 16-bit or 8-bit data values in32-bit registers.The ARM1136JF-S processor is a high-performance, low-power,ARM cached processor macrocell that provides full virtual memorycapabilities.DebugInterfaceInstructionCacheTCRAMVFPARM1136J-ScoreCoprocessorControllerDataCacheTCRAMFeatures • An integer unit with integral <strong>Embedded</strong>ICE-RT logic• An eight-stage pipeline• Branch prediction with return stack• Low-interrupt latency• External coprocessor interface and coprocessors14 and 15ARM1136J(F)-SARM1136JF-SInstructionInterfaceMemory ManagementDataInterfaceDMAPeripheralPort• Instruction and Data Memory Management Units (MMUs),managed using MicroTLB structures backed by a unifiedMain TLB• Instruction and data caches, including a non-blockingdata cache with Hit-Under-Miss (HUM)• The caches are virtually indexed and physicallyaddressed, and have a 64-bit interface to both caches• Level-one TCM that can be used as a local RAM withDMA, or as SmartCache• High-speed Advanced Microprocessor Bus Architecture(AMBA) level two• Vector Floating-Point (VFP) coprocessor supportIn addition to the ARM1136J-S, ARM introduced a version thatincludes a VFP coprocessor. This is designated as theARM1136JF-S.Core The ARM1136JF-S processor is built around the ARM11 corein an ARMv6 implementation that runs the 32-bit ARM, 16-bitThumb, and 8-bit Jazelle instruction sets. The processor contains<strong>Embedded</strong>ICE-RT logic and a JTAG debug interface to enablehardware debuggers to communicate with the processor.Registers The ARM1136JF-S core contains:• 31 general-purpose 32-bit registers• Seven dedicated 32-bit registersArrow Electronics ARM Solutions1-866-910-3650


| 17Thumb Instruction Set Thumb is an extension to the ARM architecture. It contains asubset of the most commonly used 32-bit ARM instructions thathas been encoded into 16-bit wide opcodes, to reduce memoryrequirements.DSP Instructions The ARM DSP instruction set extensions provide the following:• 16-bit data operations• Saturating arithmetic• MAC operationsMultiply instructions are processed using a single-cycle 32x16implementation. There are 32x32, 32x16, and 16x16 multiplyinstructions (MAC).Media Extensions The ARMv6 instruction set provides media instructions to complementthe DSP instructions. The media instructions are dividedinto the following main groups:• Additional multiplication instructions for handling 16-bitand 32-bit data, including dual-multiplication instructionsthat operate on both 16-bit halves of the sourceregisters; this group includes an instruction that improvesthe performance and size of code for multi-wordunsigned multiplications• Instructions to perform Single Instruction Multiple Data(SIMD) operations on pairs of 16-bit values held in asingle register, or on quadruplets of 8-bit values held in asingle register; the main operations supplied are additionand subtraction, selection, pack, and saturationMemory System The core provides a level-one memory system withthe following features:• Separate instruction and data caches• Separate instruction and data RAMs• 64-bit datapaths throughout the memory system• Complete memory management• 32-bit dedicated peripheral interfaceApplications • Automotive infotainment: in-car entertainment, DVDplayers, and navigation equipment• Networking: control processors in network infrastructure,switch, and router products• Consumer: digital TVs, set-top boxes, game consoles,and handheld digital media playersCore area, frequency range, and power consumption aredependent on process, libraries, and optimizations. Thenumbers quoted above are illustrative of synthesized coresusing general-purpose TSMC process technologies andARM Artisan standard-cell libraries and RAMs.The speed-optimized implementations refer to the librarychoices and synthesis flow decisions and tradeoffs madein order to achieve the target frequency performance. Thearea-optimized implementations refer to the library choicesand synthesis flow decisions and tradeoffs made in order toachieve a target area density.The cache sizes are specified as InstructionCache/DataCache.The area without cache numbers quoted exclude RAM area,but include all logic including memory management, cachecontrol, and debug. The area with cache numbers quotedincludes the core, the specified instruction and data caches,and all necessary RAMs.Performance Characteristics 90 nmSpeed Optimized Area OptimizedStandard cells Advantage-HS MetroMemories Advantage MetroFrequency* (MHz) 620 320Area with cache (mm 2 ) 2.50 1.55Area without cache (mm 2 ) 1.80 0.90Cache size 16K/16K 16K/16KPower** with cache (mW/MHz) 0.45 0.24Power** without cache (mW/MHz) 0.37 0.18*Worst-case conditions—0.18 µm process—1.62V, 125˚C, slow silicon; 0.13 µm process—1.08V, 125˚C, slow silicon; 90 nm process—0.9V, 125˚C, slow silicon**Typical-case conditions—0.18 µm process—1.8V, 25˚C, typical silicon; 0.13 µm process—1.2V, 25˚C, typical silicon; 90 nm process—1V, 25˚C, typical siliconwww.arrownac.com/arm


18 |ARM Cortex-A8Processors for Complex OS and User ApplicationsThe ARM Cortex-A8 processor is the first applications processor based on the ARMv7 architecture and is the highestperformance, most power-efficient processor ever developed by ARM. With the ability to scale in speed from 600 MHz togreater than 1 GHz, the ARM Cortex-A8 processor can meet the requirements for power-optimized mobile devices needingoperation in less than 300 mW and performance-optimized consumer applications requiring 2000 Dhrystone MIPS.The ARM Cortex-A8 processor is ARM’s first superscalar processor featuring technology for enhanced code density andperformance, NEON technology for multimedia and signal processing, and Jazelle ® RCT (Runtime Compilation Target)technology for efficient support of ahead-of-time and just-in-time compilation of Java and other bytecode languages.The exceptional speed and power efficiency of the Cortex-A8processor is enabled by new ARM Artisan ® Advantage-CElibraries supporting and implementing advanced leakage control.DFT/Test Debug ETMThe processor is supported by a wide range of ARMtechnologies for rapid system design including:• The RealView ® DEVELOP family of softwaredevelopment tools• The RealView CREATE family of ESL tools and models• CoreSight debug and trace technology as wellas software library support through the OpenMAXmultimedia processing standard• AMBA ® 3 AXI high-performance SoC interconnectI-sideL1RAMIFetch IDecode IExecute Load storeL1cacheinterfaceTLBPrefetchandbranchpredictionDecode &sequencerDependencycheck andissueFlagsRegBankALU1ALU2MACLoadstoreL1 cacheinterfaceTLBD-sideL1RAMLevel 2cacheInstruction and Data DMA arbitrationNEON unitArchitectural Features L2 cache and preload engineNEONinstructionqueueDecodecontrolIssue andforwardcontrolNEON loaddata queueThe ARM Cortex-A8 processor’s sophisticated pipelinearchitecture is based on dual, symmetric, in-order issue,13-stage pipeline with advanced dynamic branch predictionachieving 2.0 DMIPS/MHz.• The in-order, dual-issue, superscalar microprocessorcore includes:– 13-stage main integer pipeline– 10-stage NEON media pipeline– Dedicated Level 2 (L2) cache with programmablewait states– Global-history-based branch predictionCORTEX -A8Fill and eviction queueBIUAXIWritebufferL2 cachedata RAML2 cachetag RAMNEONFloatingPointVFPLiteNEONRegBankNEONLoadStoreNEONInteger• The processor works in conjunction with apower-optimized load store pipeline to deliver 2.0DMIPS/MHz for power-sensitive applications• The ARM Cortex-A8 is ARMv7 architecture-compliantand includes:– Thumb ® -2 technology for greater performance, energyefficiency, and code density– NEON signal processing extensions to acceleratemedia codecs such as H.264 and MP3– Jazelle RCT Java-acceleration technology to optimizeJust In Time (JIT) and Dynamic Adaptive Compilation(DAC), and to reduce memory footprint by up tothree times– TrustZone technology for secure transactions andDigital Rights Management (DRM)Arrow Electronics ARM Solutions1-866-910-3650


| 19• Integrated L2 Cache:– Built using standard compiled RAMs– Configurable size from 64K-2 MB– Programmable delay• Optimized Level 1 (L1) Cache:– Performance- and power-optimized– Combines minimal access latency with hash waydetermination to maximize performance and minimizepower consumption• Dynamic Branch Prediction:– Enabled by branch target and global-history buffers– Achieves 95% accuracy across industry benchmarks– Replay mechanism minimizes miss-predict penalty• Memory System:– Single-cycle load-use penalty for access tothe L1 cache– Hash array in the L1 cache limits activation of thememories to when they are likely to be needed– Direct interface between the integrated, configurableL2 cache and the NEON media unit for data streaming– Banked L2 cache design that enables only one bankat a time– Support for multiple outstanding transactions to theLevel 3 (L3) memory to fully utilize the CPUPerformance Characteristics 65 nmSpeed OptimizedFrequency* (MHz) 600-800Area with cache (mm 2 ) < 4Area without cache (mm 2 ) < 3Power with cache** (mW/MHz) < 0.5*Core area, frequency range, and power consumption are dependent on process, libraries, and optimizations. The numbers quoted above are illustrative of synthesized cores using general-purpose TSMC process technologies and ARM Artisanstandard-cell libraries and RAMs.Area is for core only (excluding NEON, Trace technology, and L2 cache). Frequency and power are for mobile applications. Frequency for consumer applications = 1 GHz. The speed-optimized implementations refer to the library choices and synthesisflow decisions and tradeoffs made in order to achieve the target frequency performance. The area-optimized implementations refer to the library choices and synthesis flow decisions and tradeoffs made in order to achieve a target area density.**The 65 nm (LP) dynamic power measured is at 1.2V nominal and, hence, is higher than the 65 nm (GP) dynamic power, which is at 1.0V. However, the 65 nm (LP) leakage is significantly lower and this is the major consideration for mobile orbattery-operated devices that need to conserve power in standby mode.www.arrownac.com/arm


20 |ARM Cortex-M3Processors Optimized for Cost-Sensitive and Deeply-<strong>Embedded</strong> ApplicationsThe ARM Cortex TM -M3 processor has been developed to provide a high-performance, low-cost platform that meets theneeds of minimal memory implementation, reduced pin count, and low power consumption, while delivering outstandingcomputational performance and exceptional system response to interrupts.The ARM Cortex-M3 32-bit RISC processor executes purely Thumb ® -2 instructions, delivering the high performanceexpected of an ARM core in the memory size usually associated with 8- and 16-bit devices; typically in the range of afew kilobytes of memory for microcontroller class applications.In addition to minimizing its memory requirement, the ARMCortex-M3 processor is also the smallest 32-bit core designedby ARM at just 33k gates for the central processing core(CM3Core) and 60k gates total, including many close systemperipherals. This design reduces silicon area requirements evenfurther, enabling the smallest of packages or the manufacturingof devices on low-cost processes, such as 0.35 µM and0.25 µM.ConfigurableNVICDAPARM coreMemoryprotection unitETMSerial wireviewerThe ARM Cortex-M3 processor also reduces the number of pinsrequired for debug from five to one, by implementing a newdebug interface technology—Single Wire Debug—that canreplace the current multi-pin JTAG port.Outstanding Performance In addition to unparalleled performance, power consumption,and memory utilization, the ARM Cortex-M3 processor alsoachieves exceptional interrupt handling. By implementing theregister manipulations required for handling an interrupt inhardware, this core achieves minimal clock overhead on enteringinterrupts, and switches between pending or higher priority interruptsin only six cycles. The design, which comes with32 interrupt channels as standard, can be configured tobetween 1 and over 240 channels.The ARM Cortex-M3 processor also includes an optionalMemory Protection Unit (MPU) to provide a privileged modeof operation for complex applications.Cortex-M3CodeinterfaceDatawatchpointsFlashpatchBus MatrixSRAM &peripheral I/FEnabling Technology The ARM Cortex-M3 processor has been designed “fromthe ground up” to provide optimal performance and powerconsumption within a minimal memory system. To achieve this,the core executes only the Thumb-2 instruction set, whichdelivers an unparalleled combination of ARM instruction setperformance with industry-leading code density. The design,which is based on a three-stage pipeline Harvard architecture,also maximizes memory utilization through the support ofunaligned date storage, and single-cycle atomic bit manipulation.The exceptional performance of the ARM Cortex-M3 processoris achieved through a highly revised architecture that alsoimplements many new technologies in this type of core, suchas hardware divide and single-cycle multiply.Arrow Electronics ARM Solutions1-866-910-3650


| 21Benefits The ARM Cortex-M3 processor offers significant benefitsto system and software developers.• Lower cost devices through smaller processingcore, system, and memories• Ultra-low power consumption and integratedsleep modes• Outstanding processing performance for challengingapplications• Fast interrupt handling for critical control applications• Platform security with optional integrated memoryprotection unit• Enhanced system debug for faster developmentCore area, frequency range, and power consumption aredependent on process, libraries, and optimizations. Thenumbers quoted above are illustrative of synthesized coresusing general-purpose TSMC process technologies and ARMArtisan ® standard-cell libraries and RAMs. Area numbers includethe CM3Core, the Nested Vectored Interrupt Controller (NVIC),and Bus Matrix, but not the optional components including theMemory Protection Unit, <strong>Embedded</strong> Trace Macrocell, BreakpointUnit, Data Watchpoint Unit, and Trace Port Interface Unit.The speed-optimized implementations refer to the librarychoices and synthesis flow decisions and tradeoffs made inorder to achieve the target frequency performance. Thearea-optimized implementations refer to the library choicesand synthesis flow decisions and tradeoffs made in order toachieve a target area density.• No assembler code requirement to ease systemdevelopment• Wide application envelope encompassing ultra-lowcostmicrocontrollers and high-performance SoCPerformance Characteristics 0.18 µM 0.13 µMSpeed Optimized Area Optimized Speed Optimized Area OptimizedStandard cells SAGE-X Metro SAGE-X MetroFrequency* (MHz) 100 50 135 50Area (mm 2 ) 0.86 0.70 0.39 0.30Power** (mW/MHz) 0.19 0.14 0.12 0.09*Worst-case conditions—0.18 µm process—1.62V, 125˚C, slow silicon; 0.13 µm process—1.08V, 125˚C, slow silicon**Typical-case conditions—0.18 µm process—1.8V, 25˚C, typical silicon; 0.13 µm process—1.2V, 25˚C, typical siliconwww.arrownac.com/arm


22 |Cortex-R4(F)ARM Cortex-R4(F)<strong>Embedded</strong> Processors for Real-Time ApplicationsThe ARM Cortex-R4 processor is the first deeply embedded processor to be based on the ARMv7 architecture andis targeted at very high-volume, deeply embedded applications such as hard-disk drives, inkjet printers, and automotivesafety systems.The ARM Cortex-R4 processor provides key savings in cost and power consumption for system developers, offeringsubstantially higher performance than any other processor with similar die size. Along with the ARM1156T2-S and ARMCortex-M3 processors, the ARM Cortex-R4 processor completes comprehensive coverage for the diverse needs of theembedded microprocessor market. Furthermore, the ARM Cortex-R4 processor supports substantial synthesis timeconfigurability that enables designers to match the processor precisely to the application requirements.In addition to the ARM Cortex-R4, ARM has introduced theARM Cortex-R4F, which contains a Floating Point Unit (FPU).The ARM Cortex-R4F processor’s FPU performs floating-pointcalculations that allow a greater dynamic range and accuracythan fixed-point calculations. The FPU is backward compatiblewith earlier ARM FPUs (VFP9/10/11), and is optimized for thesingle-precision processing most commonly used in automotiveand control applications. The FPU is particularly useful insophisticated control applications, where algorithms are oftenmodeled in an environment such as Simulink or ASCET-SD,and code is auto-generated using tools such as Real TimeWorkshop <strong>Embedded</strong> Coder, ASCET-SE, or dSPACE Targetlink.DebugInterfacePrefetch& BranchPredictionUnitVIC PortcoreFPUETM InterfaceTCMArbiterandInterfaceThe ARM Cortex-R4 processor is capable of running at clockspeeds of up to 400 MHz on typical 90 nm processes, and thefocus throughout the design is on efficiency and configurability.Cortex-R4FInstructionCacheAXI Master InterfaceMemoryProtectionUnit FP exec 1 DataCacheAXI Slave InterfaceTechnical Innovations • Thumb ® -2 technology; an innovation that has enabledpartners to combine the minimal memory footprint of16-bit Thumb code with the high performance of 32-bitARM code• AMBA 3 AXI protocol; a set of major enhancements toAMBA for high-performance on-chip interconnect, theARM Cortex-R4 processor integrates a 64-bit master portas well as a 64-bit DMA port for direct access to theTightly Coupled Memories (TCM)• A selective superscalar eight-stage pipeline thatprovides more than 1.6 DMIPS/MHz in an efficient lowgate count implementation• Non-Maskable Interrupts (NMI); many real-timeapplications demand this and the ARM Cortex-R4supports a configurable NMI pin• CoreSight technology; a framework for completesystem debug and trace; this includes the ETM-R4embedded trace macrocell and many other CoreSightcomponents• A significantly improved local memory architecturefor TCM and DMA; TCM can now be unified into asingle logical address space and can run as fast ascache memory• Enhancements over the ARMv6 architecture includeimprovements in interrupt handling and the memoryprotection scheme; new instructions for managinginterrupts reduce the critical early-interrupt handler code,and the worst-case interrupt latency is vastly improved toonly 20 clock cycles• Performance monitoring support; very useful for refiningand tuning a system through advanced profiling of thesystem performanceArrow Electronics ARM Solutions1-866-910-3650


| 23• Architected support for parity in the caches and parity orECC in the TCMs; soft errors are an increasing concern inembedded systems and either parity or ECC is nowessential in many systems• A very efficient branch prediction and prefetch unitprovide a branch accuracy of more than 90% fortypical C code• The overall aim of the ARM Cortex-R4 processor is toprovide around 40% more efficiency than the ARM9family whilst increasing the maximum clock speed,supporting the use of low-power, dense RAMs forcache and TCMs, and delivering an efficientThumb-2 engineArchitectural Features The ARM Cortex-R4 processor’s sophisticated pipelinearchitecture is based on low-cost dual-issue pipeline, eightstages with advanced dynamic branch prediction achieving1.6 DMIPS/MHz; the ARM Cortex-R4 processor is fullyARMv7 architecture-compliant and includes:• Thumb-2 technology for greater performance, energyefficiency, and code density• Hardware divide instructions for control applications• Optimized level-one caches and TCM• Synthesis optional cache controllers (with optional cacheparity) and TCM ports for flexibility• Full wait and error support on TCM interfaces• Flexible configuration at synthesis time of majorlevel-one features• A Memory Protection Unit (MPU) can be removed or aneight- or 12-region one selected• Either one, two, or three TCM ports can be included• A number of breakpoints and watchpoints canbe selected• Dynamic Branch Prediction- Enabled by branch target, global-history buffers,and a function called return stack- Achieves 90% accuracy across industry benchmarks• Single-cycle load-use penalty for access to the L1 cacheand TCM• A single 64-bit AXI master port for easy integration intothe SoC interconnect• An AXI slave port to allow direct access to TCMs by DMAcontrollers and other processors in the system• Vectored Interrupt Controller (VIC) port for fastconnection to interrupt management peripheralsCore area, frequency range, and power consumption aredependent on process, libraries, and optimizations. Thenumbers quoted above are illustrative of synthesized coresusing general-purpose TSMC process technologies and ARMArtisan standard cell libraries and RAMs.The speed-optimized implementations refer to the librarychoices and synthesis flow decisions and tradeoffs made inorder to achieve the target frequency performance. Thearea-optimized implementations refer to the library choicesand synthesis flow decisions and tradeoffs made in order toachieve a target area density.The cache sizes are specified as InstructionCache/DataCache.The area without cache numbers quoted exclude RAM area,but include all logic including memory management, cachecontrol, and debug. The area with cache numbers quotedincludes the core, the specified instruction and data cachesand all necessary RAMs.Performance Characteristics 0.13 µM 90 nmArea Optimized Speed Optimized Area OptimizedStandard cells SAGE-HS Advantage-HS MetroMemories HS Advantage MetroFrequency* (MHz) 300 500 210Area with cache (mm 2 ) 3.35 2.50 1.50Area without cache (mm 2 ) 1.99 1.66 0.80Cache size 16K/16K 16K/16K 16K/16KPower** with cache (mW/MHz) – 0.41 0.22Power** without cache (mW/MHz) – 0.33 0.16*Worst-case conditions—0.18 µm process—1.62V, 125˚C, slow silicon; 0.13 µm process—1.08V, 125˚C, slow silicon; 90 nm process—0.9V, 125˚C, slow silicon**Typical-case conditions—0.18 µm process—1.8V, 25˚C, typical silicon; 0.13 µm process—1.2V, 25˚C, typical silicon; 90 nm process—1V, 25˚C, typical siliconwww.arrownac.com/arm


24 |Intel XScale ®Designed to Enable High Performance, Low Power Consumption, and Systems IntegrationThe Intel XScale ® core is based on an ARM processor family second-generation core and consists of innovativecustom circuits, a proprietary design, and proprietary process techniques. This unique core enables processors in theIntel XScale ® family to operate on very low current while in run and low-power modes.Designed to enable high performance, low power consumption, and systems integration, the Intel XScale ® coreempowers OEMs to develop smaller, more cost-effective, handheld devices with longer battery life, while providing theperformance to run MIPS-intensive multimedia applications such as audio encode/decode, video compression, and speech.The Intel XScale ® microarchitecture extends to set-top boxes, networking, intelligent I/O, and remote-access servers.This unique processor engine design affords a substantial leadership position in the handheld device market segmentwhere high performance, low power, and integration-per-cost-effectiveness are all critical factors.The Intel XScale ® core targets the portable information devicesegment, which consists of feature-rich handheld devices suchas (but not limited to) the following:• Vertical application devices• Palm-size devices• Smart phones/3G+ multimedia phones• PC companionsThe processor is also packaged in a “smaller footprint, lowercost” version focused on handheld and portable applications,and a “higher performance” version for the PC companion andvertical application device segments. In addition to handheldsegments, the Intel XScale ® core also provides a market entryto tethered applications such as screen phones, low-endset-top boxes, web terminals, and other Internet appliances.Features and Benefits of Intel XScale ®Microarchitecture • Superpipelined RISC technology achieves high speedand ultra-low power with a seven-stage integer/eightstagememory superpipelined core• Dynamic voltage management obtains the right blendof performance and power with dynamic voltage andfrequency scaling “on the fly”• Media processing technology achieves efficient mediaprocessing with a multiply-accumulate coprocessor thatperforms two simultaneous 16-bit SIMD multiplies with40-bit accumulation• Power management unit saves power with idle, sleep,and quick wake-up modes• 128-entry branch target buffer maintains pipelinecapacity with statistically correct branch choices• 32 KB instruction cache achieves high performance andlow power consumption levels by keeping a local copy ofimportant instructions• 2 KB data cache avoids “thrashing” of the data cache forfrequently changing data streams• 32-entry instruction memory management unit enableslogical-to-physical address translation, accesspermissions, and instruction-cache attributes• Four entry fill and pend buffers obtain core efficiency byallowing non-blocking and “hit-under-miss” operationwith data caches• Performance monitoring unit analyzes hit rates with two32-bit event counters and one 32-bit cycle counter• Debug unit debugs programs with hardwarebreakpoints and a 256-entry trace-history buffer(for flow change messages)• 32-bit coprocessor interface achieves ahigh-performance interface between the coreand coprocessors• 64-bit core memory bus with simultaneous 32-bit inputpath and 32-bit output path obtains up to 4.8 GBytes/sec@ 600 MHz bandwidth for internal accesses• Eight-entry write buffer provides continuous coreexecution while data is written to memory• The Thumb instruction set supported selects the16-bit Thumb instruction set from the current programstatus registerArrow Electronics ARM Solutions1-866-910-3650


| 25ARM Architecture Compatibility The Intel XScale ® microarchitecture implements the integerinstruction set architecture specified in ARM Version 5TE.“T” refers to the Thumb instruction set, and “E” refers to theDSP-enhanced instruction set.ARM Version 5 introduces a few more architecture features overVersion 4, specifically the addition of tiny pages (1 Kbyte), a newinstruction (CLZ) that counts the leading zeroes in a data value,enhanced ARM-Thumb transfer instructions, and a modificationof the system-control coprocessor, CP15.ARM DSP-Enhanced Instruction Set The Intel XScale ® microarchitecture implements the ARMDSP-enhanced instruction set, which is a set of instructionsthat boosts the performance of signal-processing applications.New multiply instructions operate on 16-bit data values, andnew saturation instructions are available as well (see below).• SMLAxy instruction is a 16x16+32 with a 32-bit result• SMLAWy instruction is a 32x16+32 with a 32-bit result• SMLALxy instruction is a 16x16+64 with a 64-bit result• SMULxy instruction is a 16x16 with a 32-bit result• SMULWy instruction is a 32x16 with a 32-bit result• QADD adds two registers and saturates the result if anoverflow has occurred• QDADD doubles and saturates one of the input registersand then adds and saturates the result• QSUB subtracts two registers and saturates the result ifan overflow has occurred• QDSUB doubles and saturates one of the input registersand then subtracts and saturates the resultExtensions to ARM Architecture The Intel XScale ® microarchitecture includes a few extensions tothe ARM Version 5 architecture to meet the needs of variousmarkets and design requirements. The following is a list of theextensions that are discussed in the next subsections.• A DSP coprocessor (CP0) has been added that containsa 40-bit accumulator and eight new instructions• New page attributes were added to the page tabledescriptors; the C- and B-page attribute encodingwas extended by one additional bit to allow formore encodings: write-allocate and mini-datacache; an attribute specifying ECC for 1 MB regionswas also added• Additional functionality has been added to coprocessor15; coprocessor 14 also added• Enhancements were made to the event architecture,instruction cache, and data-cache parityDSP Coprocessor 0 (CP0) The Intel XScale ® microarchitecture adds a DSP coprocessorto the architecture for increasing the performance and theprecision of audio-processing algorithms. This coprocessorcontains a 40-bit accumulator and eight new instructions.The 40-bit accumulator is referenced by several new instructionsthat were added to the architecture; MIA, MIAPH, and MIAxyare multiply/accumulate instructions that reference the 40-bitaccumulator instead of a register-specified accumulator. MARand MRA read and write the 40-bit accumulator.Access to CP0 is always allowed in all processor modes whenbit 0 of the coprocessor access register is set. Any access toCP0 when this bit is clear will cause an undefined exception.Note that only privileged software can set this bit in thecoprocessor access register. Two new instruction formatswere added for coprocessor 0: multiply with internalaccumulate format, and internal accumulate access format.Branch Prediction The Intel XScale ® microarchitecture implements dynamicbranch prediction for the ARM instructions B and BL, and forthe Thumb instruction, B. Any instruction that specifies thePC as the destination is predicted as “not taken.” For example,an LDR or an MOV that loads or moves directly to the PC willbe predicted “not taken” and incur a branch-latency penalty.These instructions (ARM B, ARM BL, and Thumb B) enter intothe branch target buffer when they are “taken” for the first time.(A “taken” branch refers to when they are evaluated to be true.)Once in the branch target buffer, the Intel XScale ®microarchitecture dynamically predicts the outcome of theseinstructions based on previous outcomes. A penalty of “zero”for correct prediction means that the Intel XScale ®microarchitecture can execute the next instruction in theprogram flow in the cycle following the branch.Power Management The Intel XScale ® microarchitecture defines three low-powermodes: idle, drowsy, and sleep. All state information is lost onentering sleep mode. The only way to exit sleep mode is throughthe reset sequence. State is retained in idle and drowsy modes.Both idle and drowsy modes are exited by interrupt, even if theinterrupt is masked. A single coprocessor 14 register write isused to enter any low-power mode.www.arrownac.com/arm


28 |ADuC7xxxCore: ARM7TDMITargeted primarily at applications in industrial, instrumentation and communications,and automotive segments, these families of precision analog flash microcontrollers, ormicroConverter products, address the growing demands for integration and thusease of design, programmability and precision analog performance. This is achievedby combining, precision analog functions such as high resolution A/Ds and D/As,voltage reference and temperature sensor together with an industry-standardmicrocontroller and embedded flash memory, in a single chip.Features Microcontroller• ARM7TDMI Core, 16-/32-bit RISC architecture• JTAG Port supporting code download and debug• Clocked from 2% on chip oscillator or external crystal/clock source• 44 MHz PLL with programmable divider• Up to 126 kBytes Flash/EE Memory• 8 kBytes SRAM• Integrated peripherals- Three phase PWM- Dual I 2 C, SPI, UART- Quadrature encoder (ADuC7128/9)- Programmable logic array• Clocking options:- Trimmed on-chip oscillator (2%),- External watch crystal- External clock sourceBenefits • Small form-factor (6 mm x 6 mm) chip-scale packaging options• Programmable logic array• Three-phase (six output) PWM• Uncommitted comparator• QuickStart development tools with IDE• Operating temperature range is -40°C to +125°CADuC702x Family Block DiagramAnalog• Multi-channel, 12-bit, 1 MSPS ADC with 0V to VRef Analog inputrange and 12-bit no-missing-codes performance• Up to 16 ADC channels offering either single-ended,pseudo-differential or fully differential input• Dual or quad 12-bit voltage output DAC• On-chip voltage reference• On-chip temperature sensor (+3°C)• Uncommitted voltage comparator• 2.7V to 3.6V supply with 5V tolerant I/OArrow Electronics ARM Solutions1-866-910-3650


| 29Family Comparative Features Part Total Total Temp. °C Package Core Max A/D A/D Timer Timer Serial Interface GPIO Ethernet USB PeripheralsNumber Flash RAM Type Variant ID Freq. MHZ Bits Channels Channels Bits DescriptionADUC7027 62 8 -40 to +125 QFP80 ARM7TDMI 44 12 16 4 2 x 32-bit, 2 x 16-bit SPI, UART, 2xI 2 C 40 – – PLA, Comparator, PSM, POR, PWMADUC7026 62 8 -40 to +125 QFP80 ARM7TDMI 44 12 12 4 2 x 32-bit, 2 x 16-bit SPI, UART, 2xI 2 C 40 – – Quad 12-bit DAC, PLA, Comparator, PSM, POR, PWMADUC7020 62 8 -40 to +125 CSP40 ARM7TDMI 44 12 5 4 2 x 32-bit, 2 x 16-bit SPI, UART, 2xI 2 C 14 – – Quad 12-bit DAC, PLA, Comparator, PSM, PORADUC7021 62 8 -40 to +125 CSP40 ARM7TDMI 44 12 8 4 2 x 32-bit, 2 x 16-bit SPI, UART, 2xI 2 C 13 – – Quad 12-bit DAC, PLA, Comparator, PSM, PORADUC7022 62 8 -40 to +125 CSP40 ARM7TDMI 44 12 10 4 2 x 32-bit, 2 x 16-bit SPI, UART, 2xI 2 C 13 – – PLA, Comparator, PSM, PORADUC7024 62 8 -40 to +125 CSP64 ARM7TDMI 44 12 10 4 2 x 32-bit, 2 x 16-bit SPI, UART, 2xI 2 C 30 – – Dual 12-bit DAC, PLA, Comparator, PSM, POR, PWMADUC7025 62 8 -40 to +125 CSP64 ARM7TDMI 44 12 12 4 2 x 32-bit, 2 x 16-bit SPI, UART, 2xI 2 C 30 – – PLA, Comparator, PSM, POR, PWMADUC7019 62 8 -40 to +125 CSP40 ARM7TDMI 44 12 5 4 2 x 32-bit, 2 x 16-bit SPI, UART, 2xI 2 C 14 – – Triple 12-bit DAC, PLA, Comparator, PSM, PORADUC7128 126 8 -40 to +125 LFCSP64 ARM7TDMI 44 12 10 5 1 x 48, 3 x 32, 1 x 16 2xUART, 2xI 2 C, SPI 28 – – 10-bit DAC, PLA, 16-bit PWM, Quad Encodes, DDSADUC7129 126 8 -40 to +125 LQFP80 ARM7TDMI 44 12 10 5 1 x 48, 3 x 32, 1 x 16 2xUART, 2xI 2 C, SPI 38 – – 10-bit DAC, PLA, 16-bit PWM, Ext. Bus, Quad Encoder, DDSADuC7028 62 8 -40 to +125 BGA64 ARM7TDMI 44 12 10 4 2 x 32-bit, 2 x 16-bit UART, 2x1 2 C, SPI 28 – – 12-BIT DAC, PLA, 3 PHASE PWMADuC7030 32 4 -40 to +125 LFCSP48, LQFP48 ARM7TDMI 20.48 16 Dual 5 1 x 48, 3 x 32, 1 x 16 LIN, UART, SPI 9 – – High-voltage, LIN, Temp. Sensor, ComparatorADuC7032 96 6 -40 to +125 LQFP48 ARM7TDMI 20.48 16 3 5 1 x 48, 3 x 32, 1 x 16 LIN, UART, SPI 9 – – High-voltage, LIN, Temp. Sensor, ComparatorADuC7033 96 6 -40 to +125 LFCSP48, LQFP48 ARM7TDMI 20.48 16 Dual 5 1 x 48, 3 x 32, 1 x 16 LIN, UART, SPI 9 – – High-voltage, LIN, Temp. Sensor, ComparatorDevelopment Tools Matrix Tool Name Description Part NumberMiniKit for ADuC702x-series (ARM7-core) Precision Analog Microcontrollers ADuC702x-series MiniKits feature debug via UART and an assembly and C-source debugging enviroment. (Only Supports ADuC7020) EVAL-ADUC7020MKQuickStart kit for ADuC702x-series (ARM7-core) Precision Analog Microcontrollers ADuC702x-series QuickStart kits feature debug via UART and an assembly and C-source debugging enviroment. (Supports ADuC7019, EVAL-ADUC7020QSADuC7020, ADuC7021, ADuC7022)QuickStart kit for ADuC7024 & ADuC7025 series (ARM7-core) Precision Analog Microcontrollers This QuickStart kit features debug via UART and an assembly and C-source debugging enviroment. (Supports ADuC7024 & ADuC7025) EVAL-ADUC7024QSQuickStart PLUS for ADuC7026-series (ARM7-core) Precision Analog Microcontrollers ADuC7026 QuickStart PLUS features a true non-intrusive JTAG emulation and with RDI compliant emulator an assembly and C-source EVAL-ADUC7026QSPdebugging enviroment. (Supports ADuC7019 and ADuC702x)QuickStart Kit for ADuC7026 & ADuC7027 (ARM-core) Precision Analog Microcontrollers This QuickStart kit features debug via UART and an assembly and C-source debugging enviroment. (Supports ADuC7026 & ADuC7027) EVAL-ADUC7026QSQuickStart PLUS for ADuC7032 (ARM7-core) Precision Analog Microcontrollers ADuC7032 QuickStart PLUS features a true non-intrusive JTAG emulation with RDI compliant emulator and assembly and C-source EVAL-ADUC7032QSPdebugging enviroment. (Only Supports ADuC7032)QuickStart PLUS for ADuC7033 (ARM7-core) Precision Analog Microcontrollers ADuC7033 QuickStart PLUS features a true non-intrusive JTAG emulation with RDI compliant emulator and assembly and C-source EVAL-ADUC7033QSPdebugging enviroment. (Supports ADuC7030 & ADuC7033)QuickStart PLUS for ADuC7128 (ARM7-core) Precision Analog Microcontrollers ADuC7128 QuickStart PLUS features a true non-intrusive JTAG emulation with RDI compliant emulator and assembly and C-source EVAL-ADUC7128QSPdebugging enviroment. (Only Supports ADuC7128)ARM7 | Cortex-M3 | ARM9 | Cortex-R4 | XScale | ARM11 | Cortex-A8QuickStart PLUS for ADuC7129 (ARM7-core) Precision Analog Microcontrollers ADuC7129 QuickStart PLUS features a true non-intrusive JTAG emulation with RDI compliant emulator and assembly and C-source EVAL-ADUC7129QSPdebugging enviroment. (Only Supports ADuC7129)ADuC7xx Development Kit The ADuC0xxx Development System is a fully featured, low cost development tool-suitesupporting all devices in the ARM7 (ADuC7xxx) family of microcontrollers from AnalogDevices. The ADuC7xxx QuickStart Development System incorporates a completesuite of software and hardware development tools that allow you to quickly andefficiently design, program, simulate, download and debug a typical precision analogmicrocontroller application.ADuC7xxxFor more information on Arrow’s ARM solutions, pricing, and availability, visit www.arrownac.com/arm or call 1-866-910-3650.To research and compare and contrast ARM solutions, visit www.embedded-developer.com.ADuC7xxx Family of Microcontrollers | Analog Devices, Inc.www.arrownac.com/arm


30 |AT91SAM7 32-bit ARM-based MicrocontrollersCore: ARM7TDMIThe AT91SAM7 family of Flash microcontrollers are based on the 32-bit ARM7TDMIRISC processor. They feature from 32Kbytes to 512Kbytes of embedded high-speedFlash with sector lock capabilities and a security bit, and from 8Kbytes to 64Kbytesof SRAM. The integrated proprietary SAM-BA Boot Assistant enables in-systemprogramming of the embedded Flash.Its extensive peripheral set includes a USB 2.0 Full Speed Device Port, USARTs, SPI, SSC, TWI and an 8-channel 10-bitADC. Its Peripheral DMA Controller channels eliminate processor bottlenecks during peripheral-to-memory transfers. ItsSystem Controller manages interrupts, clocks, power, time, debug and reset, significantly reducing the external chip countand minimizing power consumption.The maximum Clock frequency is 55MHZ, in industrial temperature range and under the worse case conditions. Typicalcore supply is 1.8V, I/Os are supplied at 1.8V or 3.3V and are 5V tolerant. An integrated Voltage Regulator permits singlesupply at 3.3V. It is supported by an Evaluation Board and extensive application development tools.AT91SAM7SE family expands on the AT91SAMS family with external memory bus. The External Bus Interface (EBI)supports SDRAM and static memories including CompactFlash and ECC-enabled NAND Flash. Making it particularlysuited to applications requiring high performance, USB connectivity and extended on- and off-chip memory.AT91SAM7X family adds integrated Ethernet, USB and CAN interface. Its extensive peripheral set includes a USB 2.0Full Speed Device Port, Ethernet MAC 10/100 base T, CAN 2.0A and 2.0B compliant Controller, USARTs, SPI, SSC,TWI and an 8-channel 10-bit ADC. Its Peripheral DMA Controller channels eliminate processor bottlenecks duringperipheral-to-memory transfers. Its System Controller manages interrupts, clocks, power, time, debug and reset,significantly reducing the external chip count and minimizing power consumption.AT91SAM7XC family adds integrated Ethernet, USB and CAN interface, and security features. Its extensive peripheralset includes a USB 2.0 Full Speed Device Port, Ethernet MAC 10/100 base T, CAN 2.0A and 2.0B compliant Controller,an AES128 encryption accelerator, a Triple DES, USARTs, SPI, SSC, TWI and an 8-channel 10-bit ADC. Its PeripheralDMA Controller channels eliminate processor bottlenecks during peripheral-to-memory transfers. Its System Controllermanages interrupts, clocks, power, time, debug and reset, significantly reducing the external chip count and minimizingpower consumption.Features • Proven architecture based on ten years experience in ARM-basedstandard products• Predictable response to a real-time event within a specified numberof clock cycles• Peripheral DMA eliminates bottlenecks inmemory-to-peripheral transfers• Advanced interrupt control enhances real-time performance• Single-instruction bit set/reset simplifies application code• <strong>Embedded</strong> Flash memory for flexible code and referencedata storage• Connectivity: USB, Ethernet, SPI, USART, etc.• Security: AES/TDES accelerators, memory lock bits, etc.AT91SAM7Arrow Electronics ARM Solutions1-866-910-3650


| 31Family Comparative Features Part Total Total Temp. °C Package Core Max A/D A/D Timer Timer Serial Interface GPIO Ethernet USB PeripheralsNumber Flash RAM Type Variant ID Frequency Bits Channels Channels Bits DescriptionAT91SAM7A3 256 32 -40 to +85 LQFP 100 ARM7TDMI 60 10 16 9 16 2xSPI, 2xSSC, TWI 62 – Device 2.0 –AT91SAM7S256 256 64 -40 to +85 LQFP 64 ARM7TDMI 55 10 8 3 16 SPI, 2USART, UART, SSC. TWI 32 – Device 2.0 –AT91SAM7S128 128 32 -40 to +85 LQFP 64 ARM7TDMI 55 10 8 3 16 SPI, 2xUSART, UART, SSC. TWI 32 – Device 2.0 –AT91SAM7S64 64 16 -40 to +85 LQFP 64 ARM7TDMI 55 10 8 3 16 SPI, SSC. TWI 32 – Device 2.0 –AT91SAM7S32 32 8 -40 to +85 LQFP 48 ARM7TDMI 55 10 8 2 16 SPI, SSC. TWI 21 – – –AT91SAM7S321 32 8 -40 to +85 LQFP 64 ARM7TDMI 55 10 8 3 16 SPI, SSC. TWI 32 – Device 2.0 –AT91SAM7SE512 512 32 -40 to +85 LQFP128, 144LFBGA ARM7TDMI 48 10 8 3 16 SPI, SSC, 2xUSART, TWI 88 – Device 2.0 –AT91SAM7SE256 256 32 -40 to +85 LQFP128, 144LFBGA ARM7TDMI 48 10 8 3 16 SPI, SSC, 2xUSART, TWI 88 – Device 2.0 –AT91SAM7SE32 32 8 -40 to +85 LQFP128, 144LFBGA ARM7TDMI 48 10 8 3 16 SPI, SSC, 2xUSART, TWI 88 – Device 2.0 –AT91SAM7X256 256 64 -40 to +85 LQFP 100 ARM7TDMI 55 10 8 3 16 2xSPI, 2xUSART, UART, SSC. TWI 60 MAC 10/100 Device 2.0 –AT91SAM7X128 128 32 -40 to +85 LQFP 100 ARM7TDMI 55 10 8 3 16 2xSPI, 2xUSART, UART, SSC. TWI 60 MAC 10/100 Device 2.0 –AT91SAM7XC256 256 64 -40 to +85 LQFP 100 ARM7TDMI 55 10 8 3 16 2xSPI, SSC. TWI 60 MAC 10/100 Device 2.0 –AT91SAM7XC128 128 32 -40 to +85 LQFP 100 ARM7TDMI 55 10 8 3 16 2xSPI, SSC. TWI 60 MAC 10/100 Device 2.0 –Development Tools Matrix Tool Name Description Part NumberAT91SAM7S-EK The AT91SAM7S-EK Evaluation Kit enables the evaluation of and code development for applications running on AT91SAM7S devices AT91SAM7S-EKAT91SAM7SE-EK The AT91SAM7SE-EK Evaluation Kit enables the evaluation of and code development for applications running on AT91SAM7SE devices AT91SAM7SE-EKAT91SAM7X-EK The AT91SAM7X-EK Evaluation Kit enables the evaluation of and code development for applications running on AT91SAM7X devices; contains crypto hardware - export restrictions apply AT91SAM7X-EKAT91SAM-ICE CE is a JTAG emulator designed for all Atmel AT91 ARM7 cores AT91SAM-ICEARM7 | Cortex-M3 | ARM9 | Cortex-R4 | XScale | ARM11 | Cortex-A8AT91SAM7X-EK Development BoardFor more information on Arrow’s ARM solutions, pricing, and availability, visit www.arrownac.com/arm or call 1-866-910-3650.To research and compare and contrast ARM solutions, visit www.embedded-developer.com.AT91SAM7 Family of Microcontrollers | ATMELwww.arrownac.com/arm


32 |AT91SAM9 32-bit ARM-based MicrocontrollersCore: ARM926EJ-SThe AT91SAM9 family is a pin-compatible ARM926EJ-S-based microcontroller familythat shares the same programming model as ARM7-based controllers, allowing directmigration between controllers based on different ARM cores.AT91SAM9260 operates at 210MIPS with a 190MHz clock. It features 8Kbytes ofSRAM and 32K bytes of ROM with single cycle access at maximum processor or bus speed, together with an external businterface with controllers for SDRAM and static memories including NAND Flash and CompactFlash. Its extensive peripheralset includes USB Full Speed Host and Device interfaces, a 10/100 Ethernet MAC, Image Sensor Interface, Multimedia CardInterface (MCI), Synchronous Serial Controllers (SSC), USARTs, Serial Peripheral Interfaces (SPI), a three-channel 16-bitTimer Counter, a Two Wire Interface (TWI) and four-channel 10-bit ADC and peripheral DMA channels maximize the datathroughput between these interfaces and the on- and off-chip memories.AT91SAM9261 operates at 210MIPS with a 190MHz clock. It features 160Kbytes of SRAM and 32Kbytes of ROMwith single cycle access at maximum processor or bus speed, together with an External Bus Iinterface (EBI) withcontrollers for SDRAM and static memories including NAND Flash and CompactFlash. Its extensive peripheral setincludes USB Full Speed Host and Device interfaces, an LCD Controller, MCI, SSC, USARTs, SPI, a three-channel16-bit Timer Counter, a TWI and peripheral DMA channels maximize the data throughput between these interfacesand the on- and off-chip memories.AT91SAM9263, the newest member of the Atmel’s ARM9 microcontroller family, operates at 220MIPS with a 200MHzclock. Its parallel bus architecture incorporating distributed DMA overcomes the bottlenecks that occur with conventionalMCUs in graphically-interfaced, data-intensive applications such as networked medical monitoring equipment and GPSnavigation systems. The AT91SAM9263 employs 27 DMA channels including Atmel’s 20-channel peripheral DMA controller(PDC), a 9-layer bus matrix, and two additional busses for data- and instruction-tightly-coupled-memories to boost CPUperformance and provide on-chip data transfer rates of up to 41.6 Gbps. Two External Bus Interfaces (EBIs) supportgigabyte-plus external memories. On-chip human interface peripherals include a camera interface, TFT/STN LCD controller,a 6-channel audio front-end interface (AC97), I 2 S and a 2D graphics co-processor that off-loads line draw, block transfer,polygon fill, and clipping functions from the CPU. Networking peripherals include a 12 Mbps USB host and device, a10/100 Ethernet MAC and a 1 Mbps control area network (CAN). There are also four USARTs, two 50 Mbps SPI,CompactFlash, SDIO (MCI) and a TWI.Features • Proven architecture based on ten years’ experience in ARM-basedstandard products• Predictable response to a real-time event within a specified numberof clock cycles• Peripheral DMA eliminates bottlenecks inmemory-to-peripheral transfers• Advanced interrupt control enhances real-time performance• Single-instruction bit set/reset simplifies application code• Connectivity: USB, Ethernet, SPI, USART, LCD, etc.• Code compatibility across all products• WinCE, Linux, extensive compiler and application supportfrom industry-leading third partiesAT91SAM9Arrow Electronics ARM Solutions1-866-910-3650


| 33Family Comparative Features Part Total Total Temp. °C Package Core Max A/D A/D Timer Timer Serial Interface GPIO Ethernet USB PeripheralsNumber Flash RAM Type Variant ID Frequency Bits Channels Channels Bits DescriptionAT91RM9200 0 160 -40 TO +85 BGA256, PQFP208 ARM920T 180 0 0 6 16 SPI, TWI, 3xSSC, – 1 Host2.0 EBI, MCI4xUSART Device 2.0AT91SAM9260 0 4 -40 to +85 PQFP208, LFBGA 217 ARM926EJ-S 180 10 4 6 16 2xSPI, 4xUSART, 96 MAC 10/100 2xHost 2.0, –2xUART, SSC, 2xTWI Device 2.0AT91SAM9261 0 160 -40 to +85 LFBGA 217 BGA 256 ARM926EJ-S 180 0 0 3 16 SPI, 4xUSART, UART, 96 – 2xHost 2.0, –3xSSC, TWI Device 2.0AT91SAM9263 0 80 -40 to +85 TFBGA324 ARM926EJ-S 200 0 0 2 and 3 32 and 16 2xCAN, 2xMCI, 2xSSC, 160 1 3 LCD, DMA, 2D Graphics, ITU-R BT.601/656AC97C, 3xUART, 2xSPIDevelopment Tools Matrix Tool Name Description Part NumberAT91RM9200-EK The AT91RM200-EK Evaluation Kit supports the AT91RM9200 ARM9-based 32-bit RISC microcontroller and enables real-time code development and evaluation AT91RM9200-EKAT91SAM9260-EK The AT91SAM9260-EK Evaluation Kit enables the evaluation of and code development for applications running on an AT91SAM9260 device AT91SAM9260-EKAT91SAM9261-EK The AT91SAM9261-EK Evaluation Kit enables the evaluation of and code development for applications running on an AT91SAM9261 device AT91SAM9261-EKAT91SAM9263-EK The AT91SAM9263-EK Evaluation Kit enables the evaluation of and code development for applications running on an AT91SAM9263 device AT91SAM9263-EKAT91SAM-ICE SAM-ICE is a JTAG emulator designed for all Atmel AT91 ARM9 cores AT91SAM-ICEEach member of the AT91SAM9 family are supported byEvaluation Boards and extensive third-party applicationdevelopment tools. These boards support both Linux andWindows CE. They have been developed for advancedsystem-on-chip applications that require both highcomputing performance and high data throughputs.ARM7 | Cortex-M3 | ARM9 | Cortex-R4 | XScale | ARM11 | Cortex-A8AT91SAM9263-EK Development KitFor more information on Arrow’s ARM solutions, pricing, and availability, visit www.arrownac.com/arm or call 1-866-910-3650.To research and compare and contrast ARM solutions, visit www.embedded-developer.com.AT91SAM9 Family of Microcontrollers | ATMELwww.arrownac.com/arm


34 |i.MX31 Multimedia Applications ProcessorsCore: ARM1136JF-SThe popular i.MX31 and i.MX31L multimedia applications processors are developedwith Freescale’s Smart Speed Technology to drive high performance applications atvery low power for extended battery life. The entire portfolio of i.MX processors offersa range of performance and price levels, on chip integration, and broad connectivityoptions. i.MX processors also have one of the best power-to-performance ratios ofany processor in their class. They are becoming the applications processors of choicefor portable media players, smartphones, automotive infotainment systems, V2IPphones, video surveillance systems, and many other devices. Freescale providesboard support packages (BSPs) to simplify and support development on leadingoperating systems and RTOSes. Freescale is a Gold-level Microsoft Windows<strong>Embedded</strong> Partner and offers BSPs for the three most recent Windows CE releases (4.2, 5.0, 6.0) Windows Mobile5.0 and the new Windows Mobile 6. The i.MX portfolio continues to grow, and new processors will be shipping inproduction this year.Features • CPU complex with L2 cache, vector floating point co-processor,and Smart Speed switch• Smart power management including support for multiple low powermodes, dynamic voltage frequency scaling, and dynamic processtemperature compensation• External memory interface with support for multipletypes of memory• Smart multimedia with support for hardware accelerated MPEG4encode, as well as pre & post processing• Display port with ability to support a variety of popular displaydevices and up to two displays simultaneously• Sensor port which provides connection to either one ortwo image sensors• System connectivity, including USB high speed OTG, CSPIs,I 2 C, PCMCIA, ATA, UARTs• 2D/3D graphics acceleration (only available on i.MX31)• Board support packages for the major operating systemsi.MX31 Block DiagramBenefits • High performance with 32-bit DDR and L2 cache• Long battery life for mobile applications• Ability to boot from NAND flash• MPEG4 playback at 30 fps VGA resolution• Interactive console-like gaming experience with OpenGL-ES basedgraphics acceleration• On chip LCDC eliminates the need for timing chips when usingcertain displays• Capture, process, and display of moving and still objects• High level of integration simplifies overall board design andlowers BOM costArrow Electronics ARM Solutions1-866-910-3650


| 35Family Comparative Features Part Temp °C Package Core Max Timer Timer Serial Interface USB PeripheralsNumber Type Variant ID Frequency Channels Bits DescriptionMCIMX31VKN5B 0 to +70 MAPBGA 457 ARM1136JF-S 532 2 32 3/I 2 C; 2/SSI/I 2 S; 3 CSPI; 2.0 high speed OTG + 2 hosts 5 UARTs/1 Fast IR, 1/1-wire I/F, LCD controller, multimedia accelerator,sensor port, 2D/3D graphics acceleratorMCIMX31LVKN5B 0 to +70 MAPBGA 457 ARM1136JF-S 532 2 32 3/I 2 C; 2/SSI/I 2 S; 3 CSPI; 2.0 high speed OTG + 2 hosts 5 UARTs/1 Fast IR, 1/1-wire I/F, LCD controller, multimedia accelerator, sensor portMCIMX31CVKN5C -40 to +85 MAPBGA 457 ARM1136JF-S 532 2 32 3/I 2 C; 2/SSI/I 2 S; 3 CSPI; 2.0 high speed OTG + 2 hosts 5 UARTs/1 Fast IR, 1/1-wire I/F, LCD controller, multimedia accelerator,sensor port, 2D/3D graphics acceleratorMCIMX31LCVKN5C -40 to +85 MAPBGA 457 ARM1136JF-S 532 2 32 3/I 2 C; 2/SSI/I 2 S; 3 CSPI; 2.0 high speed OTG + 2 hosts 5 UARTs/1 Fast IR, 1/1-wire I/F, LCD controller, multimedia accelerator, sensor portDevelopment Tools Matrix Tool Name Description Part Numberi.MX31 ADS Complete hardware development system with power management board and included features such as LCD, camera, and board support packages MCIMX31ADSEi.MX31 Lite Kit Low-cost development kit for basic evaluation and application development; peripheral accessories and software available separately MCIMX31LITEKITARM7 | Cortex-M3 | ARM9 | Cortex-R4 | XScale | ARM11 | Cortex-A8i.MX31 Lite Kiti.MX31 Application Development SystemFor more information on Arrow’s ARM solutions, pricing, and availability, visit www.arrownac.com/arm or call 1-866-910-3650.To research and compare and contrast ARM solutions, visit www.embedded-developer.com.i.MX31 Multimedia Applications Processors | Freescale Semiconductorwww.arrownac.com/arm


36 |Intel ® Network Processors and Intel ® I/O ProcessorsCore: Intel XScale ® TechnologyIntel XScale ® Technology is available in two families: The Intel ® network processors familyand the Intel ® I/O processors family of devices. With a single architecture and integrateddesign, the Intel ® IXP4XX product line of network processors delivers scalable performance,reduced power, and lower cost in packages optimized for residential and small/mediumenterprise network applications, as well as communications-based embedded applications.Many storage, networking, and embedded applications require fast I/O throughput foroptimal performance. Intel ® I/O processors (IOP) allow applications to transfer data faster,reduce communication bottlenecks, and improve overall system performance.Features • Intel XScale ® Microarchitecture running at up to 667 MHz• Intel ® network processors: Peripherals - USB, up to 3 10/100Ethernet MACs, PCI, DDR, Expansion Bus, UARTs, I 2 C, SSP• Intel ® network processors: Advanced Serial Interfaces includinga high speed serial port for connecting to T1/E1 orSLICs/CODECs; UTOPIA-2 Support;• Intel ® network processors: Integrated support for cryptography,time synchronization and ECC memory• Intel ® I/O processors: Integrated Designs• Intel ® I/O processors: I/O Processing Performance• Comprehensive Set of Development ToolsIntel ® IXP46X Product Line Block DiagramPMU(AHB)UART921KBaudIEEE1588InterruptControllerUTOPIA-2/MII/SMIIMII/Quad SMIIMII/SMIITimers66.66 MHz Advanced Peripheral BusUART921KBaudGPIOController16 GPIOHSS-0NPEAMII/SMIIUTOPIA, AAL,HSS, HDLCNPE B1 MII orQuad SMIINPE CMII/SMIIAES, DESSHA-1/-256/-384/-512, MO5BridgeHSS-1Bridge133.32 MHz Advanced High-Performance BusQueue Flag BusCryptography UnitEAI, SHAHW RNGQueue Manager8KB SRAM133.32 MHz Advanced High-Performance BusDDR1-266ControllerBus Interface UnitI 2 USBUSB PCI Expansion BusC SSPDevice v1.1Host 2.0** Controller ControllerIntel XScale ® Core266/400/533/667 MHz32 KB Data Cache32 KB Instruction Cache2 KB Mini-Data Cache32-bit32-bit +Parity32-bit +ECCMemory Port InterfaceBenefits • Intel’s groundbreaking new microarchitecture provides very highprocessor performance with extremely low power consumption;Intel XScale ® technology provides the platform for the mostadvanced designs in storage, infrastructure and embeddedcommunications• Provides reduced overall system cost as well as ease ofconnectivity to industry standard peripherals/devices• Support for voice applications and connection to industry standardWAN interface• Improves performance and reliability• I/O processors are available in single- or dual-chip configurationsthis provides developers with pre-validated component sets,simplified board designs, and board-space cost savings• Offloads I/O processing functions, such as I/O interrupt processingand parity calculations from a host processor; I/O processors arealso excellent general-purpose processors for high-bandwidthapplications that require integrated processors with low powerconsumption and high-speed peripherals• Faster time-to-market and support for multiple tool-chains andoperating systems on the Intel XScale ® microarchitecture**USB 2.0 Host supports low-speed (1.5 Mb/s) and full-speed (12 Mb/s) modes.Arrow Electronics ARM Solutions1-866-910-3650


| 37Family Comparative Features Part Total Temp °C Package Core Max A/D A/D Timer Timer Serial Interface GPIO Ethernet USB PeripheralsNumber RAM Type Variant ID Frequency Bits Channels Channels Bits DescriptionIXP43X eternal up to 1 GB -0 to +70 PBGA XScale 667 0 – 4 32 High Speed UART, SSP/SSI, I 2 C 16 2 x 10/100 2x2.0 HS Host DDR I/II, 32-bit 33 MHz PCI, 16-bit Expansion Bus, UTOPIA 2,DES/3DES/AES/SHA 1/SHA256/384/512, IEEE 1588IXP42X eternal up to 256 MB -40 to +85 PBGA XScale 533 0 – 4 32 2 High Speed UARTs 16 2 x 10/100 1x1.1 Device SDRAM, 32-bit 33 MHz PCI, 16-bit Expansion Bus,SHA-1/MD5/ES/DES,/AES80219 eternal up to 1 GB 0 to +55 FCBGA5 XScale 800 0 0 3 32 2xI 2 C 8 – – PCI, DDRIOP331/2 eternal up to 2 GB 0 to +95 FCBGA5 XScale 800 0 – 3 32 2xUARTs, 3xI 2 C 8 – – PCI-X/PCIe, DDRII, 266 MHz 64-bit internal busIOP333 eternal up to 2 GB 0 to +95 FCBGA5 XScale 800 0 – 3 32 2xUARTs, 3xI 2 C 16 – – PCI-X/PCIe, DDRII, 333 MHz 64-bit internal busIOP348 eternal up to 2 GB 0 to +95 FCBGA5 XScale 1200 0 0 3 32 2xUARTs, 3xI 2 C 16 – – PCI, PCIe, SAS/SATAII, DDIIIOP341/2 eternal up to 2 GB 0 to +95 FCBGA5 XScale 1200 0 – 3 32 2xUARTs, 3xI 2 C 16 – – PCI-X/PCIe, 1 or two XScale processor cores, DDRII, 400 MHz128-bit internal busIXP46X eternal up to 1 GB -40 to +85 PBGA XScale 667 0 – 4 32 2 High Speed UARTs 16 3 x 10/100 1.1 Device, 2.0 DDR I, 32-bit 33 MHz PCI, 32-bit Expansion Bus, FS HostSSP/SSI, I 2 C UTOPIA 2, DES/3DES/AES/SHA1/ SHA256/384/512, IEEE 1588Development Tools Matrix Tool Name Description Part NumberIntel ® IXDP465 Development Platform Intel ® IXDP465 Development Platform, optional T1/E1, Voice, and Ethernet Modules; includes 4 PCI expansion slots, 3 Ethernet ports, USB host and device, 2 UARTS KIXDP465ADIntel ® IXDP425 / IXCDP1100 Development Platform Intel ® IXDP425 / IXCDP1100 Development Platform, Network processor base card with the Intel ® IXP425 network processor at 533 MHz, Two Intel ® LXT972A LAN PHY expansion cards, KIXDP425BDOne ADSL PHY expansion card, One voltage regulator expansion card, Two High-Speed Serial (HSS) ports, Two UART (DB-9) connectors, One USB connector, Four PCI bus connectorsIntel ® KIXRP435 Development Platform Intel ® KIXRP435 Development Platform Includes 10/100 802.11a/g WLAN, 3x10/100 Ethernet, 2 Wideband FXS + 1 FXO, 2xUSB 2.0, UART, IR, RCA, Audio, Component Video, S-Video KIXRP435 - HamoaIntel ® IQ80332 Software Development Features Intel ® 82545EM Gigabit Ethernet Controller, Primary PCI- PCI Express* supports up to x8 lane, Secondary PCI is PCI-X, two UARTs, Two 7-segment hex LED displays in a dPCI IQ80332and Processor Evaluation KitExpress form factorIntel ® IQ80219 Development Kit Intel ® IQ80219 Development Kit featuring a primary PCI-X interface 133 MHz/64-bit or PCI 66 MHz/64-bit, Two Intel ® 31244 Serial ATA I/O controllers, Intel ® BW31154 PCI 133 MHz IQ80219.DOMtransparent bridge,256MB DDR SDRAM with ECC, one PCI-X 64-bit/100 MHz expansion slotIntel ® EP80219 Development Intel ® EP80219 Development Kit features a 10/100 Ethernet controller, one GD31244 SATA controller, a serial port, and a mini-PCI connector for expansion, RTC, Power control, EP80219and Temp SensorARM7 | Cortex-M3 | ARM9 | Cortex-R4 | X Scale | ARM11 | Cortex-A8The IQ81342MC board features a 1.2GHZ two core Intel ®IOP342 I/O processors processor. This board has dual UARTs,Dual Gbit Ethernet and a x8 PCI Express slot and a 64-bit PCI-Xslot for expansion, and fits in a standard flex-ATX chassis.For more information on Arrow’s ARM solutions, pricing, and availability, visit www.arrownac.com/arm or call 1-866-910-3650.To research and compare and contrast ARM solutions, visit www.embedded-developer.com.Intel, the Intel logo, and Intel XScale are trademarks or registered trademarks of Intel Corporation or itssubsidiaries in the United States and other countries. *Other names and brands may be claimed as theproperty of others.Intel ® Network Processors and Intel ® I/O Processors | Intel ®www.arrownac.com/arm


38 |Stellaris ® FamilyCore: Cortex-M3Luminary Micro, Inc. designs, markets, and sells ARM ® Cortex-M3-based microcontrollers(MCUs). As ARM’s lead partner for Cortex-M3 technology, Luminary Micro has deliveredthe world’s first silicon implementation of the Cortex-M3 processor, providing 32-bitperformance at 8-/16-bit cost. Luminary Micro’s Stellaris family of microcontrollersincorporates the ARM Cortex-M3 core running up to 50 MHz, single-cycle embeddedFlash and SRAM, a low-dropout voltage regulator, integrated brown-out reset andpower-on reset functions, analog comparators, 10-bit ADC, SSI, GPIOs, watchdog andgeneral purpose timers, UARTs, I 2 C, motion control PWMs, and quadrature encoderinputs. With peripherals provided directly to the pins without feature multiplexing, thisrich feature set is ideal for applications such as building and home automation; factoryautomation and control; industrial control power devices; stepper motors; brushedand brushless DC motors; and AC induction motors.Features • Single-cycle flash and single-cycle SRAM accesses formaximum performance• Deterministic, fast interrupt processing: always 12 cycles,or just 6 cycles with tail-chaining• Single-cycle multiply instruction and hardware divide for fastcontrol algorithm performance• All GPIOs can generate interrupts, are 5V-tolerant, and haveprogrammable drive strength and slew rate control• Advanced motion control support in hardware and softwarespeeds time to market• ARM Thumb-2 mixed 16-/32-bit instruction set for compact,powerful code• Extra on-chip debug support including data watchpointsand flash patching• Integrated low-dropout voltage regulator, brown-out reset,and power-on reset functions for easy system design• An instruction-set-compatible family with prices from $1 andspeeds up to 1 GHz, the Cortex processor family can grow withyour application needs• Cost-effective: utilize 32-bit performance for the same priceas current 8- and 16-bit microcontroller designs• Program only in C; no assembly code ever requiredStellaris Family Block DiagramBenefits • Requires half the flash (code space) of ARM7 applications• 2-4 times faster than ARM7 and 8-10 times faster than othercompeting architectures in typical MCU control applications• Both analog comparators and ADC functionality provide on-chipsystem options to balance hardware and software performance• No functional pin muxing-choose your part by the functions youneed for easy design-inArrow Electronics ARM Solutions1-866-910-3650


| 39Family Comparative Features Part Total Total Temp. °C Package Core Max. A/D A/D Timer Timer Serial Interface GPIO Ethernet USB PeripheralsNumber Flash RAM Type Variant ID Frequency Bits Channels Channels Bits DescriptionLM3S101 8 2 -40 to +85 SOIC28 ARM Cortex-M3 20 0 0 4 32 UART, SSI 18 – – 2 AnaCmp, 1 CCP Pin, LDO Voltage RegulatorLM3S102 8 2 -40 to +85 SOIC28 ARM Cortex-M3 20 0 0 4 32 UART, SSI, I 2 C 18 – – 1 AnaCmp, 2 CCP Pins, LDO Voltage RegulatorLM3S301 16 2 -40 to +85 LQFP48 ARM Cortex-M3 20 10 3 4 32 UART, SSI 33 – – 2 AnaCmp, 2 PWM Pins, 2 CCP Pins, LDO Voltage RegulatorLM3S310 16 4 -40 to +85 LQFP48 ARM Cortex-M3 25 0 0 5 32 2xUART, SSI 36 – – 3 AnaCmp, 6 PWM Pins, 6 CCP Pins, LDO Voltage RegulatorLM3S315 16 4 -40 to +85 LQFP48 ARM Cortex-M3 25 10 4 5 32 2xUART, SSI 32 – – 1 AnaCmp, 2 PWM Pins, 6 CCP Pins, LDO Voltage RegulatorLM3S316 16 4 -40 to +85 LQFP48 ARM Cortex-M3 25 10 4 5 32 2xUART, SSI, I 2 C 32 – – 1 AnaCmp, 4 PWM Pins, 6 CCP Pins, LDO Voltage RegulatorLM3S317 16 4 -40 to +85 LQFP48 ARM Cortex-M3 25 10 6 5 32 UART, SSI 30 – – 1 AnaCmp, 6 PWM Pins, 6 CCP Pins, LDO Voltage RegulatorLM3S328 16 4 -40 to +85 LQFP48 ARM Cortex-M3 25 10 8 5 32 2xUART, SSI, I 2 C 28 – – 6 CCP Pins, LDO Voltage RegulatorLM3S601 32 8 -40 to +85 LQFP48 ARM Cortex-M3 50 0 0 5 32 2xUART, SSI, I 2 C 36 – – 3 AnaCmp, 6 PWM Pins, 6 CCP pins, Quadrature Encoder, LDO Voltage RegulatorLM3S610 32 8 -40 to +85 LQFP48 ARM Cortex-M3 50 10 2 5 32 2xUART, SSI, I 2 C 34 – – 6 PWM Pins, 6 CCP Pins, LDO Voltage RegulatorLM3S611 32 8 -40 to +85 LQFP48 ARM Cortex-M3 50 10 4 5 32 2xUART, SSI, I 2 C 32 – – 6 PWM Pins, 6 CCP Pins, LDO Voltage RegulatorLM3S612 32 8 -40 to +85 LQFP48 ARM Cortex-M3 50 10 2 5 32 2xUART, SSI, I 2 C 34 – – 1 AnaCmp, 2 PWM Pins, 6 CCP Pins, LDO Voltage RegulatorLM3S613 32 8 -40 to +85 LQFP48 ARM Cortex-M3 50 10 4 5 32 2xUART, SSI, I 2 C 32 – – 1 AnaCmp, 4 PWM Pins, 6 CCP Pins, LDO Voltage RegulatorLM3S615 32 8 -40 to +85 LQFP48 ARM Cortex-M3 50 10 2 5 32 2xUART, SSI, I 2 C 34 – – 3 AnaCmp, 6 PWM Pins, 6 CCP Pins, LDO Voltage RegulatorLM3S617 32 8 -40 to +85 LQFP48 ARM Cortex-M3 50 10 6 5 32 2xUART, SSI 30 – – 1 AnaCmp, 6 PWM Pins, 6 CCP Pins, LDO Voltage RegulatorLM3S618 32 8 -40 to +85 LQFP48 ARM Cortex-M3 50 10 6 5 32 2xUART, SSI 30 – – 1 AnaCmp, 6 PWM Pins, 4 CCP Pins, Quadrature Encoder, LDO Voltage RegulatorLM3S628 32 8 -40 to +85 LQFP48 ARM Cortex-M3 50 10 8 5 32 2xUART, SSI, I 2 C 28 – – 4 CCP Pins, LDO Voltage RegulatorLM3S801 64 8 -40 to +85 LQFP48 ARM Cortex-M3 50 0 0 5 32 2xUART, SSI, I 2 C 36 – – 3 AnaCmp, 6 PWM Pins, 6 CCP Pins, Quadrature Encoder, LDO Voltage RegulatorLM3S811 64 8 -40 to +85 LQFP48 ARM Cortex-M3 50 10 4 5 32 2xUART, SSI, I 2 C 32 – – 1 AnaCmp, 6 PWM Pins, 6 CCP Pins, LDO Voltage RegulatorLM3S812 64 8 -40 to +85 LQFP48 ARM Cortex-M3 50 10 2 5 32 2xUART, SSI, I 2 C 34 – – 1 AnaCmp, 2 PWM Pins, 6 CCP Pins, LDO Voltage RegulatorLM3S815 64 8 -40 to +85 LQFP48 ARM Cortex-M3 50 10 2 5 32 2xUART, SSI, I 2 C 34 – – 3 AnaCmp, 6 PWM Pins, 6 CCP Pins, LDO Voltage RegulatorLM3S817 64 8 -40 to +85 LQFP48 ARM Cortex-M3 50 10 6 5 32 2xUART, SSI 30 – – 1 AnaCmp, 6 PWM Pins, 6 CCP Pins, LDO Voltage RegulatorLM3S818 64 8 -40 to +85 LQFP48 ARM Cortex-M3 50 10 6 5 32 2xUART, SSI 30 – – 1 AnaCmp, 6 PWM Pins, 4 CCP Pins, Quadrature Encoder, LDO Voltage RegulatorLM3S828 64 8 -40 to +85 LQFP48 ARM Cortex-M3 50 10 8 5 32 2xUART, SSI, I 2 C 28 – – 6 CCP Pins, LDO Voltage RegulatorDevelopment Tools Matrix Tool NameStellaris Family Development Kit for LM3S101Stellaris Family Development Kit for LM3S102Stellaris Family Development Kit for LM3S301Stellaris Family Development Kit for LM3S310, LM3S601, and LM3S801Stellaris Family Development Kit for LM3S315, LM3S316, LM3S611, LM3S613, and LM3S811Stellaris Family Development Kit for LM3S610, LM3S612, LM3S615, LM3S812, and LM3S815Stellaris Family Development Kit for LM3S317, LM3S617, and LM3S817Stellaris Family Development Kit for LM3S618, and LM3S818Stellaris Family Development Kit for LM3S328, LM3S628, and LM3S828Stellaris Family Additional Daughter Board for LM3S101Stellaris Family Additional Daughter Board for LM3S102Stellaris Family Additional Daughter Board for LM3S301Stellaris Family Additional Daughter Board for LM3S310, LM3S601, and LM3S801Stellaris Family Additional Daughter Board for LM3S315, LM3S316, LM3S611, LM3S613, and LM3S811Stellaris Family Additional Daughter Board for LM3S610, LM3S612, LM3S615, LM3S812, and LM3S815Stellaris Family Additional Daughter Board for LM3S317, LM3S617, and LM3S817Stellaris Family Additional Daughter Board for LM3S618, and LM3S818Stellaris Family Additional Daughter Board for LM3S328, LM3S628, and LM3S828Stellaris LM3S811 Evaluation Kit for CodeSourceryG++ GNU ToolsStellaris LM3S811 Evaluation Kit for IAR Systems <strong>Embedded</strong> Workbench ToolsStellaris LM3S811 Evaluation Kit for Keil RealView ® MDK-ARM ToolsIAR Kickstart Kit for Stellaris LM3S102 MicrocontrollerRowley CrossFire LM3S102 Evaluation KitPart NumberDK-LM3S101DK-LM3S102DK-LM3S301DK-LM3S801DK-LM3S811DK-LM3S815DK-LM3S817DK-LM3S818DK-LM3S828DB-LM3S101DB-LM3S102DB-LM3S301DB-LM3S801DB-LM3S811DB-LM3S815DB-LM3S817DB-LM3S818DB-LM3S828EKC-LM3S811EKI-LM3S811EKK-LM3S811IA-LM3S102RA-LM3S102ARM7 | Cortex-M3 | ARM9 | Cortex-R4 | XScale | ARM11 | Cortex-A8Stellaris LM3S811 Evaluation KitFor more information on Arrow’s ARM solutions, pricing, and availability, visit www.arrownac.com/arm or call 1-866-910-3650.To research and compare and contrast ARM solutions, visit www.embedded-developer.com.Stellaris Family of Microcontrollers | Luminary Microwww.arrownac.com/arm


40 |LPC210x 70 MHz, 32-bit microcontroller withARM7TDMI-SCore: ARM7TDMI-SThese powerful yet cost-effective microcontrollers have up to 32 KB of zerowait-state Flash and up to 8 KB of SRAM. Each has a 10-bit A/D converter witheight channels and multiple serial interfaces.The lowest-priced part, the LPC2101, starts at only USD $1.47 each for 10 Kpcs,making it an attractive alternative to lower performing 8- or 16-bit MCUs. Blendinghigh performance (63 Dhrystone MIPs) with low power consumption in a tiny 7 mm x 7 mm LQFP48 package makes thepart ideal for almost any application.These cost-effective processors are stuffed with a variety of peripherals, including 10-bit ADCs, 4 timers, and multipleI 2 C, SPI, and UART interfaces. The series also features several new power-saving modes and fast general-purpose I/O,allowing more flexibility for designers. The code and peripherals are fully compatible with all of the other members ofthe NXP LPC2000 family, which has nearly 40 members and continues to grow.Features • 70-MHz, 32-bit ARM7 Core Architecture with AHB/APB interfaces• Up to 32 KB of zero wait-state Flash• Fast 70 MHz performance at 63 Dhrystone MIPs• Tiny 7mm x 7mm LQFP packaging• Stuffed with low-power features and advanced peripherals• Incredibly low pricing starting at $1.47LPC210x Block DiagramBenefits • Ideal upgrade for any application using lower performance8- or 16-bit MCUs• Ideal for almost any application• Design flexibilityArrow Electronics ARM Solutions1-866-910-3650


| 41Family Comparative Features Part Total Total Temp. °C Package Core Max A/D A/D Timer Timer Serial Interface GPIO Ethernet USB PeripheralsNumber Flash RAM Type Variant ID Frequency Bits Channels Channels Bits DescriptionLPC2101 8 2 -40 to +85 LQFP48 ARM7TDMI-S 70 10 8 7 32 2xUART, SPI, SSP 32 – – –LPC2102 16 4 -40 to +85 LQFP48 ARM7TDMI-S 70 10 8 7 32 2xUART, SPI, SSP 32 – – –LPC2103 32 8 -40 to +85 LQFP48 ARM7TDMI-S 70 10 8 7 32 2xUART, SPI, SSP 32 – – –Development Tools Matrix Tool Name Description Part NumberMCB2103 evaluation board from Keil The evaluation board connects to your PC using the serial port (for flash download with the NXP LPC2000 FLASH Utility) or the JTAG interface; it can be powered from a USB connector MCB2103(50mA typical) or from a 5V to 9V DC power supply; debugging is supported via the JTAG interface using the Keil ULINK USB-JTAG adapter and the _Vision IDE and DebuggerARM7 | Cortex-M3 | ARM9 | Cortex-R4 | Xscale | ARM11 | Cortex-A8MCB2103 Keil Evaluation BoardFor more information on Arrow’s ARM solutions, pricing, and availability, visit www.arrownac.com/arm or call 1-866-910-3650.To research and compare and contrast ARM solutions, visit www.embedded-developer.com.NXP Family of Microcontrollers | NXPwww.arrownac.com/arm


42 |LPC23xx and LPC24xx 72 MHz, 32-bitmicrocontrollers with ARM7TDMI-S coreCore: ARM7TDMI-SThe LPC23xx and LPC24xx use a high-performance 32-bit ARM7 core that operatesat up to 72 MHz. Each device has 512 KB of on-chip Flash. The LPC23xx offers upto 58 KB of SRAM, while the LPC24xx offers up to 98 KB of SRAM. Both deviceshave two AHB buses, so high-bandwidth peripherals like Ethernet and USB can runsimultaneously, without impacting the main application. The LPC24xx is also the onlyARM7 MCU with two-port USB capability; it has one USB device, and one USBHost or OTG. This unique ability enables new advances for multiple communications applications by supporting compound(Host + device) USB functionality, such as a USB mini-hub.Features • On-chip RC-oscillator 4 Mhz trimmed to 1%• Four 32-bit general purpose timers• PWM block supporting 3-Phase Motor Control• Watchdog timer from multiple clock source options• 10-bit A/D converter and 10-bit D/A converter• Low-power Real Time Clock with 2 KB SRAM andbattery back-up• General Purpose DMA controller• High-speed Serial: I 2 S (digital Audio), three I 2 C,three SPI/SSP, four UARTsLPC24xx Block DiagramBenefits • Allows fast simultaneous communications operations• Eliminates communication bandwidth bottlenecks• Design flexibilityArrow Electronics ARM Solutions1-866-910-3650


| 43Family Comparative Features Part Total Total Temp.°C Package Core Max A/D A/D Timer Timer Serial Interface GPIO Ethernet USB PeripheralsNumber Flash RAM Type Variant ID Frequency Bits Channels Channels Bits DescriptionLPC2468FET208 512 98 -40 to +85 TFBGA208 ARM7TDMI-S 72 10 8 4 32 2xSSP, I 2 S, 4xUART, 3xI 2 C – 10/100 2.0 FS, OTG 2xCANLPC2468FBD208 512 98 -40 to +85 LQFP208 ARM7TDMI-S 72 10 8 4 32 2xSSP, I 2 S, 4xUART, 3xI 2 C – 10/100 2.0 FS, OTG 2xCANLPC2378FBD144 512 58 -40 to +85 LQFP144 ARM7TDMI-S 72 10 8 4 32 SPI, 2xSSP, I 2 S, 4xUART, 3xI 2 C – 10/100 2.0 FS, OTG 2xCANLPC2368FBD100 512 58 -40 to +85 LQFP100 ARM7TDMI-S 72 10 8 4 32 SPI, 2xSSP, I 2 S, 4xUART, 3xI 2 C 70 10/100 2.0 FS, OTG 2xCANLPC2366FBD100 256 58 -40 to +85 LQFP100 ARM7TDMI-S 72 10 8 4 32 SPI, 2xSSP, I 2 S, 4xUART, 3xI 2 C 70 10/100 2.0 high speed OTG + 2 hosts 2xCANLPC2364FBD100 128 34 -40 to +85 LQFP100 ARM7TDMI-S 72 10 8 4 32 SPI, 2xSSP, I 2 S, 4xUART, 3xI 2 C 70 10/100 2.0 high speed OTG + 2 hosts 2xCANDevelopment Tools Matrix Tool Name Description Part NumberKeil MCB2300 Evaluation Boards The Keil MCB2300 Evaluation Boards introduce you to the NXP LPC23xx series of ARM microcontrollers and allow you to create and test working programs for this advanced architecture; MCB2300two versions of the board are available: the MCB2360 for the 100-pin LPC2368 and the MCB2370 for 144-pin LPC2378Keil RealView Microcontroller Development Kit The RealView Microcontroller Development Kit (MDK) supports the LPC24xx family of microcontrollers from NXP; this kit is perfect for the developer who requires industry-standard compilation MDK-ARMtools and sophisticated debugging supportKeil ULINK2 The Keil ULINK2 USB-JTAG Adapter connects your PC's USB port to your target hardware (via JTAG, SWD, or OCDS) and allows you to debug embedded programs running on target hardware; ULINK2ULINK2 offers all the features of the original ULINK USB-JTAG Adapter and adds serial wire debug (SWD) support, return clock support, and a real-time agent; ULINK2 works with standardWindows USB driversARM7 | Cortex-M3 | ARM9 | Cortex-R4 | XScale | ARM11 | Cortex-A8For more information on Arrow’s ARM solutions, pricing, and availability, visit www.arrownac.com/arm or call 1-866-910-3650.To research and compare and contrast ARM solutions, visit www.embedded-developer.com.NXP Family of Microcontrollers | NXPwww.arrownac.com/arm


44 |LPC2478 72 MHz, 32-bit ARM-based microcontrollerwith integrated LCD supportCore: ARM7TDMI-SThese innovative and cost-effective microcontrollers support concurrent operationsof high-bandwidth peripherals with significant power savings. The large array ofperipherals supported by these devices in addition to the LCD interface include10/100 Ethernet, USB host/OTG/device, two CAN channels, four UARTs, threeI 2 C buses, two-input and two-output I 2 S, SPI, SSP, RTC, ADC/DAC, SD/MMCcard interface, external interfaces to SRAM, SDRAM and NOR Flash.The LPC2478 microcontroller is the industry’s only ARM7 Flash-based MCU offering integrated LCD support as wellas a Flashless version, the LPC2470.Features • 72-MHz, 32-bit ARM7 core with dual AHB interfaces• 512 KB of fast 128-bit wide embedded Flash (LPC2478 only)• LCD interface• 10/100 Ethernet MAC interface with DMA• USB 2.0 full-speed OTG/Device/OHCI plus PHY and DMA• Two CAN 2.0B controllers with acceptance filtering• External interfaces to SRAM, SDRAM, and NOR Flash• 10-bit A/D converter and 10-bit D/A converterLPC247x Block DiagramBenefits • Significant savings in cost, area, and power consumption• Ideal for a wide range of industrial, consumer, retail andmedical systems using LCD panels and requiring networkor Internet connectivity• LCD implementation allows code execution on-chipArrow Electronics ARM Solutions1-866-910-3650


| 45Family Comparative Features Part Total Total Temp. °C Package Core Max A/D A/D Timer Timer Serial Interface GPIO Ethernet USB PeripheralsNumber Flash RAM Type Variant ID Frequency Bits Channels Channels Bits DescriptionLPC2470FBD208 0 98 -40 to +85 LQFP208 ARM7TDMI 72 10 8 4 32 4xUART(1xIrDA), 2xCAN, 160 1 1 LCD (1024x768), 10/100 with MII/RMII and DMA,2.0/OTG SPI, 2xSSP, 3xI 2 C, I 2 SUSB 2.0/OTG w/PHY and DMA, SD/MMCLPC2470FET208 0 98 -40 to +85 TFBGA208 ARM7TDMI 72 10 8 4 32 4xUART(1xIrDA), 2xCAN, 160 1 1 LCD (1024x768), 10/100 with MII/RMII and DMA,SPI, 2xSSP, 3xI 2 C, I 2 SUSB 2.0/OTG w/PHY and DMA, SD/MMCLPC2478FBD208 512 98 -40 to +85 LQFP208 ARM7TDMI 72 10 8 4 32 4xUART(1xIrDA), 2xCAN, 160 1 1 LCD (1024x768), 10/100 with MII/RMII and DMA,SPI, 2xSSP, 3xI 2 C, I 2 SUSB 2.0/OTG w/PHY and DMA, SD/MMCLPC2478FET208 512 98 -40 to +85 TFBGA208 ARM7TDMI 72 10 8 4 32 4xUART(1xIrDA), 2xCAN, 160 1 1 LCD (1024x768), 10/100 with MII/RMII and DMA,SPI, 2xSSP, 3xI 2 C, I 2 SUSB 2.0/OTG w/PHY and DMA, SD/MMCDevelopment Tools Matrix Tool Name Description Part NumberKeil RealView Microcontroller Development Kit The RealView Microcontroller Development Kit (MDK) supports the LPC24xx family of microcontrollers from NXP; this kit is perfect for the developer who requires industry-standard MDK-ARMcompilation tools and sophisticated debugging supportKeil ULINK2 The Keil ULINK2 USB-JTAG Adapter connects your PC's USB port to your target hardware (via JTAG, SWD, or OCDS) and allows you to debug embedded programs running on target ULINK2hardware; ULINK2 offers all the features of the original ULINK USB-JTAG Adapter and adds serial wire debug (SWD) support, return clock support, and a real-time agent; ULINK2 workswith standard Windows USB driversARM7 | Cortex-M3 | ARM9 | Cortex-R4 | XScale | ARM11 | Cortex-A8For more information on Arrow’s ARM solutions, pricing, and availability, visit www.arrownac.com/arm or call 1-866-910-3650.To research and compare and contrast ARM solutions, visit www.embedded-developer.com.NXP Family of Microcontrollers | NXPwww.arrownac.com/arm


46 |STR7 and STR9 FamiliesCore: ARM7TDMI, ARM966E-SSTMicroelectronics brings the power of 32-bit ARM ® processor cores to the worldof microcontrollers, opening endless opportunities to embedded system designersby making control and connectivity applications easy and affordable. With a widerange of embedded memories, peripherals and architectural enhancements, ST'sSTR7 and STR9 families help scale designs to achieve the best fit for an application.STR7 and STR9 families address needs, from low-end to high-performance,with a common set of tools and software, thus reducing cost and time to market.Features STR710 ARM7• Performance up to 45 MIPs @ 50 MHz, 3.0 to 3.6V,and -40 to +85°C• STR7’s biggest RAM (64 KB)• The most UARTs (4)• External memory interface• Peripherals include CAN, USB, 4xUARTs,and SC interface (ISO7816)• Consumer and industrial applicationsSTR730 ARM7• Performance up to 32 MIPs @ 36 MHz, 4.5 to 5.5V,and -40 to +85°C, or up to +105°C• The most timers (20)• The most CANs (3), UARTs (4), and the most I/Os (112)• Peripherals include 3xCANs, 4xUARTs, and up to 20 timers, 16 DMA• Industrial and automotive related applicationsSTR7 and STR9 Portfolio DiagramSTR750 ARM7• Performance up to 54 MIPs @ 60 MHz, 3.0 to 3.6V or 4.5 to 5.5V,and -40 to +85°C, or up to +105°C• The best integration and balanced control/communication• Safety and low power• Unique motor control peripherals• Suitable for many general-purpose applications• Peripherals include CAN, USB, 3xUARTs, and advanced timers• General-purpose and vector drive applicationsSTR910 ARM9E• Performance up to 96 MIPs @ 96 MHz, 2.7 to 3.6V I/O,1.8V core, and -40 to +85°C• Highest performance (96 MHz ARM9E)• Largest Flash/RAM memory size (544 KB/96 KB)• Ethernet connectivity• Designed to complement STR7 for bigger memory, higherperformance and Ethernet connectivity• Binary compatible with ARM7TDMI core code• Peripherals include Ethernet, USB, CAN, and 3xUARTs• Performance and connectivity applicationsArrow Electronics ARM Solutions1-866-910-3650


| 47STR730FCore: ARM7TDMIFeatures • Largest choice of peripherals and interfaces including4xUART, up to 20 timers and up to 3xCAN• Flexible power and clock management• Five low-power modes• Low-power voltage regulator• Extensive software and tools including the completeSTR7 library supporting all standard peripherals and CAN• Dual APB buses architecture• Single 5V power supply• 16-channel DMABenefits • Reduces system cost with all peripherals in one chip• Full control over your power consumptionand performance/power tradeoffs• Precisely manage low-power vs. performance• Built-in voltage regulator means fewer external components• Software library dramatically reduces development time andincreases ease-of-use• Increased overall performance due to dual buses• Native 5V supply of industrial applications; no 3.3Vconversion needed• DMA lowers CPU load, optimized access to memorySTR730F Flash microcontrollers combine the industry standard ARM7TDMI ® RISCmicroprocessor with embedded Flash and powerful peripheral functions, includingup to 20 timers, 4xUARTs and 3xCANs. The STR730F MCUs are ideal for embeddedapplications requiring a compact yet powerful MCU, as well as versatile, scalablesolutions such as user interfaces, factory automation systems and appliances.Additionally, the STR730F family features a single 5V power supply particularlysuited to industrial applications.STR730F Block DiagramARM7 | Cortex-M3 | ARM9 | Cortex-R4 | XScale | ARM11 | Cortex-A8For more information on Arrow’s ARM solutions, pricing, and availability, visit www.arrownac.com/arm or call 1-866-910-3650.To research and compare and contrast ARM solutions, visit www.embedded-developer.com.STR730F Family of Microcontrollers | STMicroelectronicswww.arrownac.com/arm


48 |STR710FCore: ARM7TDMIThe STR710F series is loaded with many communication interfaces including USB,CAN, ISO7816 and four UARTs. It is endowed with the biggest RAM of all STR7MCUs (up to 64 KB) and implements an optional external memory interface. Thismakes it a perfect fit for consumer, point of sales and high-end industrial applications.The STR710F also features high performance, very low power, and very dense code,and ST's latest 0.18µ embedded Flash technology.Features • Largest choice of peripherals and interfaces, includingUSB and CAN• Flexible power and clock management• Superior RAM/FLASH ratio• High-quality embedded Flash with 16 K extra Flash forEE emulation (20 year retention at 85°C)• Extensive package options including the space efficient8x8 LFBGA64 and 10x10 LFBGA 144• Extensive software and tool support including the completeSTR7 library for USBSTR710F Series Block DiagramBenefits • Reduces system cost with all peripherals on one chip• Allows full control over power consumption andperformance/power tradeoffs• Unlimited possibilities - up to 64 K RAM, and always above16 K even with smallest Flash option• 16 K extra Flash reduces system cost with no need forexternal EEPROM• Software and tools support dramatically reduces development timeand increases ease-of-useArrow Electronics ARM Solutions1-866-910-3650


| 49Family Comparative Features Part Total Total Temp. °C Package Core Max A/D A/D Timer Timer Serial Interface GPIO Ethernet USB PeripheralsNumber Flash RAM Type Variant ID Frequency Bits Channels Channels Bits DescriptionSTR710FZ1 144 32 -40 to +85 BGA144, LQFP144 ARM7TDMI 66 12 4 4 16 4xUART, 2xSPI, 2xI 2 C, CAN, SC 48 — Device 2.0 EMISTR710FZ2 272 64 -40 to +85 BGA144, LQFP144 ARM7TDMI 66 12 4 4 16 4xUART, 2xSPI, 2xI 2 C, CAN, SC 48 — Device 2.0 EMISTR711FR0 80 16 -40 to +85 BGA64, LQFP64 ARM7TDMI 66 12 4 4 16 4xUART, 2xSPI, 2xI 2 C, SC 30 — Device 2.0 —STR711FR1 144 32 -40 to +85 BGA64, LQFP64 ARM7TDMI 66 12 4 4 16 4xUART, 2xSPI, 2xI 2 C, SC 30 — Device 2.0 —STR711FR2 272 64 -40 to +85 BGA64, LQFP64 ARM7TDMI 66 12 4 4 16 4xUART, 2xSPI, 2xI 2 C, SC 30 — Device 2.0 —STR712FR0 80 16 -40 to +85 BGA64, LQFP64 ARM7TDMI 66 12 4 4 16 4xUART, 2xSPI, 2xI 2 C, CAN, SC 32 — — —STR712FR1 144 32 -40 to +85 BGA64, LQFP64 ARM7TDMI 66 12 4 4 16 4xUART, 2xSPI, 2xI 2 C, CAN, SC 32 — — —STR712FR2 272 64 -40 to +85 BGA64, LQFP64 ARM7TDMI 66 12 4 4 16 4xUART, 2xSPI, 2xI 2 C, CAN, SC 32 — — —STR715FR0 80 16 -40 to +85 BGA64, LQFP64 ARM7TDMI 66 12 4 4 16 4xUART, 2xSPI, 2xI 2 C, SC 32 — — —Development Tools Matrix Tool Name Description Part NumberREva Starter Kit - Raisonance The REva starter kit from Raisonance is a cost-effective and complete solution for evaluating and starting application development STR71X-SK/RAISIAR KickStart Kit with STR711 IAR KickStart Kits are cost effective and complete evaluation and development systems in a single package; they are designed to help you to a flying start by providing all the necessary hardware STR711-SK/IARand software you need to design, implement and test your STR7 application; includes J-Link: In-circuit debugger/programmer, featuring USB host interface and industry standard JTAG interface forapplication board connection; with STR711 target MCUIAR KickStart Kit with STR712 IAR KickStart Kits are cost effective and complete evaluation and development systems in a single package; they are designed to help you to a flying start by providing all the necessary hardware STR712-SK/IARand software you need to design, implement and test your STR7 application; includes J-Link: In-circuit debugger/programmer, featuring USB host interface and industry standard JTAG interface forapplication board connection; with STR712 target MCUHitex Starter Kit The Hitex Starter Kit for STR7 is a complete solution for evaluating and starting application development with ST ARM core-based microcontrollers; includes: application board; Tantino, in-circuit STR710-SK/HITdebugger/programmer, featuring USB host interface and industry standard JTAG interface; HiTOP5, 16K code-size limited version of Hitex’s full-featured Integrated Development Environment;plus GNU C/C++ CompilerKeil STR710 kit The Keil starter kit, available from Keil, is a complete solution for evaluating and starting application development with the STR7; the package includes: application board with user LEDs, push buttons, STR710 kitswitches, potentiometer and interfaces for device specific peripherals; ULink, in-circuit debugger/programmer; uVision3, the full-featured Integrated Development Environment; RealView Compilation Tools,16K code-size limited version of the optimizing C/C++ compilerARM7 | Cortex-M3 | ARM9 | Cortex-R4 | XScale | ARM11 | Cortex-A8STR710F SeriesIAR, Raisonance, & Hitex Starter KitsFor more information on Arrow’s ARM solutions, pricing, and availability, visit www.arrownac.com/arm or call 1-866-910-3650.To research and compare and contrast ARM solutions, visit www.embedded-developer.com.STR710F Family of Microcontrollers | STMicroelectronicswww.arrownac.com/arm


50 |STR750FCore: ARM7TDMIThe STR750F microcontrollers are the latest series in the STR7 family. These MCUs bring thebest integration with a balanced peripheral set, USB, CAN, and key innovations like clock failuredetection and advanced motor control timers. The STR750F supports either 3.3V or 5V systems,and it is also available in an extended temperature range (-40°C to +105°C). This makes it agenuine general purpose microcontroller, suitable for a wide range of applications such asappliance, brushless motor drive, USB peripheral, UPS, alarm systems, programmable logiccontroller, circuit breakers, inverters, and medical and portable equipment.Features • Excellent low power performance through flexible clockmanagement and multiple low power modes with consumptiondown to 10 µA in standby mode• Innovative backup clock• Fast startup and wakeup• Auto wake-up• Serial memory interface (SMI) and LIN support• Single supply, 3.3V or 5V (3.3V for USB)• Powerful timers and fast ADC• Extensive firmware support and tools; the STR750Flibrary is freely distributed by STSTR750F Block DiagramBenefits • Easy adjustment of performance/power consumption ratio;suitable for battery operated applications• Additional security due to backup clock• Fast startup and wakeup adds responsiveness• Auto wakeup improve power-savings• Less external hardware needed• 3.3V or 5V supply gives additional flexibility for customers;no need for external regulator; real 5V drive on the I/Oswhen 5V is used• Perfect fit for tri-phase motor control applications• Extensive library dramatically reduces development timeand increases ease of useArrow Electronics ARM Solutions1-866-910-3650


| 51Family Comparative Features Part Total Total Temp. °C Package Core Max. A/D A/D Timer Timer Serial Interface GPIO Ethernet USB PeripheralsNumber Flash RAM Type Variant ID Frequency Bits Channels Channels Bits DescriptionSTR755FR0 80 16 -40 to +85 LQFP64 ARM7TDMI 60 10 11 6 16 2xSSP, 3xHS-UART, I 2 C 38 – – RTC, Motor ControlSTR752FR0 80 16 -40 to +85 LQFP64 ARM7TDMI 60 10 11 6 16 2xSSP, 3xHS-UART, I 2 C, CAN 38 – – RTC, Motor ControlSTR751FR1 144 16 -40 to +85 LQFP64 ARM7TDMI 60 10 11 6 16 2xSSP, 3xHS-UART, I 2 C 38 – Device 2.0 RTC, Motor ControlSTR752FR1 144 16 -40 to +85 LQFP64 ARM7TDMI 60 10 11 6 16 2xSSP, 3xHS-UART, I 2 C, CAN 38 – – RTC, Motor ControlSTR755FR1 144 16 -40 to +85 LQFP64 ARM7TDMI 60 10 11 6 16 2xSSP, 3xHS-UART, I 2 C 38 – – RTC, Motor ControlSTR751FR2 272 16 -40 to +85 LQFP64, BGA64 ARM7TDMI 60 10 11 6 16 2xSSP, 3xHS-UART, I 2 C 38 – Device 2.0 RTC, Motor ControlSTR752FR2 272 16 -40 to +85 LQFP64, BGA64 ARM7TDMI 60 10 11 6 16 2xSSP, 3xHS-UART, I 2 C, CAN 38 – – RTC, Motor ControlSTR751FR0 80 16 -40 to +85 LQFP64 ARM7TDMI 60 10 11 6 16 2xSSP, 3xHS-UART 38 – Device 2.0 RTC, Motor ControlSTR755FV2 272 16 -40 to +85 LQFP100, BGA100 ARM7TDMI 60 10 16 6 16 2xSSP, 3xHS-UART, I 2 C 72 – – RTC, Motor ControlSTR750FV2 272 16 -40 to +85 LQFP100, BGA100 ARM7TDMI 60 10 16 6 16 2xSSP, 3xHS-UART, I 2 C, CAN 72 – Device 2.0 RTC, Motor ControlSTR750FV1 144 16 -40 to +85 LQFP100, BGA100 ARM7TDMI 60 10 16 6 16 2xSSP, 3xHS-UART, I 2 C, CAN 72 – Device 2.0 RTC, Motor ControlSTR755FV1 144 16 -40 to +85 LQFP100 ARM7TDMI 60 10 16 6 16 2xSSP, 3xHS-UART, I 2 C 72 – – RTC, Motor ControlSTR750FV0 80 16 -40 to +85 TQFP100 ARM7TDMI 60 10 16 6 16 2xSSP, 3xHS-UART, I 2 C, CAN 72 – Device 2.0 RTC, Motor ControlSTR755FV0 80 16 -40 to +85 LQFP100 ARM7TDMI 60 10 16 6 16 2xSSP, 3xHS-UART, I 2 C 72 – – RTC, Motor ControlSTR755FR2 272 16 -40 to +85 LQFP64, BGA64 ARM7TDMI 60 10 11 6 16 2xSSP, 3xHS-UART, I 2 C 38 – – RTC, Motor ControlDevelopment Tools Matrix Tool Name Description Part NumberSTR750 Full Evaluation Board STR750F full evaluation board with 2 x 16 LCD, LEDs, UART and CAN interfaces STR750-EVALHitex Starter Kit for STR750 Hitex starter kit with STR750 evaluation board, USB-JTAG in-circuit debugger/programmer and 16 KB code-size limited version of HiTOP software toolchain STR750-SK/HITIAR KickStart Kit for STR750 IAR KickStart starter kit with STR750 evaluation board, USB-JTAG in-circuit debugger/programmer and 32 KB code-size limited version of EWARM software toolchain STR750-SK/IARKeil Starter Kit for STR750 Keil starter kit with STR750F evaluation board, USB-JTAG debugger and evaluation version of RealView Microcontroller Development Kit for ARM with uVision3 and ARM RealView Compilation Tools STR750-SK/KEILREva Starter Kit - Raisonance Raisonance REva starter kit for STR750F with RLink In circuit debugger/programmer (USB host interface), REva mother board, STR750F daughter, 16 KB code-size limited version of the RIDE software STR750-SK/RAIStool set and GNU C/C++ compiler for ARMSTR750 Motor Control Kit This motor control kit is ready to run within minutes for PMSM and induction 3-phase motors using STR750F for vector control drive. PMSM motor included STR750-MCKITARM7 | Cortex-M3 | ARM9 | Cortex-R4 | Xscale | ARM11 | Cortex-A8For more information on Arrow’s ARM solutions, pricing, and availability, visit www.arrownac.com/arm or call 1-866-910-3650.To research and compare and contrast ARM solutions, visit www.embedded-developer.com.ST750F Family of Microcontrollers | STMicroelectronicswww.arrownac.com/arm


52 |STR910FCore: ARM966E-SThe STR910F family of MCUs delivers up to 96 MIPS peak performance whileexecuting code directly from its Flash memory, executes single-cycle DSP instructionswithin its ARM966E-S core, and includes Ethernet, USB, and CAN interfaces. Thesefeatures, combined with Flash memory sizes reaching 544 Kbytes and a vast 96 KbyteSRAM, make the STR910F an ideal single-chip solution to transform embedded controlapplications into low cost nodes on a local network, or on the Internet.Features • 96 MHz ARM966E-S CPU core with single-cycle DSP instructionsand independent internal 32-bit buses• 10/100 Ethernet connectivity with optimized DMA data flow• Plentiful SRAM and Flash memories• Dual bank Flash• Flexible power and clock management with multiple lowpower modes• Low power (< 1 µA) real-time clock with programmablewake-up features• Extensive firmware support and tools offering. The STR910F libraryis freely distributed from ST• Analog capability with 10-bit ADC and full supervisor functionsSTR910F Block DiagramBenefits • Simultaneous access to both code and data, generating 96 MIPSpeak performance executing code from Flash memory, and at thesame time capable of up to 384 Mbytes/sec DMA data flowbetween peripherals and SRAM• Connect your product to a network and retain ample CPUbandwidth to implement the embedded application• Meet requirements of complex applications, real-time operatingsystems (RTOSs), communication stacks and data storage• Ideal for robust In-Application Programming (IAP) andEEPROM emulation• Tailor your system on the fly to balance performance and powerconsumption as needed• Ideal for battery operated applications• Extensive firmware support dramatically reduces development timeand increases ease of use• With so much inside, less is needed outside saving you space, costand logistic headachesArrow Electronics ARM Solutions1-866-910-3650


| 53Family Comparative Features Part Total Total Temp. °C Package Core Max A/D A/D Timer Timer Serial Interface GPIO Ethernet USB PeripheralsNumber Flash RAM Type Variant ID Frequency Bits Channels Channels Bits DescriptionSTR911FM44 544 96 -40 to +85 LQFP80 ARM966E-S 96 10 8 7 16 3xUART, 2x SPI, CAN, 2xFast I 2 C 40 – Device 2.0 RTC, Motor ControlSTR912FW44 544 96 -40 to +85 LQFP128 ARM966E-S 96 10 8 7 16 3xUART, 2x SPI, CAN, 2xFast I 2 C 80 MAC 10/100 Device 2.0 RTC, Motor Control, EMISTR912FW42 288 96 -40 to +85 LQFP128 ARM966E-S 96 10 8 7 16 3xUART, 2x SPI, CAN, 2xFast I 2 C 80 MAC 10/100 Device 2.0 RTC, Motor Control, EMISTR911FM42 288 96 -40 to +85 LQFP80 ARM966E-S 96 10 8 7 16 3xUART, 2x SPI, CAN, 2xFast I 2 C 40 – Device 2.0 RTC, Motor ControlSTR910FW32 288 64 -40 to +85 LQFP128 ARM966E-S 96 10 8 7 16 3xUART, 2x SPI, CAN, 2xFast I 2 C 80 – – RTC, Motor ControlSTR910FM32 288 64 -40 to +85 LQFP80 ARM966E-S 96 10 8 7 16 3xUART, 2x SPI, CAN, 2xFast I 2 C 40 – – RTC, Motor ControlDevelopment Tools Matrix Tool Name Description Part NumberSTR9 Full Evaluation Board An open-design evaluation platform for STR910F, which includes reference code and a range of hardware features for evaluation of device peripherals including USB, Ethernet, CAN, ADC and much more; STR910-EVALin addition to a JTAG standard interface for in-circuit debugging and programming, it includes an ETM interface for connection of a trace toolIAR KickStart Kit for STR9 IAR KickStart starter kit with STR9 evaluation board, USB-JTAG in-circuit debugger/programmer and 32 KB code-size limited version of EWARM software toolchain STR91X-SK/IARKeil STR9 Starter Kit Keil starter kit with STR9 evaluation board, USB-JTAG debugger and evaluation version of RealView Microcontroller Development Kit for ARM with uVision3 and ARM RealView Compilation Tools STR91X-SK/KEIREva Starter Kit - Raisonance Raisonance REva starter kit for STR9 with RLink In circuit debugger/programmer (USB host interface), REva mother board, STR9 daughter board, 16 KB code-size limited version of the RIDE software STR91X-SK/RAItool set and GNU C/C++ compiler for ARMHitex Starter Kit for STR9 Hitex starter kit with STR9 evaluation board, USB-JTAG in-circuit debugger/programmer and 16 KB code-size limited version of HiTOP software toolchain STR91X-SK/HITARM7 | Cortex-M3 | ARM9 | Cortex-R4 | XScale | ARM11 | Cortex-A8IAR KickStart KitFor more information on Arrow’s ARM solutions, pricing, and availability, visit www.arrownac.com/arm or call 1-866-910-3650.To research and compare and contrast ARM solutions, visit www.embedded-developer.com.STR910F Family of Microcontrollers | STMicroelectronicswww.arrownac.com/arm


54 |DaVinci TMS320DM644xDigital Signal Processing SoCsCore: ARM9 + TMS320C64x+DaVinci technology makes break-through innovation possible in digital media devicesfor the hand, home, and car. DaVinci is the first integrated portfolio of Digital SignalProcessing SoCs, software, tools, and support optimized for digital video systems.These integrated components are the industry’s first complete offering of an openplatform.Sampling today, the portfolio of DaVinci processors include the TMS320DM644xdigital media processors which are highly integrated SoCs based on an ARM926 processor and the TMS320C64x+DSP core. The TMS320DM644x processors are ideal for applications such as videophones, automotive infotainment,digital still cameras, streaming media, and IP set-top boxes.Features • Integrated portfolio of Digital Signal Processing SoCs, software,development tools, and support• Optimized for digital video systems, DaVinci technologyaccelerates innovation• A complete portfolio of TI-developed digital media softwareis now widely available to further simplify design; the softwareportfolio includes multimedia codecs such as H.264, MPEG-4,WMA9 and many more; for a free evaluation,visit www.ti.com/digitalmediasoftware• Supports several Operating Systems, appropriate for differentapplications, including open source Linux, MontaVista Linux,Green Hills INTEGRITY, Green Hills VelOSity, QNX Neutrinoand Microsoft Windows ® CETMS320DM6446 DSP Block DiagramBenefits • Save months of development time by leveraging integrated,production-tested software and hardware components• An open development platform enables OEM productdifferentiation with a flexible, complete solution• Lower system cost significantly and leverage IP acrossmultiple products• Standard operating systems will allow developers with expertiseon these systems to work in an environment that is familiar• Valued members of TI’s Third Party Network provide integralcomponents and tools that complement DaVinci technology;they offer various levels of video system integration, optimizationand system expertise on DaVinci products worldwideArrow Electronics ARM Solutions1-866-910-3650


| 55Family Comparative Features Part Total Total Temp. °C Package Max. A/D A/D Timer Serial Interface GPIO Ethernet USB PeripheralsNumber Flash RAM Type Frequency Bits Channels Channels DescriptionTMS320DM6441ZWT 16 176 (DSP), 0 to +85 361 Pb-free BGA 513/405 DSP, N/A 16 (ARM) 1 x 64-bit WD, ASP, I 2 C, SPI, 71 10/100 Mbps EMAC USB 2.0 Video port front end, video port back end, VICP, VLYNQ,(ARM) 40 (ARM) 257/202 RISC 2 x 64-bit GP 3xUARTs NAND Flash, SmartMedia/xD, ATA/CF, MMC/SD. This deviceis similar to the TMS320DM6446BZWTTMS320DM6443BZWT 16 176 (DSP), 0 to +85 361 Pb-free BGA 594 DSP, N/A 16 (ARM) 1 x 64-bit WD, ASP, I 2 C, SPI, 71 10/100 Mbps EMAC USB 2.0 Video port back end, VICP, VLYNQ, NAND Flash,(ARM) 40 (ARM) 297 RISC 2 x 64-bit GP 3xUARTs SmartMedia/xD, ATA/CF, MMC/SDTMS320DM6446BZWT 16 176 (DSP), 0 to +85 361 Pb-free BGA 594 DSP, N/A 16 (ARM) 1 x 64-bit WD, ASP, I 2 C, SPI, 71 10/100 Mbps EMAC USB 2.0 Video port front end, video port back end, VICP,VLYNQ,(ARM) 40 (ARM) 297 RISC 2 x 64-bit GP 3xUARTs NAND Flash, SmartMedia/xD, ATA/CF, MMC/SDDevelopment Tools Matrix Tool Name Description Part NumberDigital Video Evaluation Module The Digital Video Evaluation Module (DVEVM) allows developers to write production-ready application code for the ARM and provides access to the DSP core using DaVinci APIs to begin immediate TMDXEVM6446application development for the TMS320DM6441, TMS320DM6443 and TMS320DM6446 digital media processorsDigital Video Software Development Kit The Digital Video Software Development Kit (DVSDK) is a software development kit designed to tune complex DaVinci-based digital video systems quickly and efficiently; the DVSDK significantly TMDSSDK6446-Limproves software integration and system visibility by incorporating tools such as the eXpressDSP Configuration Kit, TMS320DM644x SoC Visual Analyzer and MontaVistas LinuxARM7 | Cortex-M3 | ARM9 | Cortex-R4 | XScale | ARM11 | Cortex-A8Digital Video Software Development KitDigital Video Evaluation ModuleFor more information on Arrow’s ARM solutions, pricing, and availability, visit www.arrownac.com/arm or call 1-866-910-3650.To research and compare and contrast ARM solutions, visit www.embedded-developer.com.DaVinci Family of Microcontrollers | Texas Instruments, Inc.www.arrownac.com/arm


58 |IAR <strong>Embedded</strong> Workbench Version 4.41 for ARM ®C/C++ Compiler and Debugger Tools for ARMIAR <strong>Embedded</strong> Workbench ® is a set of highly sophisticated and easy-to-use development toolsfor programming ARM ® embedded applications. It integrates the IAR C/C++ compiler, assembler,linker, librarian, text editor, project manager, and C-SPY ® debugger in one Integrated DevelopmentEnvironment (IDE). With its built-in chip-specific code optimizer, IAR <strong>Embedded</strong> Workbenchgenerates very efficient and reliable FLASH/PROMable code for ARM devices. In addition tothis solid technology, IAR Systems provides professional, worldwide technical support.The ARM Cortex-M3 processor offers significant benefits tosystem and software developers.• ARM7 (ARM7TDMI, ARM7TDMI-S, and ARM720T)• ARM9 (ARM9TDMI, ARM920T, ARM922T, and ARM940T)• ARM9E (ARM926EJ-S, ARM946E-S, and ARM966E-S)• ARM11• Cortex-M3• Intel ® XScale coreKey Components • IDE with project management tools and editor• Highly-optimizing ARM compiler supporting C and C++• Configuration files for ARM chips from Analog Devices,Atmel, Freescale, Intel, Luminary Micro, NXP,STMicroelectronics, and Texas Instruments• Extensive JTAG and RDI debugger support• Optional IAR J-Link and IAR J-Trace hardware debugprobes• Run-time libraries including source code• Relocating ARM assembler• Linker and librarian tools• C-SPY debugger with ARM simulator, JTAG support,and support for RTOS-aware debugging on hardware• Evaluation edition of IAR PowerPac RTOS and filesystem bundle• RTOS plug-ins available from IAR Systems andRTOS vendors• Code templates for commonly used code constructs• Sample projects for evaluation boards from manydifferent manufacturers• User and reference guides, both printed and inPDF format• Context-sensitive online helpHighlights in the Current Version • IAR PowerPac bundled evaluation edition ofRTOS and file system for ARM• Live watch on target hardware• Code coverage using IAR J-Trace• Comprehensive Flash loader support• I/O register definition files• More than 400 sample projects for differentevaluation boardsSupported ARM Cores and Devices IAR <strong>Embedded</strong> Workbench supports ARM7, ARM9, ARM9E,ARM11, Cortex-M3, and Intel ® XScale devices from thesemanufacturers:• Analog Devices• Freescale Semiconductor• Luminary Micro• STMicroelectronics• Atmel• Intel• NXP• Texas InstrumentsFor more information on Arrow's Development Tools, pricing and availability, visit www.arrowdevtools.com or call 1-866-910-3650.Arrow Electronics ARM Solutions1-866-910-3650


| 59Chip-Specific Support • Ready-made C/C++ and assembler peripheral registerdefinition files• Multiple code and data models (where applicable)• Extensive set of language features for PROMableembedded code, including memory keywords, intrinsicfunctions, interrupt functions, memory-mapped I/Oports, etc.• Sample projects for evaluation boards from IAR Systems,Analog Devices, ARM, Atmel, Freescale, Keil, LogicPD,Luminary Micro, Nohau, NXP, Phytec, STMicroelectronics,and Texas Instruments• Support for 4 Gbyte applications in ARM andThumb ® mode• Each function can be compiled in ARM or Thumb mode• Vector Floating Point (VFP) coprocessor code generation• Flash loaders included for devices from Analog Devices,Atmel, Freescale, Luminary Micro, NXP,STMicroelectronics, and Texas Instruments• ARM Angel debug monitor support<strong>Embedded</strong> Focus • Advanced generic and processor-specific optimizationsfor speed and memory footprint• Lightweight runtime library: user-configurable to matchthe needs of the application; full source included• Flexible memory handling allows detailed control ofcode and data placement• Unnecessary functions and variables are removed• Application-wide type checking of C/C++ variablesand functions at link time• Optional flexible checksum generation for imageruntime verification• Automatic placement of code and data in non-contiguousmemory regions• Powerful relocating macro assembler with a versatile setof directives and operators<strong>Embedded</strong> Debugging • Fully integrated debugger for source and disassemblylevel debugging• Very fine granularity execution control (functioncall-level stepping)• Complex code and data breakpoints• Versatile monitoring of data: locals, watch, auto,live watch, and quick watch windows; register andmemory windows• STL container awareness• C/C++ call stack window that also shows the functionto be entered; double-click on any function in callchain updates the editor, locals, register, watch, anddisassembly windows to display the state of thatparticular function at the time of call• Trace utility to examine execution history: moving aroundin the trace window updates the editor and disassemblywindows to show the appropriate location• Terminal I/O emulation• Interrupt and I/O simulation• C-like macro system to extend debugger functionality• Application program system calls emulated by the host• Code coverage and profiling performance analysis tools• Support for the ARM Debug Communication Channel (DCC)• Generic Flash loader with API guide• Multiple Flash loaders supported• Debugger software development kit for third-partyextensions such as real-time operating systems andemulator drivers• Command line debugger utilityGraphical IDE • Hierarchical project presentation• Multiple projects within the same workspace• Dockable windows and multiple views• Source browser• Library tools included for creating andmaintaining libraries• Integration with source code control systems• Text editor with multi-byte character support:context-sensitive help system; syntax coloring; unlimitedundo/redo; find; search; replace; incremental search;bookmarks; error tags; previous/next navigation;matching brackets; smart indentation; code breakpointset/clear/enable/disable; and multiple panes• Command line build utilityLanguage and Standards • The C programming language, as standardized byISO/ANSI C94, with selected features from C99• <strong>Embedded</strong> C++ extended with templates, multiple andvirtual inheritance, namespaces, and other C++ featuresthat do not cause an overhead in size or speed; full<strong>Embedded</strong> C++ library containing string, streams, etc.,as well as the Standard Template Library (STL)• IEEE-754 floating-point arithmetic• MISRA C checker• Supports a wide range of industry-standard debug andimage formats: compatible with most popular debuggersand emulators, including ELF/DWARF where applicableUser Assistance • Ready-made sample projects and project templates• Context-sensitive online help with library function lookup• Printed user guides with extensive step-by-step tutorials• User friendly, detailed, and precise error messagesand warningswww.arrownac.com/arm


60 |The Keil RealView Microcontroller Development KitCreate Applications for ARM7, ARM9, or Cortex-M3 Based MicrocontrollersThe RealView ® Microcontroller Development Kit (MDK) shortens development cycles byreducing the time spent configuring, testing, and debugging embedded applications.The RealView MDK combines ARM RealView compilation tools with the Keil µVision ®Integrated Development Environment (IDE), providing developers with a feature-rich environmentoptimized for ARM-Powered ® microcontrollers.The Keil µVision IDE includes • Project management and device and tool configuration• A source code editor optimized for embedded systems• Target debugging and Flash programming• Accurate device simulation (CPU and peripheral)ARM technology-based projects created under µVision areautomatically compiled and linked using the RealViewcompilation tools.The built-in microcontroller simulator models more than 50ARM-Powered ® devices, including the ARM instruction set,on-chip peripherals, and the external signals used tomanipulate them.ARM RealView compilation tools are recognized by the industryfor providing the best performance of all available ARMtechnology-targeted compilers. Developed and tuned to deliverthe tightest code density, the compiler produces the smallestcode size, which leads to significant product cost savings.The compiler generates optimized code for both the 32-bitARM and 16-bit Thumb ® instruction sets while supportingfull ISO standard C and C++.Project Configuration The µVision IDE incorporates a device database of supportedARM-Powered microcontrollers. In µVision projects, requiredoptions are set automatically when you select the device fromthe device database.µVision displays only those options that are relevant to theselected device and prevents the selection of incompatibledirectives. Only a few dialogs are required to completelyconfigure all the tools (assembler, compiler, linker, debugger,and Flash download utilities) and memory map for yourapplication.RealView Microcontroller Development KitC/C++ CompilerProject Management File groups allow associated files to be grouped together. Theymay be used to separate files into functional blocks or to identifyengineers in your software team.Project targets allow you to create several programs from asingle project. You may require one target for testing andanother target for a release version of your application. Eachtarget allows individual tool settings within the same project file.Editor µVision Project ManagerRTX RTOS LibrariesLinker / LocatorµVision DebuggerMacro AssemblerRealViewReal-Time LibraryRTX Source CodeTCP/IP SuiteTCP, UDP, PPP, SLIP,ARP, DNS Resolver,Ethernet, DHCP Client,HTTP Server with CGLTFTP Server, SMTP ClientFlash File SystemUSB Device InterfaceDevice Simulation Target Hardware CAN InterfaceThe µVision Editor includes all the standard features youexpect in a professional editor. Color syntax highlighting andtext indentation are optimized for editing C source files, whiledocument outlining allows you to collapse function blocks inyour source code. Most Editor functions are quickly accessedfrom the toolbars.While debugging, the Editor is available so you can easily makechanges to your source code.For more information on Arrow's Development Tools, pricing and availability, visit www.arrowdevtools.com or call 1-866-910-3650.Arrow Electronics ARM Solutions1-866-910-3650


| 61Debugger The µVision Editor enables you to set simple breakpointsusing the context menu (or Editor toolbar) while creating yourC or assembler source. Breakpoints you set while editing areactivated when you start the µVision Debugger.In addition to simple breakpoints, the µVision Debuggersupports complex breakpoints (with conditional or logicalexpressions) and memory access breakpoints (with read/writeaccess from an address or range). The Debugger alsodisplays code coverage and execution profiling informationin the Editor windows.RealView Real-Time Library The RealView Real-Time Library (RL-ARM) enables networking,communication, and real-time software. The RL-ARM is based ona real-time kernel that simplifies the design and implementationof complex, time-critical applications. A Flash file system,TCP/IP networking suite, and other communication protocolsare included.Today, microcontroller applications require simultaneousexecution of multiple jobs or tasks. For such applications,the RL-ARM allows task management and flexible schedulingof system resources (CPU, memory, etc.).The RL-ARM is a full-featured real-time kernel with taskpriorities, round-robin, preemptive context switching, andsupport for multiple instances of the same task function.It is royalty-free and is fully integrated into µVision.Accurate Device Simulation The µVision Debugger simulates a complete ARM-Poweredmicrocontroller including the instruction set and on-chipperipherals. These powerful simulation capabilities provideserious benefits and promote rapid, reliable embeddedsoftware development.• Simulation allows software testing on your desktop withno hardware environment• Early software debugging on a functional basis improvesoverall software reliability• Simulation allows breakpoints that are not possible withhardware debuggers• Simulation allows for optimal input signals (hardwaredebuggers add extra noise)• Signal functions are easily programmed to reproducecomplex, real-world input signals• Single-stepping through signal processing algorithms ispossible; external signals stop when the CPU halts• It is easy to test failure scenarios that would destroy realhardware peripheralsThird-Party Utilities Third-party utilities extend the functions and capabilities ofµVision and are available from a wide variety of vendors.www.arrownac.com/arm


62 |RealView Tools by ARMTools that Span the Complete Development ProcessRealView ® tools by ARM ® are unique in their ability to provide solutions that spanthe complete development process from concept to final product deployment. Eachmember of the RealView portfolio has been developed closely alongside IP, ensuringthat it maximizes the IP’s performance.RealView Development Suite RealView Development Suite is the only complete, end-to-endsolution for software development that supports all ARM ®processors and ARM debug technology. These tools offer thehighest-performance ARM C/C++ compilers and support themost advanced debug technology available today for bringingup the latest SoC and ASIC designs.Proven to deliver the highest return for the lowest risk on theirARM-based ASICs, SoC, and FPGA designs, the RealView<strong>Developer</strong> Suite is a trusted source for ARM developmentsolutions. Today, the majority of the four billion ARM-Powereddevices worldwide have software created with RealView tools.Investing in the RealView solution is the clear choice for a safe,reliable, and high-performance design.New Features of RealView Development Suite3.0 and SP1 Key features of the RealView Development Suite 3.0 solutioninclude support for the full line of ARM processors, includingthe Cortex-A8 processor, the Cortex-M3 processor, andfuture Cortex family processors; support for CoreSightadvanced debug and trace technology; an intrinsics compilerfor the NEON SIMD technology; an enhanced compileroptimization engine that provides a 10 percent performanceimprovement; and interoperability with GNU tools, enablingoptimal compilation of <strong>Embedded</strong> Linux applications andoptional integration with Eclipse.RealView Development Suite 3.0 Service Pack 1 providesa consolidation of enhancements since the original RealViewDevelopment Suite 3.0 release, including preliminary supportfor Cortex-R4, improved compilation times and DWARF3debug data sizes, an expanded SIMD NEON assemblerwith Programmer’s notation, an improved user interface thatdebugs a multi-processor MPCore target, and expanded Cortex-M3 examples.The following components and abilities are offered by theRealView Development Suite:• Integrated Development Environment (IDE)• A choice of IDEs• RealView Development Suite can be integratedwith the Industry-standard Eclipse IDE through aplug-in or CodeWarrior v5.7 IDEEclipse Plug-ins for RealView DevelopmentSuite RealView Development Suite integrates with the open-sourceEclipse IDE. This integration combines Eclipse’s outstandingsource code development tools and plug-in framework with thebest-in-class compilation and debug technology in the RealViewDEVELOP family of tools. The RealView Eclipse Plug-in enablesdevelopers to use Eclipse as a project manager to create, build,debug, and manage C and C++ projects for ARM targets. Theplug-in provides project stationery to simplify the creation ofARM, Thumb ® , and ARM/Thumb architecture-based projects,and provides comprehensive configuration panels to specifyoptions for the RealView Development Suite.Compilation Tools The compilation tools in RealView Development Suite arerecognized by the industry for providing the best performanceof all available ARM-processor targeted compilers. Developedand tuned to deliver the tightest code density, the compilersproduce significantly smaller executables than other leadingtool suites. The compilers generate optimized code for the32-bit ARM and 16-bit Thumb and Thumb-2 instruction setsand support full ISO standard C and C++.Debug Tools Designed from the ground up to support complex single- andmulti-core SoC software development with <strong>Embedded</strong> OS, thedebugger in RealView Development Suite sets the standard forcreating and debugging deeply embedded applications. Noother debug environment provides interconnectivity with boththe RealView CREATE world of system-level modeling and theRealView DEVELOP world of software development.Add-om Options The following are available as add-on options to the RealViewDevelopment Suite:• RealView SoC Designer• RealView ICE and RealView Trace• Real-Time System Model (RTSM) for ARM1176JZ(F)-S• Eclipse IDE plug-in• Plug-ins for popular DSP supportArrow Electronics ARM Solutions1-866-910-3650


| 63Supported Platforms: • Windows 2000, XP Professional• Red Hat Enterprise Linux 3 and 4• SPARC Solaris 9 and 10RealView ICE RealView ICE is ARM’s leading-edge JTAG run controlhardware unit, delivering the high performance required bytoday’s developers working with sophisticated System-on-Chip(SoC) devices and large software images.RealView ICE provides a universal-ARM solution, i.e., oneunit supports all ARM CPUs in single, multi-core, homogenous,and heterogeneous architectures, offering an unparalleled depthand breadth of support for ARM processor-based devices.RealView ICE is an essential tool in an ARM system debugenvironment for connection and access to devices that containthe <strong>Embedded</strong>ICE ® logic, <strong>Embedded</strong> Trace Macrocell (ETM),and <strong>Embedded</strong> Trace Buffer(ETB) components for on-chiptrace data storage. The unit has the ability to be expandedwith additional modules for extended functionality, such asRealView Trace for trace data capture.The recently released RealView ICE version 3.0 now enablescustomers to connect to the new ARM Cortex family ofprocessors and devices containing the new CoreSightadvanced debug and trace technology. RealView ICE andTrace fully complement the RealView Development Suitein providing best-in-class integrated tools forhardware/software co-development of optimizedASIC, SoC, and FPGA-based systems.Other New Features • JTAG run control for the new Cortex-A8 andCortex-M3 processors• CoreSight DK11 run control support for the ARM1136,ARM1156, and ARM1176 processors• TrustZone ® secure and non-secure code views for theCortex-A8 and ARM1176 processorsRealView ICE can be connected to most types of host platformsby Ethernet for extended and remote connection, or locally byUSB, to provide the optimum debug coupling and performancewith the RealView Debugger.Main Features • High-performance debug control• Code download up to 1300 KBytes/sec withthe RealView Debugger• High-speed single-stepping; up to 100 steps/sec• JTAG Debug Communications Channel (DCC) support• Support for variable JTAG clock frequencies, 2 kHzto 20 MHz (standard cable) or 50 MHz (LVDS cable)• Very low JTAG clock frequencies (sub-1 kHz) supportASIC-emulation environments• Wide target-voltage support, from 1.0V to 5.0V• Tightly coupled, synchronized multi-core control• ETM trace data capture with plug-in RealViewTrace module• ETB trace data access via the JTAG port• Debug using GDB and KGDB capability• USB 1.1 and 2.0 compatible connection(Windows platform only)• Ethernet 10/100baseT remote and local host connectionSupported ARM Processors: RVI-Supported cores include the following ARM processorbasedfamilies: ARM7, ARM9, ARM9E, ARM10,ARM11, and CortexRealView Trace RealView Trace interfaces with ARM on-chip trace data storage<strong>Embedded</strong> Trace Macrocell (ETM) components for theARM7, ARM9, ARM9E, ARM10, and ARM11 corefamilies, and in conjunction with RealView Debugger. RealViewTrace provides non-intrusive real-time tracing of instructions,data and profiling for performance analysis. It’s an optionaladd-on expansion module for RealView ICE.Main Features • Non-intrusive real-time tracing of instructions and data upto 250 MHz trace clock• Up to eight million frames deep trace buffer (up to fourmillion frame deep buffer with time stamps)• 4-/8-/16-bit data width trace port• Trigger synchronization with external events• Fully variable trigger position• Fast on-the-fly trace data upload• Shares RealView ICE connection to the host computer• ETM trace ports modes supported– ETM protocols v1.x, v2.x, v3.x for ETM7TM, ETM9TM,ETM10TM, and ETM11TM– Single and doubled-edged clocking– Normal and multiplexed ports• Time stamp (48-bit) 10 ns resolution with 32-day durationPlatforms supported are Windows 2000 and XPNote: Cannot be used standalone. This product is designed tobe used in conjunction with a RealView ICEwww.arrownac.com/arm


<strong>Embedded</strong> <strong>Developer</strong>lets you comparemore than and ...Select and compare ARM7 corebaseddevices like the LPC2000from NXP, the STR7 fromSTMicroelectronics and Atmel’s AT91 toeach other, or compare them to PowerPC,ColdFire, or any other device you select.Compare any device by features andperformance in seconds--then download adatasheet or go to Arrow’s shopping cartand buy the chips. Need tools to supportyour device? Every device page lists thetools that support them, and they’re linkedto Arrow’s tools shopping cart!<strong>Embedded</strong> <strong>Developer</strong> is the ultimateresource for the designer with a jobto do. It is the only site in the world whereyou’re only clicks away from comparingand evaluating devices, and buying toolsand chips from the electronics industry’sbroadest line card.You can find the complete design chain atembedded developer: Add analog solutions,or customize your design for hundreds ofapplications. Try it today--we guarantee thissite will be the one you’ll bookmark for allyour embedded designs!You can compare Cores!The only One Stop. Shop.www.<strong>Embedded</strong><strong>Developer</strong>.comFIND. COMPARE. BUY.

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!