12.07.2015 Views

Verilator and SystemPerl - Veripool

Verilator and SystemPerl - Veripool

Verilator and SystemPerl - Veripool

SHOW MORE
SHOW LESS
  • No tags were found...

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

<strong>SystemPerl</strong>• <strong>Verilator</strong> outputs a dialect of SystemC, <strong>SystemPerl</strong>.(Though <strong>Verilator</strong> also has option to output straight C++.)• <strong>SystemPerl</strong> makes SystemC faster to write <strong>and</strong> execute– We needed only 43% as much SystemC code– St<strong>and</strong>ardizes Pin <strong>and</strong> Cell interconnect– Lints interconnect– Automatically connects sub-modules in “shell” modules• So, adding a signal to low-level modules doesn’t require editing theupper level modules.– Adds “use” statements for linking all necessary library files– Creates compiled tracing code (5x faster then SystemC's tracing.)• Reducing code means faster development– And less debugging!<strong>Verilator</strong> Environment, NASCUG June 2004. Copyright 2004 by Wilson Snyder; redistribution allowed as complete presentation.10

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!