12.07.2015 Views

Verilator and SystemPerl - Veripool

Verilator and SystemPerl - Veripool

Verilator and SystemPerl - Veripool

SHOW MORE
SHOW LESS
  • No tags were found...

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Benefits• Faster Architectural Development– SystemC allows rapid behavioral model development– C++ allows tie-ins with embedded software• Faster RTL Development– Verilog is st<strong>and</strong>ard language, <strong>and</strong> what the downstream tools want.– Behavioral model provides reference for RTL developers.– Waveforms “look” the same across RTL or SystemC, no new tools.• Faster Verification Development– Verification tests can be developed against the fast behavioral modelthen run against slower RTL– Every chip <strong>and</strong> subchip can each be either behavioral or RTL– C++ hooks can be added to the Verilog– Automatic coverage analysis<strong>Verilator</strong> Environment, NASCUG June 2004. Copyright 2004 by Wilson Snyder; redistribution allowed as complete presentation.4

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!