12.07.2015 Views

Verilator and SystemPerl - Veripool

Verilator and SystemPerl - Veripool

Verilator and SystemPerl - Veripool

SHOW MORE
SHOW LESS
  • No tags were found...

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

What <strong>Verilator</strong> Does• <strong>Verilator</strong> converts Synthesizable Verilog into C++– Always statements, wires, etc– No time delays ( a 10x slower!• Creates a "pure" SystemC wrapper around the design– Hides the internal signals <strong>and</strong> sensitivity lists from the user<strong>Verilator</strong> Environment, NASCUG June 2004. Copyright 2004 by Wilson Snyder; redistribution allowed as complete presentation.6

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!