13.07.2015 Views

A New low power Technology for Power Reduction in SRAM's using ...

A New low power Technology for Power Reduction in SRAM's using ...

A New low power Technology for Power Reduction in SRAM's using ...

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

ISSN 2249-6343International Journal of Computer <strong>Technology</strong> and Electronics Eng<strong>in</strong>eer<strong>in</strong>g (IJCTEE)Volume 2, Issue 3, June 2012V. CONCLUSIONOur proposed design shows that much less <strong>power</strong>than the exist<strong>in</strong>g ones. 1.76uw at the standard Our Proposedlayout comb<strong>in</strong>ed with 8T & Virtual ground<strong>in</strong>g concept50nm technology. And it is hav<strong>in</strong>g much reduced area thanthe conventional SRAM designs. Thus this design can beused <strong>for</strong> future SRAM core memories.[10] Wang, X., Roy, S., and Asenov, A., Impact of Stra<strong>in</strong>on the Per<strong>for</strong>mance of high-k/metal replacement gateMOSFETs, <strong>in</strong> Proc. 10th Ultimate Integration onSilicon (ULIS 2009). 2009.AUTHOR‟S PROFILE:-P.Sreenivasulu 1 Asst. professor Dept of E.C.E,Dr.S.G.I.T, , Markapur,Prakasam(Dist),India 1VI. REFERENCES[1] Kev<strong>in</strong>, Z., Embedded Memories <strong>for</strong> Nano-Scale VLSIs.2009: Spr<strong>in</strong>ger Publish<strong>in</strong>g Company, Incorporated. 400.[2] Brown, A.R., Roy, G., and Asenov, A., Poly-Si-Gate-Related Variability <strong>in</strong> Decananometer MOSFETs WithConventional Architecture. Electron Devices, IEEETransactions on, 2007. 54(11): p. 3056-3063.G.V.K.varaprasad swamy2 F<strong>in</strong>al M Tech Student(DECS), Dept of E.C.E,S.G.I.T, Markapur,Prakasam(Dist)IndiaM.V.Narrasimha reddy 3 F<strong>in</strong>al M Tech Student (DECSDept of E.C.E,S.G.I.T, Markapur 2 , Prakasam(Dist) India[3] Bo, Z., et al. A Sub-200mV 6T SRAM <strong>in</strong> 0.13um CMOS.<strong>in</strong> Solid-State Circuits Conference, 2007. ISSCC 2007.Digest of Technical Papers. IEEE International. 2007.[4] Cheng, B., Roy, S., Roy, G., Brown, A., and Asenov, A.Impact of Random Dopant Fluctuation on Bulk CMOS6-T SRAM Scal<strong>in</strong>g. <strong>in</strong> Solid-State Device ResearchConference, 2006. ESSDERC 2006. Proceed<strong>in</strong>g of the36th European. 2006.[5] Jawar S<strong>in</strong>gh, D.K.P., Simon Hollis, and Saraju P.Mohanty, A s<strong>in</strong>gle ended 6T SRAM cell design <strong>for</strong> ultra<strong>low</strong>-voltageapplications. IEICE Electronics Express,2008. 5(18): p. 750-755.[6] Mizuno, H. and T. Nagano, Driv<strong>in</strong>g source-l<strong>in</strong>e cellarchitecture <strong>for</strong> sub-1-V high-speed <strong>low</strong>-<strong>power</strong>applications. Solid-State Circuits, IEEE Journal of,1996. 31(4): p. 552-557.[7] Takeda, K., et al., A read-static-noise-marg<strong>in</strong>-freeSRAM cell <strong>for</strong> <strong>low</strong>-VDD and high-speed applications.Solid-State Circuits, IEEE Journal of, 2006. 41(1): p.113-121.[8] Chang, L., et al., An 8T-SRAM <strong>for</strong> VariabilityToleranceand Low-Voltage Operation <strong>in</strong> High-Per<strong>for</strong>manceCaches. Solid-State Circuits, IEEE Journal of, 2008.43(4): p. 956-963.[9] Tae-Hyoung, K., et al. A High-Density SubthresholdSRAM with Data-Independent Bitl<strong>in</strong>e Leakage andVirtual Ground Replica Scheme. <strong>in</strong> Solid-State CircuitsConference, 2007. ISSCC 2007. Digest of TechnicalPapers. IEEE International. 2007.144

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!