12.07.2015 Views

Tutorial de VHDL: Contadores y Simulación

Tutorial de VHDL: Contadores y Simulación

Tutorial de VHDL: Contadores y Simulación

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

UTN- FRC Centro C.U.D.A.RManfredi Gustavo Ariel-----------------------------------------------------------------------------------------------------------------------------------------------3) Pulse siguiente sobre las dos ventanas posteriores a la <strong>de</strong> arriba y Finalizar a la ultima.4) Haga click sobre el menú Proyect y luego en New Source, según muestra la figura posterior(ver figura 4)FIGURA 45) En la ventana <strong>de</strong>splegada complete el nombre <strong>de</strong>l archivo, seleccione <strong>VHDL</strong> module en la tabla <strong>de</strong> la izquierda <strong>de</strong>dicha ventana con un click y complete la solapa File Name según se observa en la figura 5 (ver figura 5). Luegohaga click en siguienteFIGURA 56) Aparecerá una nuevapantalla encabezada bajoel nombre Define<strong>VHDL</strong> Source don<strong>de</strong> sepodrán <strong>de</strong>finir lasentradas y salidas <strong>de</strong>nuestro contador. Para esto <strong>de</strong>bemos completar la tabla como se muestra en la grafica (ver figura 6), <strong>de</strong>ntro <strong>de</strong>lcual habrá un dato <strong>de</strong> salida el cual se eligen 4 bits <strong>de</strong> salida, una condición inicial también <strong>de</strong> 4 bits, unahabilitación, y un reset el cual nos retorna hacia dicha condición inicial. Luego hacer click sobre siguiente.2

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!