12.07.2015 Views

Tutorial de VHDL: Contadores y Simulación

Tutorial de VHDL: Contadores y Simulación

Tutorial de VHDL: Contadores y Simulación

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

UTN- FRC Centro C.U.D.A.RManfredi Gustavo Ariel-----------------------------------------------------------------------------------------------------------------------------------------------FIGURA 67) Se <strong>de</strong>spliega una nueva pantalla que <strong>de</strong>berá <strong>de</strong> tener la forma <strong>de</strong> la siguiente figura (ver figura 7), si todo fueingresado en forma correcta. Si no lo fue se recomienda retroce<strong>de</strong>r mediante un click sobre el botón Atrás.FIGURA 78) Hacemos click en Finalizar9) Observara a continuación el código generado automáticamente mas la líneas agregadas que hacen al sumador.Copie esas 2 líneas a su proyecto ( <strong>de</strong>limitadas aquí entre líneas <strong>de</strong> asteriscos). Hay otras formas <strong>de</strong> realizarlo aquíse utilizo la mas recomendada.3

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!