12.07.2015 Views

Tutorial de VHDL: Contadores y Simulación

Tutorial de VHDL: Contadores y Simulación

Tutorial de VHDL: Contadores y Simulación

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

UTN- FRC Centro C.U.D.A.RManfredi Gustavo Ariel-----------------------------------------------------------------------------------------------------------------------------------------------ARCHITECTURE behavior OF testbench ISBEGINCOMPONENT contadoresPORT(cinicial : IN std_logic_vector(3 downto 0);habilitacion : IN std_logic;reset : IN std_logic;clk : IN std_logic;dsalida : OUT std_logic_vector(3 downto 0));END COMPONENT;SIGNAL dsalida : std_logic_vector(3 downto 0);SIGNAL cinicial : std_logic_vector(3 downto 0);SIGNAL habilitacion : std_logic;SIGNAL reset : std_logic;SIGNAL clk : std_logic;uut: contadores PORT MAP(dsalida => dsalida,cinicial => cinicial,habilitacion => habilitacion,reset => reset,clk => clk);-- *** Test Bench - User Defined Section ***tb : PROCESSBEGIN--***********************************************************Reset

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!