11.07.2015 Views

SCOC3 - Microelectronics - ESA

SCOC3 - Microelectronics - ESA

SCOC3 - Microelectronics - ESA

SHOW MORE
SHOW LESS
  • No tags were found...

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Validation resultsThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].<strong>SCOC3</strong> validation (on the ASIC prototype):December 2012 – p. 21hardware validation:• functional validation (numerous HW-oriented testsperformed in various temperature and voltage conditions,with various clock frequencies)• electrical characterization (oscilloscope measurements ofsignals, memory accesses; power consumptionmeasurements)• functional characterization (measurement of operatinglimits on clock frequencies, voltages, wait states)software validation:• validating the functionality from a software point of view(avionics-level tests defined in co-engineering betweenthe SW team and the Data Handling architect, withperformance measurements in representative and worstcasescenarii)

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!