11.07.2015 Views

SCOC3 - Microelectronics - ESA

SCOC3 - Microelectronics - ESA

SCOC3 - Microelectronics - ESA

SHOW MORE
SHOW LESS
  • No tags were found...

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

<strong>SCOC3</strong>A brand-new heart for space missionsAurélien LefèvreAstrium Satelliteswww.scoc3.com


AgendaThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].Agenda:December 2012 – p. 21. <strong>SCOC3</strong> Features2. Development History3. Validation results4. Radiation test results5. Development Kit6. Commercialization support


AgendaThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].December 2012 – p. 31. <strong>SCOC3</strong> Features


Introduction to the <strong>SCOC3</strong> ASICThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].<strong>SCOC3</strong> is theTailored for platform OBC (spacecraft control,AOCS)Also very well suited for payload computersDecember 2012 – p. 4SpacecraftControllerOn aChipbased on LEON3FT


<strong>SCOC3</strong> Key Features (1/2)All the core functions of a platform computer on a single chipThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].LEON3FT + GRFPU (with large caches and MMU)provide 97 MIPS @ 80MHzCCSDS TM/TC interface: direct coupling with transponders7 x SpaceWire-RMAP2 x 15532 x CAN4 x UARTCCSDS Time ManagementCompatibility with both SDRAM and SRAMCan address up to 20 GbitsSecurity module as an option(authentication, deciphering)Debug facilities (IP Monitor, LEON DSU)December 2012 – p. 5


<strong>SCOC3</strong> Key Features (2/2)All the core functions of a platform computer on a single chipThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].ATC18RHA ASICTypical power consumption:1W @32MHz1.9W @80MHzVery good radiation performance300 krad total doseSEU < 10 -5 / dayLatchup freeDecember 2012 – p. 6


The satellite platform managementThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].<strong>SCOC3</strong> therefore groups all the digital functions of aplatform OBC on a single chip:December 2012 – p. 7Processing resources for the flight mission SWTM/TC services & interfaces with the RF communicationchainGeneral communication services with the avionics andpayload equipments through an on-board communicationbusTime synchronization and distributionFailure tolerant architecture based on redundancy &reconfiguration<strong>SCOC3</strong> enables significant gains in size, mass andpower at OBC level (enabled to shrink Astrium OBC from3 boards to 2 smaller boards)


<strong>SCOC3</strong> ArchitectureCPU subsystemThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].December 2012 – p. 8


<strong>SCOC3</strong> ArchitectureCPU + IO + TMTC subsystemsThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].December 2012 – p. 9


<strong>SCOC3</strong> key competitive advantagesThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].Additional advantages of 2 AHB buses:IO traffic does not impact CPU• Application validation eased (WCET calculation in particular)December 2012 – p. 11IO bus can operate at lower frequency than CPU toreduce power• when CPU runs at 80MHz, TM/TC is slowed down to 40MHz• power gain of 25% at 80MHz (1.9W instead of 2.5W)Autonomous and SW-friendly modules


<strong>SCOC3</strong> key competitive advantagesThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].All the modules and IOs are available simultaneously(except multiplexing on each of the 2 CAN/1553 ports)SDRAM Scrubbing is performed automatically in HW<strong>SCOC3</strong> is Flight-provenComplete ecosystem (Qualified Simulator and Basic SW inparticular)December 2012 – p. 12


AgendaThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].December 2012 – p. 132. <strong>SCOC3</strong>DevelopmentHistory


Development HistoryThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].2001-2003: SCOC prototype design, SCOC1Selection of functionsPreliminary architecture definition (based onLEON1)Development of a HW demonstrator (BLADEboard)Development of a SpW IP CoreSupported by <strong>ESA</strong> contract #13345/99/NL/FM“Building Blocks for System On-a-Chip” andAstrium internal funding2000December 2012 – p. 14SCOC120012002SCOC22003200420052006<strong>SCOC3</strong>20072008<strong>SCOC3</strong>protos2009<strong>SCOC3</strong>FMs2010OSCARFM2011Spot 6 launchSep. 20122012TRL 9TRL 8TRL 7TRL 6TRL 5TRL 4TRL 3TRL 2TRL 1


Development History2003-2006: Refinement of SCOC1 into SCOC2This document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].Upgrade to LEON2FTEvaluation of several IP CoresPerformance assessment of differentarchitecturesSupported by Astrium internal funding2000December 2012 – p. 15SCOC120012002SCOC22003200420052006<strong>SCOC3</strong>20072008<strong>SCOC3</strong>protos2009<strong>SCOC3</strong>FMs2010OSCARFM2011Spot 6 launchSep. 20122012TRL 9TRL 8TRL 7TRL 6TRL 5TRL 4TRL 3TRL 2TRL 1


Development HistoryThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].2006-2009: Development of the <strong>SCOC3</strong>System-on-Chip (1/2)Upgrade to LEON3FTDevelopment of new IP CoresArchitectural design and verification(simulation and FPGA prototyping)Supported by <strong>ESA</strong> contract #20167/06/NL/FM“Further Development of the SpacecraftController on a Chip” and Astrium internalfunding2000December 2012 – p. 16SCOC120012002SCOC22003200420052006<strong>SCOC3</strong>20072008<strong>SCOC3</strong>protos2009<strong>SCOC3</strong>FMs2010OSCARFM2011Spot 6 launchSep. 20122012TRL 9TRL 8TRL 7TRL 6TRL 5TRL 4TRL 3TRL 2TRL 1


Development HistoryThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].2006-2009: Development of the <strong>SCOC3</strong>System-on-Chip (2/2)Gate-level design and LayoutManufacturingASIC ValidationDev. of a Demonstration Basic SWRadiation testSupported by <strong>ESA</strong> contract #22358/09/NL/JK“<strong>SCOC3</strong> ASIC Manufacturing, Test andValidation”, and Astrium internal funding2000December 2012 – p. 17SCOC120012002SCOC22003200420052006<strong>SCOC3</strong>20072008<strong>SCOC3</strong>protos2009<strong>SCOC3</strong>FMs2010OSCARFM2011Spot 6 launchSep. 20122012TRL 9TRL 8TRL 7TRL 6TRL 5TRL 4TRL 3TRL 2TRL 1


<strong>SCOC3</strong> Development ProcessThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].Kick-off(Sept 2006)Feasibility(incl. architecture)December 2012 – p. 18Development ofNew IPscoding, synthesis,IP-level verification(May 2007)FPGAPrototype Board(July 2007)SoC IntegrationSoC VerificationRTL simulationsHW verif. on FPGA10 monthsGate-levelsimulationsSW verif. on FPGA breadboard19 monthsLogic Review(Sept 2008)Gate-leveldesignLayoutExtensive verificationphaseDesign Review(Dec 2008)FoundryASICprototypes(May 2009)PrototypeValidationPrototypeapproval(Oct 2009)FlightModelsproductionFlight Models(May 2010)


AgendaThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].December 2012 – p. 193. ValidationResults


Verification resultsThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].<strong>SCOC3</strong> Verification (before the foundry):December 2012 – p. 20RTLsimulationsGate-levelsimulationsIP-levelsimulationsTop-level verificationHW verif. onFPGAbreadboardVerification reportsSW verif. onFPGAbreadboard


Validation resultsThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].<strong>SCOC3</strong> validation (on the ASIC prototype):December 2012 – p. 21hardware validation:• functional validation (numerous HW-oriented testsperformed in various temperature and voltage conditions,with various clock frequencies)• electrical characterization (oscilloscope measurements ofsignals, memory accesses; power consumptionmeasurements)• functional characterization (measurement of operatinglimits on clock frequencies, voltages, wait states)software validation:• validating the functionality from a software point of view(avionics-level tests defined in co-engineering betweenthe SW team and the Data Handling architect, withperformance measurements in representative and worstcasescenarii)


AgendaThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].December 2012 – p. 224. Radiation TestResults


Objective of the Radiation TestThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].The objective of the radiation test was to confirm theexisting radiation analysis by validation of someexperimental resultsDecember 2012 – p. 23Using ATMEL data, test sensitivities were estimatedThese predictions were compared to the actual test resultsChoice to have multiple tests focusing on specific partsof the design rather than a complex test activating all thefunctions at onceData needs to be analyzable and exploitable7 tests have been developed


<strong>SCOC3</strong> Radiation TestThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].<strong>SCOC3</strong> radiation test took place at RADEF (Jyvaskyla,Finland) on 5-7 Sept. 2012Heavy Ions TestingDecember 2012 – p. 24


SpW Test ResultsThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].The SpW test involved: 2 SpW + CPU + utilities.Results: 150x less sensitive than predicted.X section (cm²)December 2012 – p. 25SpacewireSEE Sensitivity1.E-021.E-031.E-041.E-051.E-06Test ResultsWeibull Fit of Test Results1.E-070.0 20.0 40.0 60.0 80.0LET (MeV cm² / mg)Estimation before the test


Caches Test ResultsThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].The test of the caches involved: Inst. & Data caches +CPU + utilities.Results: 15x less sensitive than predicted.December 2012 – p. 26LEON3 Cache SEE sensitivityX section (cm²)1.E+001.E-011.E-021.E-03Test resultsWeibull Fit of the test results1.E-040.0 20.0 40.0 60.0 80.0LET (MeV cm² / mg)Estimation before the test


Radiation Test ResultsThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].Main results:December 2012 – p. 27SEL: <strong>SCOC3</strong> is insensitive to SEL and to Electrical Failure(tested up to 65 Mev.cm2/mg)SEU: The observed SEU rates are less than thepredicted SEU rates: from 5.6x less to much more.• One reason for this is logic masking: in modules involving DFFsand logic, some errors occurring in the logic will not generate afunctional error• On the memory tests, the results are closer to the estimationsSET: One test was run at both 32MHz and 80MHz to quantifythe proportion of SEUs due to SETs sampled by DFFs.• No significant cross-section variation observed between the 2frequencies, on the 5 comparison points.


AgendaThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].December 2012 – p. 285. <strong>SCOC3</strong>Development Kit


SW Development EnvironmentThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].The <strong>SCOC3</strong> LEON3 core is readily usable by developers alreadyworking with ERC32 or LEON2 based computersThe software development environment includes the compiler +debug tools and is available with an Eclipse interface (as wellas in command line)<strong>SCOC3</strong> is compatible with many OS (RTEMS, VxWorks, …)December 2012 – p. 29


<strong>SCOC3</strong> SW modulesProvided with <strong>SCOC3</strong>This document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].BIOS and Drivers for I/O’s: SpW, 1553, CAN, TM/TC, UART...Board Support Package for the RTEMS Operating SystemBootDemonstration SW: test applications serving as SW examplesThe first version (demonstration level) is already availableA Flight-Quality version is planned for beginning of 2013December 2012 – p. 30Board SupportPackageApplication SWRTOSIODrivers<strong>SCOC3</strong> HWBIOSBoot


<strong>SCOC3</strong> STARKITPerformance evaluation, SW development, SW R&DThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].The <strong>SCOC3</strong> Starter Kit is a FPGA-based development platform &evaluation boardSuitable for early SW development and rapid prototyping of applicationsusing <strong>SCOC3</strong>It is 100% representative of <strong>SCOC3</strong> and its interfaces:<strong>SCOC3</strong> VHDL design in a Xilinxon board memoryall of <strong>SCOC3</strong> interfaces (2x1553, 2xCAN,4xUART, 7xSpW, TM/TC…)It is scalable andcan be adapted orextended throughexpansion boardsDecember 2012 – p. 31


<strong>SCOC3</strong> SimulatorSW development and qualificationThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].<strong>SCOC3</strong> Simulator is fully representative of <strong>SCOC3</strong> incurrent applicationsIt has been validated and calibrated with real HW tomake it suitable for flight software development andqualificationUsed for SW development, validation, qualification andoperations at spacecraft levelAbility to fully control execution timeIntegrated with non intrusive debug functionsFailure injection capabilities to exercise SW error cases<strong>SCOC3</strong> Simulator executes as fast as real timeUsing JIT technologyTest SW in JavaEclipse plug-inDecember 2012 – p. 32


<strong>SCOC3</strong> SimulatorSW development and qualificationThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].December 2012 – p. 33


AgendaThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].December 2012 – p. 346. <strong>SCOC3</strong>Commercialization


Development status & AvailabilityThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].rificationnsPGAGate-levelsimulationsFPGA breadboard<strong>SCOC3</strong> ASIC is validated since 2009Flight models are available since 2010<strong>SCOC3</strong> is commercially released since 2010<strong>SCOC3</strong> is already selected for 8 satellites including SPOT6,SPOT7, KRS, SEOSAT, Sentinel 5 Precursor…Logic Review(Sept 2008)Gate-leveldesignDecember 2012 – p. 35Design Review(Dec 2008)LayoutASICprototypes(May 2009)FoundryPrototypeapproval(Oct 2009)PrototypeValidationFlight Models(May 2010)FlightModelsproduction


ASSP & Commercialisation PlanThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].<strong>SCOC3</strong> is an ASSP (Application Specific Standard Product)available to the European space industry under fair and equalconditionsAstrium is the single contact point to customers for providingcomponents, support and additional servicesThis is formalized with <strong>ESA</strong> through a Commercialisation PlanDecember 2012 – p. 36<strong>ESA</strong>Reporting<strong>SCOC3</strong> CustomerASSP Procurement Agency:ASTRIUMOrder fortechnical supportOrderTechical supporton requestGAISLERRESEARCHDeliveryOrder for <strong>SCOC3</strong>ATMELDelivery<strong>SCOC3</strong> ASICSTARKITSimulatorFlight Basic SWTechnical supportBoard AssemblyFlight Application SW


Quality levelsThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].3 Quality levels are availableDecember 2012 – p. 37EM / PrototypeQML-QQML-VATMEL MOQ (Minimum Order Quantity) apply, butdiscussions have started with <strong>ESA</strong> to waive this constraintby having a batch of components on stock (i.e. no MOQfor the final customer)The idea is to put components on stockStill under discussion (requires a budget)


The complete offer – summaryThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].► <strong>SCOC3</strong> ASIC(EM, QML-Q or QML-V)► STARKIT(FPGA development kit)► Simulator(qualified for flight SW development)► Basic RTOSWBoard Support IOBIOS Boot(demonstration Package Drivers version available,<strong>SCOC3</strong> HWFlight-quality version for beg. of 2013)► Application SW► Technical supportDecember 2012 – p. 38Application SW


AgendaThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].December 2012 – p. 39Conclusion


ConclusionThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].<strong>SCOC3</strong> is a powerful processor and spacecraft controller<strong>SCOC3</strong> is fully validated and already selected for 8 satellites<strong>SCOC3</strong> is flight-proven since 9th September 2012<strong>SCOC3</strong> is commercially available with a complete ecosystemfor integration, use and SW developmentDecember 2012 – p. 40


ContactsThis document and its content is the property of Astrium [Ltd/SAS/GmbH] and is strictly confidential. It shall not be communicated to any third party without the written consent of Astrium [Ltd/SAS/GmbH].December 2012 – p. 41www.scoc3.comFranck BaudProduct Line Manager – Avionics ProductsPhone: +33 (0)5 62 19 85 75Portable: +33 (0)6 35 48 07 41Email: franck.baud@astrium.eads.netMathieu VandenbosscheHead of Commercial Products & ServicesDepartmentPhone: +33 (0)1 82 61 24 28Portable: +33 (0)6 86 20 66 46Email: mathieu.vandenbossche@astrium.eads.net

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!