13.07.2015 Views

(SRAM) IN HSPICE IKA DEWI BINTI SAIFUL BAHRI This thesis is ...

(SRAM) IN HSPICE IKA DEWI BINTI SAIFUL BAHRI This thesis is ...

(SRAM) IN HSPICE IKA DEWI BINTI SAIFUL BAHRI This thesis is ...

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

SIMULATION OF STATIC RANDOM ACCESS MEMORY (<strong>SRAM</strong>) <strong>IN</strong> <strong>HSPICE</strong><strong>IKA</strong> <strong>DEWI</strong> B<strong>IN</strong>TI <strong>SAIFUL</strong> <strong>BAHRI</strong><strong>Th<strong>is</strong></strong> <strong>thes<strong>is</strong></strong> <strong>is</strong> submitted in part of the requirement for the Degree of BachelorEngineering (Electrical-Microelectronics)Electrical Engineering FacultyUniversity of Technology MalaysiaJULY, 2012


ii“I declared that I have read through th<strong>is</strong> <strong>thes<strong>is</strong></strong> and my opinion th<strong>is</strong> report <strong>is</strong> sufficientenough for fulfilling the standard of the <strong>thes<strong>is</strong></strong> for the Bachelor Degree in ElectricalEngineering (Electrical-Microelectronics)”Signature : ………………………………………..Superv<strong>is</strong>or’s Name : DR. MICHAEL TAN LOONG PENGDate : 04 JULY 2012


iiiDECLARATION“I hereby declare that following project <strong>is</strong> the result of my own work expect forcommentaries and summaries that I had clearly stated the source”.Signature : ………………………………………Author : <strong>IKA</strong> <strong>DEWI</strong> B<strong>IN</strong>TI <strong>SAIFUL</strong> <strong>BAHRI</strong>I/C No : 880707-23-5064Date : 04 JULY 2012


ivDEDICATIONSpecial dedicated toMy lovely mother, Marlen Binti AgusMy dearest father, Saiful Bahri Bin MohdAnd all my family members and supportive friendsThanks for your full support


vACKNOWLEDGEMENTFirst of all, I would like to express my heartfelt thanks and highly appreciationto my respected superv<strong>is</strong>or, Dr Michael Tan Loong Peng for h<strong>is</strong> ass<strong>is</strong>tances, invaluableadvices, encouragement, guidance, motivation and comments throughout th<strong>is</strong> <strong>thes<strong>is</strong></strong>.I also w<strong>is</strong>h to convey my gratefulness to my beloved family for their love andsupport to succeed th<strong>is</strong> <strong>thes<strong>is</strong></strong>. Special thanks to all my friends and all whom had helpedand support me in one way or other during my project.


viABSTRACTNowadays, memory in one of the most important component in VLSI chip. It <strong>is</strong>used w<strong>is</strong>ely in most micro-processor not only to store the data, but also needed to readand write the data. One type of the memories <strong>is</strong> called <strong>SRAM</strong>. In th<strong>is</strong> <strong>thes<strong>is</strong></strong>, a newmethod <strong>is</strong> suggested for simulate of Static Random Access Memory by using thePredictive Technology Model (PTM) card in <strong>HSPICE</strong>. <strong>Th<strong>is</strong></strong> project <strong>is</strong> carried out toinvestigate the performance and the character<strong>is</strong>tics of the <strong>SRAM</strong>. The six trans<strong>is</strong>tors<strong>SRAM</strong> cell <strong>is</strong> one of the most prevalent circuits in microelectronic design. The 6T<strong>SRAM</strong> cell <strong>is</strong> selected cell to be design in th<strong>is</strong> project due to higher performance of thecell compared with the other type of cell. The objectives of th<strong>is</strong> study are to design the<strong>SRAM</strong> cell by using the <strong>HSPICE</strong> software in three operations in the 6T <strong>SRAM</strong> cellswhich are in the normal, write and read operation.The operation time of each operation <strong>is</strong> observed and compared. The completetime taken for the read operation <strong>is</strong> higher than write operation. Static no<strong>is</strong>e margin(SNM) in the write and read operation are calculated and d<strong>is</strong>cussed. SNM during readsimulation are greater than write operation. The smaller the static no<strong>is</strong>e margins valuesthe better the design. The sizing of each MOSFET are really important in order to drivethe circuit achieved the maximum performance.


viiABSTRAKPada masa kini, memori di dalamsalah satukomponenyang palingpentingdalamcipVLSI. Ia digunakansecarabijakdalamkebanyakanpemprosesmikrobukansahajauntuk menyimpan data, tetapi jugadiperlukanuntukmembaca dan menul<strong>is</strong>data.Satu jen<strong>is</strong>memori yangdipanggil<strong>SRAM</strong>. Dalam kajian ini,satukaedahramalanbarumencadangkanuntukmensimulasikanRandomAccessMemoryStatikdenganmenggunakanModelTeknologiramalan(PTM) kaddalam per<strong>is</strong>ianpekej <strong>HSPICE</strong>.Model ramalanadalahproses di manamodeltelah ditubuhkan untukcubauntukmeramalkebarangkalianmemilihkeputusan. Projek inidijalankan untukmengetahuiprestasidanciri-ciri jen<strong>is</strong> <strong>SRAM</strong>. Enamtrans<strong>is</strong>tor<strong>SRAM</strong>selmerupakan salahsatudaripadalitaryang paling lazimdalam reka bentukmikroelektronik.Sel<strong>SRAM</strong>6tdipilihselmenjadirekabentukdalam projek inikeranaprestasiyanglebihtingg<strong>is</strong>elberbandingdenganjen<strong>is</strong>laincel. Objektif kajianiniadalahuntuk mereka bentuksel<strong>SRAM</strong>dengan menggunakanper<strong>is</strong>ianpekej<strong>HSPICE</strong>dalam tigaoperasi d<strong>is</strong>el6T<strong>SRAM</strong>yangbiasa, menul<strong>is</strong>dan membacaoperasi.Masaoperas<strong>is</strong>etiap operasidi kaji dan dibandingkan. Masayang lengkapuntukoperasimembacaadalahlebih tinggi daripadaoperasi tul<strong>is</strong>.Marginbuny<strong>is</strong>tatik(SNM) untuk operasi menul<strong>is</strong>dan membacadikiradandibincangkan.SNMsemasasimulasimembacaadalahlebih besar daripadaoperasi tul<strong>is</strong>.Semakin kecilmarginbuny<strong>is</strong>tatik semakin bagus reka bentuksesuatu litar.SaizsetiapMOSFETbenar-benarpentinguntukmemaculitarmencapaiprestasimaksimum.


viiiTABLE OF CONTENTSCHAPTER TITLEPAGEPAGE TITLEDECLARATIONDEDICATIONACKNOWLEDGEMENTABSTRACTABSTRAKTABLE OF CONTENTSLIST OF FIGURESLIST TABLELIST OF ABBREVIATIONiiiiiivviviiviiixixiiixiv1 <strong>IN</strong>TRODUCTION1.1 Background 11.2 Problem Statement 21.3 Objective 41.4 Scope 5


ix2 LITERATURE REVIEW2.1 Introduction 62.2 <strong>HSPICE</strong> 72.3 CosmosScope 82.4 <strong>SRAM</strong> 92.4.1 Determine Cell (6T) 102.4.2 Static No<strong>is</strong>e Margin (SNM) 143 METHODOLOGY3.1 Introduction 163.2 Flow Chart 173.3 Circuit Modelling 183.4 Design in <strong>HSPICE</strong> 193.5 Simulation Work in CosmosScope 194 RESULT AND DISCUSSION4.1 Introduction 204.2 Inverter Simulation 214.2.2 Normal Operation Simulation 264.2.3 Read Operation Simulation 314.2.4 Write Operation Simulation 36


x5 CONCLUSIONS AND FUTURE WORK5.1 Conclusions 415.2 Future Work 436 REFERENCES 447 APPENDIX 46


xiLIST OF FIGURESFIGURES TITLE PAGE1.1 Previous project of <strong>SRAM</strong> cell 32.1 <strong>HSPICE</strong> integrator program 72.2 6T <strong>SRAM</strong> cell 102.3 A six trans<strong>is</strong>tor CMOS <strong>SRAM</strong> cell 122.4 SNM for an <strong>SRAM</strong> cell 143.1 Methodology 173.2 Structure sizing of trans<strong>is</strong>tor 183.3 Step of <strong>HSPICE</strong> design 194.1 Description of CMOS inverter 214.2 The input waveform of the inverter 234.3 The output waveform of the inverter 244.4 The input and output waveform of the inverter 254.5 6T <strong>SRAM</strong> cell netl<strong>is</strong>t 264.6 <strong>SRAM</strong> simulation 284.7 <strong>HSPICE</strong> simulation for normal operation 304.8 Read modeling structure 314.9 Read operation netl<strong>is</strong>t 324.10 Simulation in read operation 344.11 <strong>HSPICE</strong> simulation for read operation 354.12 Write operation modeling 36


xii4.13 Write operation netl<strong>is</strong>t 374.14 Simulation in write operation 394.15 <strong>HSPICE</strong> simulation for write operation 40


xiiiLIST OF TABLESTABLE TITLE PAGE4.1 Graph description of normal operation 294.2 Trans<strong>is</strong>tor sizing 33


xivLIST OF ABBREVIATIONCMOS - Complementary Metal Oxide SemiconductorEDA - Electronic Design AutomationFET – Field-Effect Trans<strong>is</strong>torGUI - Graphical User InterfaceIC - Integrated CircuitMOS – Metal-Oxide SemiconductorMOSFET – Metal-Oxide Semiconductor Field-Effect Trans<strong>is</strong>torNMOS - n-channel Metal-Oxide SemiconductorPMOS - p-channel Metal-Oxide SemiconductorVLSI - Very Large Scale DeviceSNM – Static No<strong>is</strong>e Margin


CHAPTER 1<strong>IN</strong>TRODUCTION<strong>Th<strong>is</strong></strong> <strong>thes<strong>is</strong></strong> investigates the performance of Static Random Access Memory(<strong>SRAM</strong>) in HISPICE simulation. 6T cell of <strong>SRAM</strong> <strong>is</strong> designed and the character<strong>is</strong>tic <strong>is</strong>obtained from the CosmosScope. In th<strong>is</strong> chapter, the background of the project, problemstatement, project objectives, scope of work and the organization of the <strong>thes<strong>is</strong></strong> arepresented.1.1 BackgroundPredictive Technology Model (PTM) provides accurate, customizable, andpredictive model files are compatible with the standard circuit simulators, such asSPICE, and scalable with a wide range of process variations. With PTM, competitivecircuit design and research can start even before the advanced semiconductortechnology <strong>is</strong> fully developed.


2SPICE <strong>is</strong> one of the types of Predictive Technology Model. There <strong>is</strong> a severaltype of SPICE such as TSPICE, PSPICE and the latest type <strong>is</strong> <strong>HSPICE</strong>. <strong>HSPICE</strong> <strong>is</strong>faster and has more capabilities than typical SPICE simulators. More time can be savedand it easy to detect the error if there <strong>is</strong> the problem in simulation process.The Static Random Access Memory (<strong>SRAM</strong>) was created by using the <strong>HSPICE</strong>software. The circuits performance of <strong>SRAM</strong> will be obtained through <strong>HSPICE</strong> and theperformance of circuit will be analyzed based on the waveform in generate fromCosmosScope.1.2 Problem StatementAccording to th<strong>is</strong> project, some major improvements are made from the previousproject methodology. Initially, the previous projects use the typical SPICE simulatorwhich <strong>is</strong> more complicated and need more step and process to fin<strong>is</strong>h the project. Start itfrom design the schematic in S-Edit, proceed to draw the layout in L-Edit thencompared the net l<strong>is</strong>t in T-Spice. The problem will occur if both net l<strong>is</strong>ts are not sameand need to recheck the programmed from the start to solve the error.


3a) Schematic design b) Layout designFigure 1.1Previous project of <strong>SRAM</strong> cellThe major advantage by design the cell in <strong>HSPICE</strong> <strong>is</strong> faster and efficientcompared to other typical SPICE. The difference <strong>is</strong> <strong>HSPICE</strong> <strong>is</strong> that <strong>is</strong> no need to placethe schematic symbol onto the layout.


41.3 ObjectiveThere are two objectives in th<strong>is</strong> project. The main objective <strong>is</strong> to predict theperformance of 16 bit <strong>SRAM</strong> using Predictive Technology Models (PTM) card. Thesepredictable models files are compatible with the standard circuit simulators, such asSPICE. The PTM model 32nm <strong>is</strong> one of PTM version for sub-45nm bulk CMOS. It <strong>is</strong>providing new modeling features of metal gate/high-k, gate leakage, temperatureeffect, and body bias.Secondly, design circuit level modeling of <strong>SRAM</strong> in <strong>HSPICE</strong>.Generally thefewer trans<strong>is</strong>tors used the better the design. Cell size accounts for most of array size.Reduce cell size at expense of complexity. But the 1T and 3T cells are not types of<strong>SRAM</strong> but DRAM. 6T cell <strong>SRAM</strong> had been choose as the cell <strong>SRAM</strong> in th<strong>is</strong> project.


51.4 Scope of Project<strong>Th<strong>is</strong></strong> project cons<strong>is</strong>ts of two phase; simulation and modeling. To study thecircuit-level modeling of <strong>SRAM</strong> which use <strong>HSPICE</strong> to design and simulate the netl<strong>is</strong>tof 6T cell <strong>SRAM</strong>and CosmosScope to produce the output waveform to investigate thecharacter<strong>is</strong>tic of 6T cell <strong>SRAM</strong> during the write and read operation. Secondly, studyon the characterization of the static random access memory and lastly, analyzing andinterpreting the data.


CHAPTER 2LITERATURE REVIEW<strong>Th<strong>is</strong></strong> chapter provides the background and reading of the project. These include adetail description of each device and operation that involved in th<strong>is</strong> project.2.1 IntroductionThe software that will be used in order to simulate the Static Random Access Memory(<strong>SRAM</strong>) cell <strong>is</strong> <strong>HSPICE</strong> and CosmosScope. The netl<strong>is</strong>ts <strong>is</strong> designed in <strong>HSPICE</strong> and theoutput waveform will be generated by using CosmosScope. The simulation andinvestigation focused on 6T <strong>SRAM</strong> cell. Each bit in an <strong>SRAM</strong> <strong>is</strong> stored on fourtrans<strong>is</strong>tors that form two cross-coupled inverters. <strong>Th<strong>is</strong></strong> storage cell has two stable stateswhich are used to denote “0” and “1”. Two additional access trans<strong>is</strong>tors help controllingthe access to the cross coupled unit formed by the inverters during read and writeoperations


72.2 <strong>HSPICE</strong>Figure 2.1<strong>HSPICE</strong> integrator program<strong>HSPICE</strong> simulator <strong>is</strong> one of the products from the <strong>HSPICE</strong> Integrator Program.It <strong>is</strong> able to generate the circuit in steady-state, frequency domains and also in thetransient state. Device reliability simulation and design yield process variability can bedone by using th<strong>is</strong> program.One of the advantages of the <strong>HSPICE</strong> <strong>is</strong> can produce the very useful graphs thatcan design the project management and in engineering, which <strong>is</strong> graphical curves. <strong>Th<strong>is</strong></strong>software uses the synops<strong>is</strong> which the accurate gold standard for simulate the circuit andfully support the most accurate and expensive set of industry standard and proprietysimulation model. <strong>HSPICE</strong> precede the other program on both multicore and singlecomputers in term of the characterization application speed, extracted larger netl<strong>is</strong>t,designing 65nm and integrity of signal.


82.3 CosmosScopeCosmosScope program can help <strong>HSPICE</strong> simulator to generate the outputwaveform. <strong>Th<strong>is</strong></strong> <strong>is</strong> because CosmmosScope program can support the entire Synopsyssimulator. The data that are received from the other programme can be turn to the usefulinformation in CosmosScope. The advantages of the CosmosScope are:a. Measurement capabilities and powerful of analys<strong>is</strong>.b. Patented waveform-calculator technology.c. Scripting language based on the industry standard Tcl/Tkd. Can analyze the performance and quality of design; uparalleled capabilityand flexibilityThe result of the stat<strong>is</strong>tical circuit can be easy analysing by use CosmosScopesoftware. The different type of session of multiple output files generated can be simplyopen with the help of signal manager.Synopsys’ waveform calculator tool allows designers to further analysesimulation results. With most waveform-analys<strong>is</strong> products, each session must be startedfrom scratch, requiring valuable time searching for data files, rearranging windows, andso forth. CosmosScope, however, allows an entire session to be saved. Arrangements ofwindows, complete graphs, calculator contents and macros can be restored from aprevious session to continue work without interruption.After simulate, any number of graphs complete with annotations, text variables,and measurements can be saved. Then, in a later session, the graphs can be quicklyrestored for modification or for use as the bas<strong>is</strong> for a new graph. CosmosScope alsoincorporates graph outlines that let designers apply ax<strong>is</strong>-range labels, annotations, textvariables and measurements to new sets of waveforms; th<strong>is</strong> allows definition of astandard template for subsequent sets of graphs and measurements.


92.4 <strong>SRAM</strong>There <strong>is</strong> two type of RAM, first <strong>is</strong> <strong>SRAM</strong> and second <strong>is</strong> DRAM. Both of th<strong>is</strong>RAM <strong>is</strong> very important in computer system application. <strong>SRAM</strong> use a static method (aslong as the electric power <strong>is</strong> supplied to the memory chip, the data will remain constantand static) in order to stores the data. On the others hand, DRAM use the dynamicmethod, which <strong>is</strong> mean, it <strong>is</strong> always need to refresh the data stored in the memory.<strong>SRAM</strong> <strong>is</strong> faster and can save the use of power supply compared with DRAM.The structure of <strong>SRAM</strong> <strong>is</strong> more complex compared with DRAM. DRAM <strong>is</strong> lessexpensive to manufacture than <strong>SRAM</strong>. <strong>Th<strong>is</strong></strong> reason <strong>SRAM</strong> <strong>is</strong> normally used in smallerapplication like CPU cache memory and user electronic and DRAM at all time used inlarger application like main memory for personal computers.The fewer trans<strong>is</strong>tors used and the smaller the cell the better the design. Due toincreasing of price of silicon wafer, using the smaller cell can reduce the total cost perbit of memory. The cell that used less that 3T <strong>is</strong> calling DRAM. The command cellused in <strong>SRAM</strong> <strong>is</strong> 6T. The structure of 6T <strong>SRAM</strong> cell <strong>is</strong> performing by 2 connectioninverter. The four trans<strong>is</strong>tors in the center form two cross-coupled inverters. In actualdevices, these trans<strong>is</strong>tors are made as small as possible to save chip-area, and are veryweak. Due to the feedback structure, a low input value on the first inverter will generatea high value on the second inverter, which amplifies (and stores) the low value on thesecond inverter. Similarly, a high input value on the first inverter will generate a lowinput value on the second inverter, which feeds back the low input value onto the firstinverter. Therefore, the two inverters will store their current logical value, whatevervalue that <strong>is</strong>.


102.4.1 Determine Cell (6T)Figure 2.2 below demonstrates the typical six-trans<strong>is</strong>tor cell used for CMOSstatic random-access memories (<strong>SRAM</strong>). The cell cons<strong>is</strong>ts of two cross-coupled CMOSinverters that store one bit of information, and two N-type trans<strong>is</strong>tors that connect thecell to the bit lines.Figure 2.26T <strong>SRAM</strong> cellThe function of the logical gate inverter <strong>is</strong> to output the opposite of the input.<strong>Th<strong>is</strong></strong> can be chained with other logic gates to allow for very complicate logic circuits.All logical circuits can be constructed out of OR and the invertors. A power inverter, onthe other hand, <strong>is</strong> a device that can be used to convert DC current to AC. <strong>Th<strong>is</strong></strong> allows torun normal appliances (that require AC) off a battery (which delivers DC) or the like.An inverter <strong>is</strong> an electrical device that converts direct current (DC) to alternating


11current (AC); the resulting AC can be at any required voltage and frequency with theuse of appropriate transformers, switching, and control circuits. Inverters are commonlyused to supply AC power from DC sources such as solar panels or batteries.Static inverters have no moving parts and are used in a wide range ofapplications, from small switching power supplies in computers, to large electric utilityhigh-voltage direct current applications that transport bulk power.


12Figure 2.3A six trans<strong>is</strong>tor CMOS <strong>SRAM</strong> cellThere are three operations in <strong>SRAM</strong> simulation which <strong>is</strong> standby mode, readoperation mode and write operation mode. If the word line <strong>is</strong> not asserted, the accesstrans<strong>is</strong>tors M 5 and M 6 d<strong>is</strong>connect the cell from the bit lines. The two cross-coupledinverters formed by M 1 – M 4 will continue to reinforce each other as long as they areconnected to the supply. <strong>Th<strong>is</strong></strong> operation <strong>is</strong> call standby operation.In the read operation, word line <strong>is</strong> activated while the external word line driver<strong>is</strong> d<strong>is</strong>abled. The value can be determined by external logic if the inverter inside the<strong>SRAM</strong> cell drives the bitlines.In the write operation, in order to drive the bitlines, the big (external) tr<strong>is</strong>tatedrivers need to activate first. The previous state of the cross-couple can easily beoverride. It <strong>is</strong> because the internal driver (small trans<strong>is</strong>tor used in the 6T <strong>SRAM</strong> cell) <strong>is</strong>much smaller than the external drivers. Next, allowed the wordline trans<strong>is</strong>tors. Still,when shafting the data, the short-circuit will happen for just a few nanoseconds.


13So typically it takes six trans<strong>is</strong>tors to store one memory bit. The design of abasic <strong>SRAM</strong> cell <strong>is</strong> shown in Figure 2.3. Access to the cell <strong>is</strong> enabled by the word line(WL) which controls the two access trans<strong>is</strong>tors M5 and M6 which allow the access ofthe memory cell to the bit lines: ‘BL’ and ‘BLbar’. They are used to transfer data forboth read and write operations. The presence of dual bit lines i.e. ‘BL’ and ‘BLbar’improves no<strong>is</strong>e margins over a single bit line. The operation of CNFETs basedmemories <strong>is</strong> very similar to that of CMOS except for minor differences in deviceorientation. One such difference being that the source and drain terminals of a CNFETare not interchangeable as <strong>is</strong> the case with CMOS devices. Care must therefore be takento orient the trans<strong>is</strong>tors in a memory cell in a manner that will ensure correcttransm<strong>is</strong>sion of logic levels.


142.4.2 Static No<strong>is</strong>e Margin (SNM)Static no<strong>is</strong>e margin (SNM) <strong>is</strong> the maximum voltage amplitude of external signalthat can be algebraically added to the no<strong>is</strong>e-free worst-case input level without causingthe output voltage to diverge from the allowable logic voltage level. SNM <strong>is</strong> the mostimportant parameters of <strong>SRAM</strong> cell because too compared which cell <strong>is</strong> better and asDC d<strong>is</strong>turbance present in logic gates. The concept of SNM for an <strong>SRAM</strong> cell <strong>is</strong> shownthe figure below.Figure 2.4SNM for an <strong>SRAM</strong> cell


15The minimum no<strong>is</strong>e voltage to flip the state of the cell at each of the cell storage<strong>is</strong> called SNM. SNM can be obtained by drawing and mirroring the invertercharacter<strong>is</strong>tics (left side and right side inverter of the 6T cell). Next, determine themaximum square between both inverters.


CHAPTER 3METHODOLOGY3.1 Introduction<strong>Th<strong>is</strong></strong> chapter d<strong>is</strong>cusses the methodology and step that involved in th<strong>is</strong> project.<strong>Th<strong>is</strong></strong> project implicates programming simulation and design only. <strong>Th<strong>is</strong></strong> chapter alsoexplains the flow of the project step and the software involves in th<strong>is</strong> project.


173.2 Flow ChartFigure 3.1shows the flow chart of th<strong>is</strong> project. The first stage <strong>is</strong> literature reviewon <strong>HSPICE</strong> and CosmoScope software and <strong>SRAM</strong> chip in general and 6T cell <strong>SRAM</strong>structure in particular. All of the information that collected from the web site, relatedbooks and from my superv<strong>is</strong>or need to be understood thoroughly.Literature review on Hspice, CosmosScope and<strong>SRAM</strong>Circuit modellingDesign in HspiceSimulation in CosmosScopeFigure 3.1Methodology


183.3 Circuit ModelingThere are 3 types of model that need to be design in th<strong>is</strong> project which start fromthe normal operation of <strong>SRAM</strong> cell then followed by the write operation and readoperation. The pull-up PMOS trans<strong>is</strong>tors <strong>is</strong> sized as twice or three-times the widths ofthe pull-down NMOS trans<strong>is</strong>tors. It <strong>is</strong> because the mobility of PMOS <strong>is</strong> less than twiceor three time than the NMOS trans<strong>is</strong>tors. The size of both access trans<strong>is</strong>tor need to begreater than the PMOS MOSFET and smaller than the NMOS MOSFET to achievehigher design performance.Figure 3.2Structure sizing of trans<strong>is</strong>tor


193.4 <strong>HSPICE</strong> DESIGNAll 6 trans<strong>is</strong>tors and Word Line (WL), Bitline (BL) and BLB (BLB) are labeledcorrectly. Node Q and QB are label in order to simply the process of design the netl<strong>is</strong>tin the <strong>HSPICE</strong> later. There are four processes in th<strong>is</strong> step.Inverter Netl<strong>is</strong>t<strong>SRAM</strong> cell netl<strong>is</strong>tWrite and read operation netl<strong>is</strong>tFigure 3.3Step of <strong>HSPICE</strong> design3.5 Simulation Work in CosmosScopeRecalling that, <strong>HSPICE</strong> <strong>is</strong> used for circuit simulation and CosmosScope <strong>is</strong> usedto view output waveform. The simulation work of <strong>SRAM</strong> will be d<strong>is</strong>cussed more deeplyin the result and d<strong>is</strong>cussion part later. Time analys<strong>is</strong> and the character<strong>is</strong>tic of <strong>SRAM</strong>will be diagnosed.


CHAPTER 4RESULT AND DISCUSSION4.1 Introduction<strong>Th<strong>is</strong></strong> chapter d<strong>is</strong>cusses the simulation of output waveform generated inCosmosScope. It <strong>is</strong> divided into the four parts; inverter simulations, normal simulation,write operation and read operation


214.2 Inverter SimulationSince the 6T <strong>SRAM</strong> are formed by combination of two inverter, theunderstanding of th<strong>is</strong> project will start with the inverter net l<strong>is</strong>t first.<strong>HSPICE</strong> <strong>is</strong> used for circuit simulation and CosmosScope <strong>is</strong> used to viewoutput waveform. 6T <strong>SRAM</strong> cell are form of two combination inverter. Figure4.1 show the description of CMOS inverter..lib “tsmc_018nm_model.txt” cmos_modelM1 out in vdd vdd pmos L=0.18n W=1.8nM2 out in 0 0 nmos L=0.18n W=0.9nVdd Vdd 0 2.5Vin in 0 0 pulaw 0 2.5 200p 200p 200p 5n 10nCload out 0 20f.options post.tran 200p 20n.print tran v(in) v (out).endFigure 4.1Description of CMOS inverterThe first line of the SPICE file <strong>is</strong> always a comment line. Therefore anystatements on th<strong>is</strong> line will be ignored. The .lib line includes the model file32n.txt, which <strong>is</strong> assumed to be in the current running directory. The next twolines are a PMOS and an NMOS trans<strong>is</strong>tor, respectively. After the trans<strong>is</strong>torname (which must begin with m), the source, gate, drain, and bulk nodes aregiven.


22Next <strong>is</strong> the model. The length and width are specified. The process <strong>is</strong> a0.18um process, so 0.18um <strong>is</strong> the minimum gate length. Source and drainperimeters and areas can also be specified here. The two supply nets are definednext.A pulse voltage source <strong>is</strong> defined from 0 to 2.5 V with 100ps delay,100ps r<strong>is</strong>e time, 100ps fall time, 2n pulse width, and 4ns repetition period. Acapacitor of 20fF from node out to node 0 <strong>is</strong> given on the next line. .options postinstructs <strong>HSPICE</strong> to write an output file ending in .tr0 containing the simulationwaveforms. .tran indicates a transient analys<strong>is</strong> with a plot interval of 200ps andsimulation duration of 20ns. .print specifies the nodes to be printed to the .outfile. .end signifies the end of the SPICE stack. <strong>Th<strong>is</strong></strong> command runs <strong>HSPICE</strong> onth<strong>is</strong> file: hspiceinv.cir>inv.out.


23Several files are created by <strong>HSPICE</strong>: inv.ic: Text file containing thecircuit initial conditions inv.st0: Text files containing a summary of thesimulation inv.tr0: Binary files containing transient analys<strong>is</strong> waveforms.Figure 4.2Theinput waveform of the inverter


24After the simulation, the waveforms can be viewed using CosmosScope.A signal manager window and the Plot File window will open up. In the PlotFilewindow, plot v(in) by double clicking v(in), or by selecting v(in) andclicking Plot. Theinput waveform of the inverter will open up. Then, plot v(out),the same way as plotted v(in). <strong>Th<strong>is</strong></strong> will open another graph with v(out).Figure 4.3Theoutput waveform of the inverter


25Then compare the input and ouput on the same graph, simply click anddrag the title “v(out)” from the top graph to the bottom graph where v(in) <strong>is</strong>plotted.Figure 4.4The input and output waveform of the inverter


264.3 Normal SimulationAs mentioned before, by depending on the trans<strong>is</strong>tor label and node name inFigure 3.2, the netl<strong>is</strong>t of <strong>SRAM</strong> will be designed in <strong>HSPICE</strong>.<strong>SRAM</strong> cell 6T.lib "32n.txt" cmos_models*source**supplyvdd 4 0 dc 2**access controlvwl wl 0 pulse(0 4 100u 100u 2m 8m)**datavbl bl1 0 dc 1vblr blr1 0 pulse(0 1 5m 100u 100u 15m 1)**controlvr_w r_w 0 pulse(0 1 0 1u 1u 10m 1)*devices**switchesGBL BL1 BL VCR PWL(1) r_w,0 0,1e20 1m,1e-20GBLR BLR1 BLR VCR PWL(1) r_w,0 0,1e20 1m,1e-20**mos trans<strong>is</strong>tors - latchm1 Q QR 0 0 nmos W=88n L=22nm2 Q QR 1 1 pmos W=33n L=33nm3 QR Q 0 0 nmos W=88n L=22nm4 QR Q 1 1 pmos W=33n L=33n**mos trans<strong>is</strong>tors - data accessm5 BL wl Q 1 nmos W=44n L=22nm6 BLR wl QR 1 nmos W=44n L=22n*analys<strong>is</strong>.tran 1u 45m 0.option post.endFigure 4.56T <strong>SRAM</strong> cell netl<strong>is</strong>t


27As explained in the inverter simulation, the first line of the SPICE file <strong>is</strong>always a comment line. Therefore any statements on th<strong>is</strong> line will be ignored.The .lib line includes the model file 32n.txt, which <strong>is</strong> assumed to be in thecurrent running directory. As a access controller, a pulse of wordline (WL)source <strong>is</strong> defined from 0 to 4 V with 100 micro second r<strong>is</strong>e time, 100 microsecond fall time, 2ms pulse width, and 8ms repetition period. As a datacontroller, pulse voltage of bitline (BL) source <strong>is</strong> defined from 0 to 1 V with5ms delay, 100 micro second r<strong>is</strong>e time, 100 micro second fall time, 15m pulsewidth, and 1 repetition period. . As a controller, a write pulse voltage source <strong>is</strong>defined from 0 to 1 V with 0s delay, 100 micro second r<strong>is</strong>e time, 1 micro secondfall time, 10ms pulse width, and 1 repetition period.The command .options” post instructs <strong>HSPICE</strong> to write an output fileending in .tr0 containing the simulation waveforms. .tran indicates a transientanalys<strong>is</strong> with a plot interval of 1micro second and simulation duration of 45 ms..end signifies the end of the SPICE stack. <strong>Th<strong>is</strong></strong> command runs <strong>HSPICE</strong> on th<strong>is</strong>file: hspiceinv.cir>inv.out


28Figure 4.6<strong>SRAM</strong> simulation


29The Figure 4.1 shows the simulation of <strong>SRAM</strong> 6T cell. From the Figure 2.2 theoutput voltage at node Q <strong>is</strong> depending on WL and BL input. Once the WL and BL aresupply with input and voltage at node Q will start to increase, otherw<strong>is</strong>e it will remainzero. On the other hand, the output voltage at node QB <strong>is</strong> depending on WL and BLB.WL control both side of inverter.V (WL)V(r_w)V(qr)V(q)V(bl)V(blr)I(vr_w)i(vdd)i(vblr)i(vbl)Table 4.1Graph descriptionWorldline voltageWrite voltageVoltage at node QRVoltage at node QBitline voltageBitline Bar voltageWrite currentVoltage supply currentBitline bar currentBitline current


30Figure 4.7<strong>HSPICE</strong> simulationFigure 4.6 show the total MOSFET used <strong>is</strong> six; two PMOS MOSFET and fourNMOS MOSFET. The transient time <strong>is</strong> 0.10 second and the circuit took 0.20 seconds tocomplete the simulation. <strong>Th<strong>is</strong></strong> figure will only show when there <strong>is</strong> no error occur duringthe simulation


314.4 Read Operation SimulationThe wordline (WL) and bitlines (BL) are held at V DD during read operation.Figure 4.8 shows how to extract the read static no<strong>is</strong>e margin (SNM) of the cell.Figure 4.8 Read modeling structureFirst, the feedback from the cross coupled inverters <strong>is</strong> broken. Next, the voltageof the inverter formed by half of the <strong>SRAM</strong> cell <strong>is</strong> found by sweeping Q (the inverter’sinput) from 0 to V DD and measuring QB (the inverter’s output). <strong>Th<strong>is</strong></strong> plot <strong>is</strong> then used toconstruct the “butterfly plot” that <strong>is</strong> representative of the two halves of the cell drivingeach other. The read SNM <strong>is</strong> the side length of the maximum possible square that can fitinside of the butterfly plot.


32<strong>SRAM</strong> cell read 6T*6Trans<strong>is</strong>tor <strong>SRAM</strong>.TEMP 25.0000.lib "32n.txt" cmos_models.PARAM VDD= 0.95.PARAM VNOISE = 0.66.PARAM BITCAP = 1e-12.OPTION POSTCBL BLB 0 BITCAPCBLB BL 0 BITCAP*one inverterMPL Q QBN VDD! VDD! pmos L=33n W=33nMNL Q QBN 0 0 nmos L=22n W=88n*one inverterMPR QB QN VDD! VDD! pmos L=33n W=33nMNR QB QN 0 0 nmos L=22n W=88n*access trans<strong>is</strong>torMNAL BLB WL QB 0 nmos L=22n W=44nMNAR BL WL Q 0 nmos L=22n W=44nVVDD! VDD!0 DC=VDDVWL WL 0 DC=VDDVNOISEL QBN QB DC=VNOISEVNOISER Q QN DC=VNOISE*logic 1 <strong>is</strong> stored in the cell initially.IC V(Q)=VDD.IC V(QB)=0*writing logic 0 in cell.IC V(BL)=VDD.IC V(BLB)=VDD.TRAN 0.1n 30n.PR<strong>IN</strong>T TRAN V(QB) V(Q) V(BLB) V(BL).ENDFigure 4.9Read operation netl<strong>is</strong>tThe V DD supply was set to 0.95 V. The <strong>HSPICE</strong> simulator will give error if thevoltage <strong>is</strong> less than 0.25 V. It <strong>is</strong> happen because, the supply voltage need to be higherthan threshold voltage. Set the input for V(Q)= V DD and V(QB)=0 to store the logic “1”in the cell initially. Write the logic “0” in the cell by set the V(BL)= V DD and V(BLB)=V DD .


33Voltage VNOISE <strong>is</strong> used to control the cell flipping of the output. It can be setinto any required number and did some investigation, VNOISE= 0.66 volt <strong>is</strong> the valuefor th<strong>is</strong> simulation project. Broken up the cell inside the 6T <strong>SRAM</strong> into the two parts.Each part acts as inverter. Length of trans<strong>is</strong>tor <strong>is</strong> set as Figure 3.2.Table 4.2 Trans<strong>is</strong>tor sizingLength(L) Weight(W)Pull-up 33n 33nPull-down 22n 88nPass gate 22n 44n


34Figure 4.10 Simulation in read operationA. SNM in read operation B. Simulation in the right inverter.C. Simulation in the left inverterDuring the read operation, when QB reaches the threshold voltage of theNMOS, M3, the voltage at node Q starts to fall and the regenerative action of the crosscoupledinverter will force the flipping on the bit in the cell. To prevent the readoperation failure the values of M5 should be strong enough to make sure the stability ofthe operation can be achieved. The SNM value of the write operation <strong>is</strong> 0.1016V. Thetotal time to complete th<strong>is</strong> operation <strong>is</strong> 0.22s


35Figure 4.11<strong>HSPICE</strong> simulationSince, Figure 4.11shows the total MOSFET used in read simulation <strong>is</strong> six, itmeans there <strong>is</strong> no m<strong>is</strong>sing trans<strong>is</strong>tor in th<strong>is</strong> simulation. The <strong>HSPICE</strong> simulationcalculates it correct and no error occur. The transient time <strong>is</strong> 0.08 second and the circuittook 0.22 seconds to complete the simulation.


364.5 Write Operation SimulationDuring a write operation, V DD <strong>is</strong> applied to the wordline and the value to bewritten into the memory cell <strong>is</strong> driven onto the bitlines. Thus, Figure 3.4 illustrates howto extract the write static no<strong>is</strong>e margin (SNM). Again, feedback from the cross-coupledinverters <strong>is</strong> broken and the voltage of the inverter <strong>is</strong> measured. Note however that in th<strong>is</strong>case, the voltages of the two halves of the <strong>SRAM</strong> are no longer the same (since one thebitlines <strong>is</strong> driven to 0V, and the other to V DD ). These voltages are used to create abutterfly plot, and write SNM <strong>is</strong> the side length of the largest that can fit inside of thebutterfly plot.Figure 4.12Write operation modeling


37*6Trans<strong>is</strong>tor <strong>SRAM</strong>.TEMP 25.0000.lib "32n.txt" cmos_models.GLOBAL VDD!.PARAM VDD = 0.95.PARAM VNOISE = 0.66.PARAM BITCAP = 1e-12.OPTION POSTCBL BLB 0 BITCAPCBLB BL 0 BITCAP*one inverterMPL Q QBN VDD! VDD! pmos L=32n W=32nMNL Q QBN 0 0 nmos L=56n W=32n*one inverterMPR QB QN VDD! VDD! pmos L=32n W=32nMNR QB QN 0 0 nmos L=56n W=32n*access trans<strong>is</strong>torMNAL BLB WL QB 0 nmos L=38n W=37nMNAR BL WL Q 0 nmos L=38n W=37nVVDD! VDD!0 DC=VDDVWL WL 0 DC=VDDVNOISEL QBN QB DC=VNOISEVNOISER Q QN DC=VNOISE*logic 1 <strong>is</strong> stored in the cell initially.IC V(Q)=VDD.IC V(QB)=0*writing logic 0 in cell.IC V(BL)=0.IC V(BLB)=VDD.TRAN 0.1n 30n UIC.PR<strong>IN</strong>T TRAN V(QB) V(Q) V(BLB) V(BL).ENDFigure 4.13 Write Operation netl<strong>is</strong>t


38Same as read operation, the V DD supply in write operation was set to 0.95 V.The <strong>HSPICE</strong> simulator will give error if supply voltage <strong>is</strong> less than threshold voltage.Set the input for V(Q)= V DD and V(QB)=0 to store the logic “1” in the cell initially.Supply the logic “0” to the bitline voltage by set the V(BL)= 0. Then give the value “1”to the beltline bar, V(BLB)= V DD . The others values are same with the read operation.Sizing of each trans<strong>is</strong>tor are set as table 4.1.


39Figure 4.14Simulation in write operationA. SNM in the write operation B. Simulation in the right inverter.C. Simulation in the left inverterDuring the write operation, write Q=0 while Q= V DD. When the voltage at nodeQ reaches a voltage so that the PMOS, M2, gets on, the voltage at note QB starts to r<strong>is</strong>eand the regenerative action of the cross-coupled inverter will force the write Q=0. Toprevent the write operation failure the values of M6 should be strong enough to makesure the stability of the operation can be achieved. The SNM value of the writeoperation <strong>is</strong> 0.051792V. The total time to complete th<strong>is</strong> operation <strong>is</strong> 0.09s


40Figure 4.15 <strong>HSPICE</strong> simulationSame as read operation, since, Figure 4.15shows the total MOSFET used in readsimulation <strong>is</strong> six; it means there <strong>is</strong> no m<strong>is</strong>sing trans<strong>is</strong>tor in th<strong>is</strong> simulation. The <strong>HSPICE</strong>simulation calculates it correct and no error occur. The transient time <strong>is</strong> 0.05 second andthe circuit took 0.09 seconds to complete the simulation.


CHAPTER 5CONCLUSIONS AND FUTURE WORK5.1 ConclusionsAs a conclusion, th<strong>is</strong> PTM model which <strong>is</strong> using <strong>HSPICE</strong> software andproduced the output in CosmosScope <strong>is</strong> more efficient compare to typical Spice. Thecircuit performance of <strong>SRAM</strong> will be obtained through <strong>HSPICE</strong> software simulationusing PTM. The performance of each devices and circuit will be analysed based on thewaveform in generated from CosmosScope such as a Static No<strong>is</strong>e Margin (SNM). Staticno<strong>is</strong>e margin <strong>is</strong> the DC d<strong>is</strong>turbance present in logic gates. It <strong>is</strong> the most importantparameters of an <strong>SRAM</strong> cell. Can be determines by nesting the largest possible squarein the 2 voltage transfer curve of the CMOS inverters. The SNM defines as the sidelengthof the square, given in volts. The SNM are determined to compared the whichoperation <strong>is</strong> better. It <strong>is</strong> importance to use the exact trans<strong>is</strong>tor size in order to make surethat the cell working and running with the maximum performance. The predictionmodel <strong>is</strong> almost same, but not accurate due to the problem of finding the exact values oftrans<strong>is</strong>tor used.


42The SNM values of the read operation were higher than write operation. Theread static-no<strong>is</strong>e-margin (SNM) deteriorates with decrease in supply voltage (V DD ) andincreases with the trans<strong>is</strong>tor m<strong>is</strong>match. <strong>Th<strong>is</strong></strong> m<strong>is</strong>match happens due to variations inphysical quantities of identically designed devices i.e., their threshold voltages, bodyfactor and current factor. Though SNM decreases at low V DD the overall <strong>SRAM</strong> delayincreases and moreover the read operation at low V DD leads to storage data destructionin <strong>SRAM</strong> cells. The main operations of the <strong>SRAM</strong> cell are the write, read and hold. Thestatic no<strong>is</strong>e margin <strong>is</strong> certainly more important at hold and read operations. Specificallyin read operation when the wordline <strong>is</strong> „1‟ and the bitlines are precharged to “1”. Theinternal node of <strong>SRAM</strong> which stores “0” will be pulled up through the access trans<strong>is</strong>toracross the access trans<strong>is</strong>tor and the driver trans<strong>is</strong>tor. <strong>Th<strong>is</strong></strong> increase in voltage severelydegrades the SNM during read operation. The SNM deteriorates with the decrease insupply voltage, at low V DD the read and write operations cannot perform properly. Thecomplete time for write operation <strong>is</strong> greater than the read operation because during readoperation, the cell need to wait the input from the outside to start operate, but in thewrite operation the input <strong>is</strong> already store in the cell.


435.2 Future workRegarding of the limited scope of th<strong>is</strong> project, it <strong>is</strong> possible to chase allrequirement to a final better conclusion. To improve the project, the followingsuggestion should be carried out.a. The used of voltage VNOISE <strong>is</strong> to control and check the output cell flipping.In order to get the exact values of VNOISE, graphical technique can be used.The polarity of the no<strong>is</strong>e voltage sources at the input of each inverter. Itdepends on the logic values of the bit stored in the cell.b. The cell ration (ratio of the widths of pull-down NMOS and accesstrans<strong>is</strong>tor) for read and pull-up ratio (ratio of the width of pull-up PMOS andaccess trans<strong>is</strong>tor) for write can be determine first in order the get the exactvalue of trans<strong>is</strong>tors by using hand calculation.


44REFERENCES[1] http://www.ee.vt.edu/~ha/cadtools/hsp ice/hspice.html,<strong>HSPICE</strong> andCosmosScope tutorial[2] <strong>HSPICE</strong> RF Tutorial, Version X, 2005[3] L. Chang et al, “Stable <strong>SRAM</strong> Cell Design for the 32nm Node and Beyond,”Symp.VLSI Tech, Dig, pp. 292-293, Jun, 2005.[4] Neil H. Weste, David Harr<strong>is</strong>,"CMOS VLSI Design," 3rd edition, Chapter 11,Add<strong>is</strong>on Wesley 2005[5] K. Takeda et al, “A Read-Static-No<strong>is</strong>e-Margin-Free <strong>SRAM</strong> Cell for Low-Vdd andHigh-Speed Application,” IEEE JSSC, pp, 113-121, Jan 2006.[6]E. Seevinck et al “Static-No<strong>is</strong>e Margin Analys<strong>is</strong> of MOS <strong>SRAM</strong> Cells, “ IEEEJSSC, pp 748-754, Oct 1987.[7]Mo Maggie Zhang, “Performance Compar<strong>is</strong>on of <strong>SRAM</strong> Cells Implemented in 6,7,and 8-Trans<strong>is</strong>tor Cell Topologies”, IEEE, 2000[8] W.Zhao and Y.Cao, “New Generation of Predictive Technology Model for Sub-45nm Early Design Exploration (Journal), IEEE Transaction on Electron Devices,Nov. 2006- 11: Vol.50[9]http://en.wikipedia.org/wifi/<strong>SRAM</strong>[10] Yasuhiro Marita, HidehiroFujiwira, Hiroki Noguchi, Yusuke Iguchi, Koji Nii,Hirochi Kawaguchi, Masahiko Yoshimoto. “Area compar<strong>is</strong>on between 6T and 8T<strong>SRAM</strong> Cells in Dual-Vdd Scheme and DVS Scheme”, Trans. Fundamentaos,Vol.E90-A, No12 December 2007[11] S. R. Nassif, “Modeling and analys<strong>is</strong> of manufacturing variations,” in Proceedingsof the IEEEConference on Custom Integrated Circuits, pp. 223–228, 2001.


45[12] M. Orshansky, S. Nassif, and D. Boning, Design for manufacturability andstat<strong>is</strong>tical design. Springer Publications, P.O.Box 17, 3300 AA Dordrecht, TheNetherlands, 2007.[13]. S. Nassif, “Delay variability: sources, impacts and trends,” in Proceedings of theIEEE International Solid-State Circuits Conference, pp. 368– 369, 2000.[14] E. Grossar, M. Stucchi and K. Maex, “Read Stability and Write-Ability Analys<strong>is</strong> of<strong>SRAM</strong> Cells for Nanometer Technologies”, IEEE Journal of Solid-State Circuits,41(11) (2006), pp. 2577-2588.[15] S. Verhaegen, S. Cosemans, M. Dusa, P. Marchal, A. Nackaerts, G. VandenbergheandW. Dehaene, “Litho Variations and Their Impact on the Electrical Yield of a32nm Node 6T <strong>SRAM</strong> Cell”, Proc. SPIE Design for ManufacturabilitythroughDesign-Process Integration II, 6925 (2008), pp. 69250R-1–69250R-12.[16] J. Wang and A. K. Wong, “Effects of Grid-Placed Contacts on CircuitPerformance”, Proc. SPIE Cost and Performancein Integrated Circuit Creation,5043 (2003), pp. 134-141.[17]L. Chang et al., “Stable <strong>SRAM</strong> Cell Design for the 32nm Node and Beyond,”Symp. VLSI Tech. Dig., pp. 292-293, Jun., 2005.[18] K. Takeda et al., “A Read-Static-No<strong>is</strong>e-Margin-Free <strong>SRAM</strong> Cell for Low-Vdd andHigh-Speed Applications,” IEEE JSSC, pp. 113-121, Jan., 2006.[18] E. Seevinck et al., “Static-No<strong>is</strong>e Margin Analys<strong>is</strong> of MOS <strong>SRAM</strong> Cells,” IEEEJSSC, pp.748-754, Oct.1987.[19] J. Wang, A. K. Wong and E. Y. Lama, “Standard Cell Design with Regularly-Placed Contacts and Gates”, Proc.SPIE Design and Process Integration forMicroelectronic Manufacturing, 5379 (2005), pp. 56-66.[20] A. Bhavnagarwala, et al., “A Transregional CMOS <strong>SRAM</strong> with Single, LogicVDD and Dynamic Power Rails, Symp.on VLSI Circuits, 2004.[21] H. Qin, et al., “<strong>SRAM</strong> Leakage Supressiong by Minimizing Standby SupplyVoltage,” ISQED, 2004.[22] K. Takeuchi, R. Koh, and T. Mogami, "A Study of the Threshold VoltageVariation for Ultra-Small Bulk andSOI CMOS," IEEE Transactions on ElectronDevices, Vol. 48, No. 9, September 2001


46APPENDIX32 PTM Model* PTM 32nm Metal Gate / High-K.lib cmos_models.model nmos nmos level = 54+version = 4.0 binunit = 1 paramchk= 1 mobmod = 0+capmod = 2 igcmod = 1 igbmod = 1 geomod = 1+diomod = 1 rdsmod = 0 rbodymod= 1 rgatemod= 1+permod = 1 acnqsmod= 0 trnqsmod= 0+tnom = 27 toxe = 7.5e-010 toxp = 5e-010 toxm = 7.5e-010+dtox = 2.5e-010 epsrox = 3.9 wint = 5e-009 lint = 1.95e-009+ll = 0 wl = 0 lln = 1 wln = 1+lw = 0 ww = 0 lwn = 1 wwn = 1+lwl = 0 wwl = 0 xpart = 0 toxref = 7.5e-010 xl= -14e-9+dlcig = 1.95e-009+vth0 = 0.3558 k1 = 0.2 k2 = 0 k3 = 0+k3b = 0 w0 = 2.5e-006 dvt0 = 1 dvt1 = 2+dvt2 = 0 dvt0w = 0 dvt1w = 0 dvt2w = 0+dsub = 0.078 minv = 0.05 voffl = 0 dvtp0 = 1e-011+dvtp1 = 0.1 lpe0 = 0 lpeb = 0 xj = 1e-008+ngate = 1e+023 ndep = 8.7e+018 nsd = 2e+020 phin = 0+cdsc = 0 cdscb = 0 cdscd = 0 cit = 0+voff = -0.13 nfactor = 2.1 eta0 = 0.005 etab = 0+vfb = -1.058 u0 = 0.0238 ua = -5e-010 ub = 1.7e-018+uc = 0 vsat = 182130 a0 = 1 ags = 0+a1 = 0 a2 = 1 b0 = 0 b1 = 0+keta = 0.04 dwg = 0 dwb = 0 pclm = 0.06+pdiblc1 = 0.001 pdiblc2 = 0.001 pdiblcb = -0.005 drout = 0.5+pvag = 1e-020 delta = 0.01 pscbe1 = 2.0e+009 pscbe2 = 1e-007+fprout = 0.2 pdits = 0.01 pditsd = 0.23 pditsl = 2300000+rsh = 5 rdsw = 80 rsw = 40 rdw = 40+rdswmin = 0 rdwmin = 0 rswmin = 0 prwg = 0+prwb = 0 wr = 1 alpha0 = 0.074 alpha1 = 0.005+beta0 = 30 agidl = 0.0002 bgidl = 2.1e+009 cgidl = 0.0002


47+egidl = 0.8 aigbacc = 0.012 bigbacc = 0.0028 cigbacc = 0.002+nigbacc = 1 aigbinv = 0.014 bigbinv = 0.004 cigbinv = 0.004+eigbinv = 1.1 nigbinv = 3 aigc = 0.020014 bigc = 0.0027432+cigc = 0.002 aigsd = 0.020014 bigsd = 0.0027432 cigsd = 0.002+nigc = 1 poxedge = 1 pigcd = 1 ntox = 1+xrcrg1 = 12 xrcrg2 = 5+cgso = 9e-011 cgdo = 9e-011 cgbo = 0 cgdl = 7.5e-013+cgsl = 7.5e-013 clc = 1e-007 cle = 0.6 cf = 1.1e-010+ckappas = 0.6 ckappad = 0.6 vfbcv = -1 acde = 1+moin = 15 noff = 1 voffcv = 0+kt1 = -0.154 kt1l = 0 kt2 = 0.022 ute = -1.1+ua1 = 1e-009 ub1 = -1e-018 uc1 = -5.6e-011 prt = 0+at = 33000+fnoimod = 1 tnoimod = 0 noia = 6.25e+041 noib =3.125e+026+noic = 8.75e+009 em = 41000000 af = 1 ef = 1+kf = 0 tnoia = 1.5 tnoib = 3.5 ntnoi = 1+jss = 1.2e-006 jsws = 2.4e-013 jswgs = 2.4e-013 njs = 1+ijthsfwd= 0.1 ijthsrev= 0.1 bvs = 10 xjbvs = 1+jsd = 1.2e-006 jswd = 2.4e-013 jswgd = 2.4e-013 xjbvd = 1+pbs = 1 cjs = 0.0018 mjs = 0.5 pbsws = 1+cjsws = 1.2e-010 mjsws = 0.33 cjswgs = 2.1e-010 cjd = 0.0018+cjswd = 1.2e-010 mjswd = 0.33 pbswgd = 1 cjswgd = 2.1e-010+mjswgd = 0.33 tpb = 0 tcj = 0 tpbsw = 0+tcjsw = 0 tpbswg = 0 tcjswg = 0 xt<strong>is</strong> = 3+dmcg = 0 dmci = 0 dmdg = 0 dmcgt = 0+dwj = 0 xgw = 0 xgl = 0+rshg = 0.4 gbmin = 1e-010 rbpb = 5 rbpd = 15+rbps = 15 rbdb = 15 rbsb = 15 ngcon = 1.model pmos pmos level = 54+version = 4.0 binunit = 1 paramchk= 1 mobmod = 0+capmod = 2 igcmod = 1 igbmod = 1 geomod = 1+diomod = 1 rdsmod = 0 rbodymod= 1 rgatemod= 1+permod = 1 acnqsmod= 0 trnqsmod= 0+tnom = 27 toxe = 7.7e-010 toxp = 5e-010 toxm = 7.7e-010+dtox = 2.7e-010 epsrox = 3.9 wint = 5e-009 lint = 1.95e-009+ll = 0 wl = 0 lln = 1 wln = 1


48+lw = 0 ww = 0 lwn = 1 wwn = 1+lwl = 0 wwl = 0 xpart = 0 toxref = 7.7e-010 xl= -14e-9+dlcig = 1.95e-009+vth0 = -0.24123 k1 = 0.2 k2 = -0.01 k3 = 0+k3b = 0 w0 = 2.5e-006 dvt0 = 1 dvt1 = 2+dvt2 = -0.032 dvt0w = 0 dvt1w = 0 dvt2w = 0+dsub = 0.1 minv = 0.05 voffl = 0 dvtp0 = 1e-011+dvtp1 = 0.05 lpe0 = 0 lpeb = 0 xj = 1.008e-008+ngate = 1e+023 ndep = 3.5e+018 nsd = 2e+020 phin = 0+cdsc = 0 cdscb = 0 cdscd = 0 cit = 0+voff = -0.13 nfactor = 2.1 eta0 = 0.0042 etab = 0+vfb = -1.058 u0 = 0.00306 ua = -5e-010 ub = 1.6e-018+uc = 0 vsat = 78000 a0 = 1 ags = 1e-020+a1 = 0 a2 = 1 b0 = 0 b1 = 0+keta = -0.047 dwg = 0 dwb = 0 pclm = 0.1+pdiblc1 = 0.001 pdiblc2 = 0.001 pdiblcb = 3.4e-008 drout = 0.6+pvag = 1e-020 delta = 0.01 pscbe1 = 2e+009 pscbe2 = 9.58e-007+fprout = 0.2 pdits = 0.08 pditsd = 0.23 pditsl = 2300000+rsh = 5 rdsw = 80 rsw = 40 rdw = 40+rdswmin = 0 rdwmin = 0 rswmin = 0 prwg = 0+prwb = 0 wr = 1 alpha0 = 0.074 alpha1 = 0.005+beta0 = 30 agidl = 0.0002 bgidl = 2.1e+009 cgidl = 0.0002+egidl = 0.8 aigbacc = 0.012 bigbacc = 0.0028 cigbacc = 0.002+nigbacc = 1 aigbinv = 0.014 bigbinv = 0.004 cigbinv = 0.004+eigbinv = 1.1 nigbinv = 3 aigc = 0.011942 bigc = 0.0012217+cigc = 0.0008 aigsd = 0.011942 bigsd = 0.0012217 cigsd = 0.0008+nigc = 1 poxedge = 1 pigcd = 1 ntox = 1+xrcrg1 = 12 xrcrg2 = 5+cgso = 9e-011 cgdo = 9e-011 cgbo = 0 cgdl = 3e-011+cgsl = 3e-011 clc = 1e-007 cle = 0.6 cf = 1.1e-010+ckappas = 0.6 ckappad = 0.6 vfbcv = -1 acde = 1+moin = 15 noff = 1 voffcv = 0+kt1 = -0.14 kt1l = 0 kt2 = 0.022 ute = -1.1+ua1 = 1e-009 ub1 = -1e-018 uc1 = -5.6e-011 prt = 0+at = 33000+fnoimod = 1 tnoimod = 0 noia = 6.25e+041 noib =3.125e+026+noic = 8.75e+009 em = 41000000 af = 1 ef = 1+kf = 0 tnoia = 1.5 tnoib = 3.5 ntnoi = 1


49+jss = 2e-007 jsws = 4e-013 jswgs = 4e-013 njs = 1+ijthsfwd= 0.1 ijthsrev= 0.1 bvs = 10 xjbvs = 1+jsd = 2e-007 jswd = 4e-013 jswgd = 4e-013 xjbvd = 1+pbs = 1 cjs = 0.0015 mjs = 0.5 pbsws = 1+cjsws = 9.4e-011 mjsws = 0.33 cjswgs = 2e-010 cjd = 0.0015+cjswd = 9.4e-011 mjswd = 0.33 pbswgd = 1 cjswgd = 2e-010+mjswgd = 0.33 tpb = 0 tcj = 0 tpbsw = 0+tcjsw = 0 tpbswg = 0 tcjswg = 0 xt<strong>is</strong> = 3+dmcg = 0 dmdg = 0 dmcgt = 0 xgw = 0+xgl = 0+rshg = 0.1 gbmin = 1e-012 rbpb = 50 rbpd = 50+rbps = 50 rbdb = 50 rbsb = 50 ngcon = 1

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!