13.07.2015 Views

Compte-rendu de TP

Compte-rendu de TP

Compte-rendu de TP

SHOW MORE
SHOW LESS
  • No tags were found...

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Travaux PratiquesTravaux pratiquesLithographie électroniquePrise en mainElisabeth NienaltowskaAlexis BrenesAdrien VincentM2 Nanosciencesparcours Nanodispositifs et nanotechnologiesUniversité Paris-Sud XI – ÉNS <strong>de</strong> Cachan8 octobre 2012


Table <strong>de</strong>s matièresI Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1I.1 Objectifs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1I.2 Principe <strong>de</strong> la lithographie électronique . . . . . . . . . . . . . . . . . . . . . . . . 1I.3 Remarques préliminaires . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2II Présentation du matériel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3II.1 Généralités . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3II.2 Résine utilisée . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4III Protocole expérimental . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5III.1 Spin-coating <strong>de</strong> la résine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5III.2 Réglage <strong>de</strong> la mise au point et optimisation du step size . . . . . . . . . . . . . . . 5III.3 Mesure du courant pour l’insolation <strong>de</strong> la résine et intérêt <strong>de</strong>s tests <strong>de</strong> dose . . . . 7III.4 Type <strong>de</strong> substrat à utiliser . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8III.5 Calibration du champ d’écriture . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8III.6 Création d’un système <strong>de</strong> coordonnées . . . . . . . . . . . . . . . . . . . . . . . . . 9III.7 Développement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10IV Résultats . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10IV.1 Généralités sur les observations effectuées . . . . . . . . . . . . . . . . . . . . . . . 10IV.2 Différences liées à la taille . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11IV.3 Différences liées à la forme . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13IV.4 Raccords <strong>de</strong>s champs d’écriture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13V Conclusion(s) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14IIntroductionI.1 ObjectifsL’objectif <strong>de</strong> cette séance est <strong>de</strong> manipuler un appareil <strong>de</strong> lithographie électronique, <strong>de</strong> réaliser <strong>de</strong>smotifs sur une résine posée sur un substrat <strong>de</strong> silicium et d’observer les caractéristiques et paramètresdéterminant la qualité <strong>de</strong> la lithographie (qualité d’insolation <strong>de</strong>s motifs, définition <strong>de</strong>s contours. . . )I.2 Principe <strong>de</strong> la lithographie électroniqueLa lithographie électronique consiste à insoler certains motifs sur un échantillon sensible aux électronsayant une énergie <strong>de</strong> 20 à 100 keV, réglable via la tension d’accélération V acc 1 . Avec <strong>de</strong>s notationsusuelles (E étant l’énergie d’un électron), nous obtenons l’expression <strong>de</strong> la longueur d’on<strong>de</strong> λ associéeaux électrons avec le petit calcul suivant :E = p22m = 4π2 22mλ 2Or nous avons aussi, en négligeant l’énergie thermique <strong>de</strong> l’électron :E = eV acc1. Il y a typiquement plusieurs générations d’appareils à l’IEF, les plus anciens fonctionnant autour <strong>de</strong> 20 à 30 kV tandisque les plus récents travaillent davantage aux alentours <strong>de</strong> 80-100 kV.1


D’où l’expression <strong>de</strong> la longueur d’on<strong>de</strong> λ associée à l’électron 2 :λ =h√ 2emVaccOn se place donc à <strong>de</strong>s longueurs d’on<strong>de</strong>s comprises entre 4 et 9 pm environ, ce qui correspond dansle domaine électromagnétique à <strong>de</strong>s rayons X.L’intérêt d’une telle réduction <strong>de</strong> la longueur d’on<strong>de</strong> utilisée par rapport aux longueurs d’on<strong>de</strong>s électromagnétiquesutilisées en lithographie optique (typiquement 404,7 nm pour les lithographies utilisant<strong>de</strong>s lampes à vapeur <strong>de</strong> mercure) est <strong>de</strong> limiter les effets <strong>de</strong> diffraction lors <strong>de</strong> l’insolation.En effet, la résolution <strong>de</strong> la lithographie est proportionnelle au rapport :λONoù λ est la longueur d’on<strong>de</strong> utilisée dans le procédé et ON l’ouverture numérique du faisceau (ici ON =sin (i 0 ) dans le vi<strong>de</strong> où i 0 est l’angle d’ouverture). Or il n’est pas vraiment possible <strong>de</strong> jouer sur la valeur<strong>de</strong> l’ouverture numérique : il ne nous reste donc plus que λ comme paramètre pour améliorer les choses.I.3 Remarques préliminairesI.3.a Lorsque l’observation est <strong>de</strong>structive. . .En lithographie électronique, le dispositif d’observation est basé sur le même principe que le dispositifd’insolation. Ainsi, la métho<strong>de</strong> d’observation est perturbative. En observant l’échantillon, on l’insole, etpar conséquent il est indispensable <strong>de</strong> disposer d’un système informatisé <strong>de</strong> coordonnées permettantd’atteindre <strong>de</strong>s endroits déterminés <strong>de</strong> l’échantillon sans avoir à l’observer.I.3.bDu caractère user-<strong>de</strong>pendant <strong>de</strong> la lithographie électroniqueComme l’instrument d’observation est le même que l’instrument <strong>de</strong> manipulation lors <strong>de</strong> la lithographie,c’est donc la qualité <strong>de</strong> la mise au point faite par l’utilisateur qui détermine la qualité <strong>de</strong> lalithographie. Ainsi, contrairement à la lithographie optique où les manipulations sont succinctes et primaires,il s’agit-là d’un procédé dont la qualité dépend très largement <strong>de</strong> l’expérimentateur et pour lequella reproductibilité d’un échantillon à l’autre est donc plus difficile à garantir.I.3.c C’est pas bientôt fini ? !Il s’agit d’un procédé extrêmement lent, car les motifs sont insolés point par point tandis qu’enlithographie optique, l’ensemble du wafer est insolé simultanément (métho<strong>de</strong> <strong>de</strong> fabrication collective),ce qui permet d’obtenir <strong>de</strong>s temps <strong>de</strong> l’ordre <strong>de</strong> la minute pour insoler un wafer entier. Ici, le tempsnécessaire pour la lithographie dépend <strong>de</strong> la surface (au sens « aire ») à insoler.Prenons l’exemple décrit à la Figure 1 page suivante , à savoir un carré <strong>de</strong> 1 cm <strong>de</strong> côté, que l’onsouhaite entièrement insoler avec <strong>de</strong>s spots <strong>de</strong> 10 nm <strong>de</strong> diamètre. Avec les notations <strong>de</strong> la figure citéeet en supposant que l’ensemble tient une ca<strong>de</strong>nce <strong>de</strong> N p = 10 6 pts/s, le temps nécessaire ∆t pour insolerest alors donné par :∆t = L x⌀ s× L y⌀ s× 1 N p= 1 × 10 6 sIl faut plus <strong>de</strong> 11 jours pour insoler notre petit carré ! Autant dire que <strong>de</strong> tels ordres <strong>de</strong> gran<strong>de</strong>ur pour∆t ne sont absolument pas envisageables pour une production industrielle (où les wafers font davantage30 cm <strong>de</strong> diamètre que 1 cm. . . ).Ainsi, l’insolation d’un wafer entier, même <strong>de</strong> quelques centimètres <strong>de</strong> diamètre, prend plusieursdizaines d’heures, voire plusieurs jours, ce qui en fait un procédé inutilisable à l’échelle <strong>de</strong> l’industrie<strong>de</strong> masse, et le réserve à l’utilisation en laboratoire ou pour la création <strong>de</strong> masques qui servent ensuite2. En toute rigueur, il faudrait prendre en compte les effets relativistes, notamment pour les plus fortes valeurs <strong>de</strong>V accpuisque dans ce cas les électrons atteignent alors <strong>de</strong>s vitesse <strong>de</strong> presque 2 × 10 8 m.s −1 . Toutefois, même sans en tenircompte, l’idée est la même : plus les électrons sont énergétiques, plus la longueur d’on<strong>de</strong> qui leur est associée est faible.2


Faisceaud'électronsSpot <strong>de</strong> ⌀ s = 10 nmLy = 1 cmFigure 1 – Configuration simple d’insolationd’une surface <strong>de</strong> 1 cm 2 avec un spot <strong>de</strong>⌀ s = 10 nm.Lx = 1 cmen lithographie optique dans l’industrie. Et même dans ces cas-là, on cherche à limiter au maximuml’usage <strong>de</strong> la lithographie électronique (en mo<strong>de</strong> e-beam) aux plus petits motifs ne pouvant être réalisésautrement, le reste étant obtenu par <strong>de</strong>s métho<strong>de</strong>s plus classiques/rapi<strong>de</strong>s.I.3.dPremières conclusionsNous pouvons donc déjà mettre en avant <strong>de</strong>ux avantages majeurs <strong>de</strong> la lithographie par faisceaud’électrons :– sa très bonne résolution 3 , <strong>de</strong> l’ordre <strong>de</strong> quelques nanomètres voire dizaines <strong>de</strong> nanomètres ;– sa versatilité : il n’y a plus besoin <strong>de</strong> fabriquer un masque pour chaque type <strong>de</strong> motifs souhaités,il suffit <strong>de</strong> les <strong>de</strong>ssiner sur ordinateur.Cependant, comme nous avons pu le voir, ces <strong>de</strong>ux grands avantages sont contrebalancés par lesdurées nécessaires pour insoler les motifs, qui sont extrêmement longues 4 et ren<strong>de</strong>nt cette techniqueintéressante uniquement si l’on ne peut pas utiliser d’autres métho<strong>de</strong>s, telles que les métho<strong>de</strong>s optiques.Une façon <strong>de</strong> conjuguer les avantages <strong>de</strong>s différentes métho<strong>de</strong>s est <strong>de</strong> réserver la lithographie parfaisceau d’électrons aux motifs <strong>de</strong> plus faibles dimensions et d’utiliser les métho<strong>de</strong>s optiques pour lereste.IIPrésentation du matérielII.1GénéralitésPour cette séance <strong>de</strong> travaux pratiques, nous disposons d’un appareil <strong>de</strong> lithographie électronique,constitué dans ses gran<strong>de</strong>s lignes d’un microscope électronique et d’un blanker.Le blanker est dispositif permettant <strong>de</strong> laisser passer ou <strong>de</strong> bloquer le faisceau d’électrons et dontle principe est expliqué sur la Figure 3 page suivante. Ce peut être un élément limitant la vitessed’insolation. En effet, il s’agit <strong>de</strong> commuter <strong>de</strong>s tensions typiquement autour <strong>de</strong> 300 V en dans durées<strong>de</strong> l’ordre <strong>de</strong> 1 µs (au laboratoire, cela va <strong>de</strong> 0,3 µs à 3 µs selon l’appareil). On constate au passage quel’ordre <strong>de</strong> gran<strong>de</strong>ur N p = 10 6 pts/s utilisé auparavant est donc bien plausible. Par ailleurs, le blankerpermet <strong>de</strong> protéger l’échantillon contre l’émission d’électrons lorsque la lithographie est arrêtée.Le tout est bien entendu piloté par ordinateur, à l’ai<strong>de</strong> d’un progiciel ad-hoc..3. Notamment vis-à-vis <strong>de</strong>s techniques optiques.4. Une nouvelle fois par rapport aux techniques optiques <strong>de</strong> fabrication collective.3


Faisceau non dévié (tension nulle)Figure 2 – Mise en place du waferenrésiné sur la platine <strong>de</strong> l’insolateurélectronique.Pour le reste, on retrouve un microscope électronique à balayage assez classique, possédant notammentune chambre à vi<strong>de</strong>, dans laquelle les échantillons sont placés. Une caméra infrarouge permet <strong>de</strong>visualiser l’intérieur <strong>de</strong> la chambre lorsque le microscope est en fonctionnement. Une fois le vi<strong>de</strong> atteint,un canon à effet <strong>de</strong> champ permet d’obtenir un faisceau d’électrons présentant une zone d’émission particulièrementponctuelle. Ces mêmes électrons sont ensuite accélérés par une tension V acc , réglable surle logiciel <strong>de</strong> l’ordinateur entre 20 et 30 kV 5 .Faisceau d'électronsFaisceau dévié (tension appliquée)Figure 3 – Principe <strong>de</strong> base d’un blanker.Électro<strong>de</strong> <strong>de</strong>déviationPlaque servant à bloquerle faisceau déviéII.2II.2.aRésine utiliséePrécisions quant au mélangeNous avons recours à une résine PMMA A6, où le polymère utilisé est du PMMA (polyméthacrylate<strong>de</strong> méthyle) solvaté dans <strong>de</strong> l’anisole à 6%. Le PMMA est plus connu sous le nom <strong>de</strong> « Plexiglas ».5. L’appareil utilisé en <strong>TP</strong> est en effet relativement ancien, ce qui explique cette plage quelque peu faiblar<strong>de</strong> pour V acc.4


II.2.bDe l’intérêtd’une telle résineEn premier lieu, il se trouve que ce polymère a le bon goût <strong>de</strong> se décomposer en monomères sousl’action d’un faisceau d’électrons suffisamment énergétiques.De plus, il s’agit d’un matériau relativement bon marché car assez répandu (usages multiples duPMMA) et qui permet d’obtenir une bonne résolution en lithographie.Enfin, le PMMA présente la caractéristique d’être transparent et insensible aux on<strong>de</strong>s électromagnétiquesUV et au spectre visible, ce qui en fait un matériau assez stable et facile à stocker. Seul un faisceaud’électrons suffisamment énergétiques permet <strong>de</strong> le décomposer facilement en monomères. La solvatationdans l’anisole, permet quant à elle <strong>de</strong> réaliser un dépôt par centrifugation (spin-coating) et permet doncd’obtenir rapi<strong>de</strong>ment et facilement un dépôt d’épaisseur constante sur le wafer.IIIProtocole expérimentalIII.1Spin-coating <strong>de</strong> la résineLe wafer <strong>de</strong> silicium est fixé sur la centrifugeuse à l’ai<strong>de</strong> d’un scotch. Nous n’avions qu’un échantillonpartiel, ce qui explique la nécessité du scotch afin <strong>de</strong> permettre la tenue <strong>de</strong> l’échantillon lors <strong>de</strong> la rotation(usuellement, le wafer est maintenu par aspiration mais cela suppose qu’il recouvre totalement l’orifice adhoc,ce qui n’était pas notre cas). Après test <strong>de</strong> la rotation, la résine est pipetée sur le wafer <strong>de</strong> silicium,puis la rotation permet d’étaler la résine. Ensuite, le wafer est disposé sur une plaque préalablementchauffée à 175˚C pendant 3 minutes environ, afin <strong>de</strong> permettre au solvant <strong>de</strong> s’évaporer et au PMMA<strong>de</strong> se solidifier sur l’échantillon.(a) Le wafer (recyclé) utilisé lors du <strong>TP</strong>.(b) Scotch double-face déposé sur la tournettepour maintenir le wafer.Figure 4 – Détails concernant le wafer et sa manipulation.III.2Réglage <strong>de</strong> la mise au point et optimisation du step sizeEn lithographie par faisceau d’électrons, l’insolation <strong>de</strong> l’échantillon se fait point par point, avec uncertain pas. Ce pas est déterminé par la taille du faisceau, qui est elle-même dépendante <strong>de</strong> la qualité <strong>de</strong>la mise au point. Avec l’appareil <strong>de</strong> <strong>TP</strong> et une bonne mise au point, nous pouvons espérer réaliser <strong>de</strong>spoints d’un diamètre d’environ 10 nm. Si malheureusement, la mise au point est mauvaise, le faisceaud’électrons est mal focalisé et la taille <strong>de</strong>s points d’insolation s’en trouve agrandie.Afin <strong>de</strong> régler la mise au point, il est nécessaire <strong>de</strong> travailler sur une surface <strong>de</strong> l’échantillon surlaquelle nous n’imprimerons pas <strong>de</strong> motifs, étant donné que l’observation modifie la structure <strong>de</strong> larésine à l’endroit observé, par la focalisation d’un faisceau d’électrons à cet endroit. Dans le double but<strong>de</strong> savoir où l’on se trouve sur le wafer et <strong>de</strong> disposer d’un objet à observer pour la mise au point, il estfréquent <strong>de</strong> rayer sciemment le wafer loin <strong>de</strong> la zone d’intérêt.Par ailleurs, afin d’être certains d’effectuer la mise au point au niveau <strong>de</strong> la couche <strong>de</strong> résine, il estaussi possible <strong>de</strong> fabriquer un point <strong>de</strong> contamination (typiquement en laissant le faisceau au mêmeendroit pendant un temps suffisamment long) qui nous servira d’objet à observer. Cela nous permet enoutre <strong>de</strong> vérifier si le stigmatisme est correct (la trace laissée par le faisceau <strong>de</strong>vant être bien ron<strong>de</strong>).5


La distance <strong>de</strong> travail résulte quant à elle d’un compromis à trouver entre :– travailler à distance réduite, afin <strong>de</strong> limiter l’éclatement coulombien du faisceau d’électrons et ainsigagner en step size ;– travailler à distance importante, afin <strong>de</strong> gagner en profon<strong>de</strong>ur <strong>de</strong> champ, ce qui est tout aussiimportant car la résine doit idéalement être insolée uniformément sur toute son épaisseur.Ces <strong>de</strong>ux effets antagonistes sont résumés schématiquement sur la Figure 5.Faisceaud'électronsLes électrons du faisceauont tendance à se repousserDistance <strong>de</strong> travail WDZone d'intersection <strong>de</strong>strajectoires électroniques,définissant la profon<strong>de</strong>ur<strong>de</strong> champRésine <strong>de</strong>vant être insoléesur l'ensemble <strong>de</strong> sa hauteur(a) Les électrons composant le faisceaufocalisé ont tendance à se repoussermutuellement, en raison <strong>de</strong>s interactionscoulombiennes, faisant « éclater» le faisceau. Ceci est d’autantplus marqué que les électrons se côtoientsur une « longue » distance.(b) Il faut que le motif à insolerle soit suffisamment sur toutel’épaisseur <strong>de</strong> la résine, i.e.que la profon<strong>de</strong>ur <strong>de</strong> champsoit suffisamment importante.Cette <strong>de</strong>rnière est notammentdéfinie par la distance <strong>de</strong> travail.Figure 5 – Origine du compromis à trouver sur la distance <strong>de</strong> travail.Dans notre cas, afin d’avoir une bonne mise au point, nous <strong>de</strong>vons travailler à une hauteur <strong>de</strong> 6 mm(entre l’échantillon et la colonne). Cette valeur spécifique résulte <strong>de</strong> l’expérience <strong>de</strong> l’opérateur. À cettehauteur, nous réduisons le champ <strong>de</strong> vision par rapport aux observations faites en <strong>TP</strong> <strong>de</strong> MEB, auxalentours <strong>de</strong> 12 mm, et nous accroissons donc la résolution <strong>de</strong> la lithographie par diminution du step size.Le step size est ensuite déterminé en divisant la taille d’échantillon observée sur l’écran par le nombre<strong>de</strong> bits disponibles sur les convertisseurs numériques analogiques, ici 16 bits. Ces dispositifs sont chargés<strong>de</strong> transformer la comman<strong>de</strong> numérique transmise par l’ordinateur en un signal <strong>de</strong> analogique <strong>de</strong>stinéaux actionneurs.Il est possible <strong>de</strong> retrouver la précision <strong>de</strong> ces fameux convertisseurs <strong>de</strong> façon assez simple. Prenonspar exemple un champ <strong>de</strong> L = 100 µm <strong>de</strong> côté et <strong>de</strong>mandons un step size <strong>de</strong> 20 nm. L’ordinateurnous indique que la valeur réellement retenue est ⌀ step = 19, 2 nm et que cette dimension correspondà N step = 12 pixels. Un pixel correspond donc à ⌀ 1 pixel = ⌀ stepN step= 1, 6 nm. Et finalement, le nombreL<strong>de</strong> pixels N cote par côté du champ d’observation est donné par N cote = = 62500. La puissance⌀ 1 pixel<strong>de</strong> 2 immédiatement supérieure à N cote est 2 16 = 65536 donc il s’agit bien <strong>de</strong> convertisseurs numériquesanalogiques 16 bits 6 .6. Remarque : il s’agit <strong>de</strong> convertisseurs commençant à être un peu évolués et a priori pas très bon marché. . .6


III.3Mesure du courant pour l’insolation <strong>de</strong> la résine et intérêt <strong>de</strong>s tests <strong>de</strong>doseNous travaillons dans le cas présent avec une résine électrosensible, pour laquelle nous connaissonsla dose d’insolation nécessaire (en µC.cm −2 ) ou tout du moins avons une bonne estimation <strong>de</strong> cette<strong>de</strong>rnière 7 .Lithographie optique UV Lithographie électroniqueType <strong>de</strong> résine Sensible aux UV ElectrosensibleUnité <strong>de</strong> la dose mJ.cm −2 µC.cm −2Calcul du temps d’exposition t dwellUV = DoseP surfaciquet dwelle −= Dose×Stepsize2I courantDoses <strong>de</strong>s résines utilisées en <strong>TP</strong> D 1 = 40 mJ.cm −2 D 2 = 175 C.cm −2 à 20 keVParamètres mesurés P surfacique = 11, 2 mW.cm −2 I courant = 139, 6 pATemps d’exposition 3,7 s pour le wafer 125 ps/step(> 13 h wafer 2 pouces)La mesure du courant donne une valeur I courant = 139, 6 pA (avec un diaphragme <strong>de</strong> 20 µm et unetension V acc <strong>de</strong> 20 kV).Bien que nous ayons un ordre <strong>de</strong> gran<strong>de</strong>ur <strong>de</strong> la dose nécessaire pour insoler un échantillon, nouscherchons à déterminer en quoi la qualité <strong>de</strong> la lithographie dépend <strong>de</strong> la forme ou <strong>de</strong> la taille <strong>de</strong>sstructures. De plus, nous savons que la dose dépend également du type <strong>de</strong> substrat utilisé, notammentà cause <strong>de</strong>s électrons rétrodiffusés par le substrat, comme l’illustre la Figure 6 <strong>de</strong> la présente page (onparle d’effets <strong>de</strong> proximité).Figure 6 – Principe <strong>de</strong> la surinsolationdue aux effets <strong>de</strong> proximité.Ainsi, nous effectuerons au cours ce <strong>TP</strong> ce que l’on appelle un test <strong>de</strong> dose, qui consiste à insolerplusieurs fois <strong>de</strong>s motifs correspondant normalement à <strong>de</strong>s motifs qui seraient à réaliser par la suite pourle circuit que nous voudrions imprimer (ce qui ne sera pas effectué durant ce <strong>TP</strong>), le tout avec différentesdoses d’énergie déposée.Pour les besoins du <strong>TP</strong>, nous nous contenterons pour ce test <strong>de</strong> dose d’insoler <strong>de</strong>s ronds et triangles<strong>de</strong> différentes tailles avec différentes doses (i.e. différents temps d’insolation), afin <strong>de</strong> déterminer la dosela plus adaptée et <strong>de</strong> voir les défauts engendrés par un mauvais dosage.Afin <strong>de</strong> ne pas trop tâtonner quant à l’ordre <strong>de</strong> gran<strong>de</strong>ur <strong>de</strong> la dose d’énergie à apporter, nouspartirons d’une valeur connue pour fonctionner décemment D 2 = 175 µC.cm −2 (pour V acc = 20 kV ) 8 .Nous insolerons donc une vingtaine <strong>de</strong> fois chaque type <strong>de</strong> motifs, avec <strong>de</strong>s doses allant <strong>de</strong> 0, 1 × D 2 à2 × D 2 .7. Au moins pour espérer ne pas trop tâtonner lors d’un test <strong>de</strong> dose.8. Une nouvelle fois, cette <strong>de</strong>rnière valeur est tirée <strong>de</strong> l’expérience <strong>de</strong> l’opérateur7


III.4Type <strong>de</strong> substrat à utiliserIl est important pour <strong>de</strong> bons résultats <strong>de</strong> lithographie électronique que le substrat soit conducteur. Eneffet, dans le cas d’un substrat isolant, les électrons projetés par le canon à effet <strong>de</strong> champ s’accumulentau niveau du substrat, et réinsolent la résine par en <strong>de</strong>ssous, ce qui provoque donc une surinsolation <strong>de</strong>smotifs entraînant une mauvaise résolution.Par ailleurs, il ne faut pas non plus que les charges puissent s’accumuler dans le matériau, au point<strong>de</strong> défléchir voire repousser les nouvelles charges, ce qui nécessite une nouvelle fois l’usage d’un substratconducteur.Dans le cas présent, le substrat est en silicium, qui est un semi-conducteur couramment utilisé dansl’industrie électronique, à la conductivité suffisamment élevée.III.5Calibration du champ d’écritureUne autre source <strong>de</strong> défauts dans l’insolation vient d’une contrainte technologique. En effet, il setrouve que le champ d’écriture est rarement suffisamment grand pour ne pas nécessiter d’être déplacéau cours <strong>de</strong> l’insolation. Se pose alors le problème <strong>de</strong>s raccords <strong>de</strong> champ : il ne faut pas insoler <strong>de</strong>uxfois une portion <strong>de</strong> la résine, ni « oublier » certaines zones. C’est-à-dire que les différentes itérations duchamp d’écriture doivent être alignées avec une précision <strong>de</strong> l’ordre du step size, donc typiquement 10 à20 nm !Or, rien qu’avec un grandissement ×1000, nous travaillons avec un champ d’écriture faisant environ100 µm <strong>de</strong> côté. Lorsque l’on sait que la précision typique d’un moteur pas à pas est <strong>de</strong> l’ordre dumicromètre voire du dixième <strong>de</strong> micromètre, on mesure l’ampleur du défi. Cependant, nous allons voirque ce n’est pas la raison première <strong>de</strong>s problèmes évoqués.Il faut bien comprendre que même avec une platine extrêmement précise, le problème <strong>de</strong>meure entier :nous ne pourrons jamais être certains <strong>de</strong>s dimensions du champ d’écriture. Ne serait-ce qu’en raison <strong>de</strong>sfluctuations <strong>de</strong>s caractéristiques <strong>de</strong> l’optique électronique avec les conditions extérieure. Or rien qu’avec<strong>de</strong>s variations d’aire du champ d’écriture <strong>de</strong> l’ordre <strong>de</strong> 0,01 %, <strong>de</strong>s problèmes importants <strong>de</strong> raccords <strong>de</strong>champ sont rencontrés 9 .Quelques exemples <strong>de</strong> problèmes liés aux raccords <strong>de</strong> champ sont illustrés sur la Figure 7 <strong>de</strong> la présentepage. Ces difficultés se rencontrent typiquement lorsque l’on cherche à insoler <strong>de</strong>s motifs présentantà la fois <strong>de</strong> faibles dimensions selon au moins une direction (nécessité d’un positionnement précis) et <strong>de</strong>gran<strong>de</strong>s dimensions selon au moins une autre direction (nécessité <strong>de</strong> déplacer le champ d’écriture).(a) Cas où le champ d’écriture est plus petitque prévu : <strong>de</strong>s zones ne sont pas insoléesentre les différentes positions duchamp, ce qui peut par exemple entraînerl’interruption <strong>de</strong> certaines pistes.(b) Cas où le champ d’écriture est plusgrand que prévu : <strong>de</strong>s portions <strong>de</strong> zonessont insolées plusieurs fois et certainespistes peuvent être interrompues oubien au contraire se chevaucher.Figure 7 – Exemple <strong>de</strong> problèmes pouvant se poser lorsque le champ d’écriture n’a pasexactement les dimensions attendues : les raccords <strong>de</strong> champ ne sont pas tels qu’espérés.Pour pallier à cette difficulté, il est possible d’envisager la mise en place d’une étape <strong>de</strong> calibrationdu champ d’écriture, servant à estimer le plus précisément possible les dimensions réelles du champd’écriture et éviter ainsi les problèmes <strong>de</strong> raccords <strong>de</strong> champ évoqués précé<strong>de</strong>mment.Pour ce faire, nous procédons comme suit, en supposant le mouvement <strong>de</strong> la platine est suffisammentprécis :9. Il s’agit-là du principal problème, <strong>de</strong>s fluctuations <strong>de</strong>s dimensions <strong>de</strong>s motifs <strong>de</strong> l’ordre <strong>de</strong> 0,1 % étant par ailleurs apriori tout à fait acceptables.8


1. nous nous centrons sur une imperfection ;2. nous déplaçons la platine d’un certain vecteur (mouvement vrai) ;3. nous ramenons le faisceau sur l’imperfection précé<strong>de</strong>nte à l’ai<strong>de</strong> <strong>de</strong> l’optique électronique (beamshift, engendrant éventuellement une erreur) ;4. nous répétons les étapes 2 et 3 pour d’autres vecteurs ;5. nous recommençons à l’étape 2 avec un grandissement <strong>de</strong> plus en plus grand, jusqu’à être satisfaitsdu résultat.Les déplacements pour un grandissement donné sont schématisés sur la Figure 8 <strong>de</strong> la présente page.Zones servant au calibrage1/ Déplacement relatif parrapport à la platine(mouvement <strong>de</strong> la *platine*)2/ Mouvement <strong>de</strong> retour dufaisceau (« beam shift »)Figure 8 – Synoptique <strong>de</strong>s déplacements effectuéslors <strong>de</strong> la calibration du champ d’écriture. Le référentieladopté est celui du bâti <strong>de</strong> la machine.Afin d’illustrer la nécessité d’effectuer cette étape, nous insolerons en sus <strong>de</strong>s motifs <strong>de</strong> test <strong>de</strong> dose,un motif <strong>de</strong> test <strong>de</strong> la calibration du champ d’écriture, constitué :– d’une « longue » piste <strong>de</strong> 5 µm <strong>de</strong> large, pour laquelle aucune procédure <strong>de</strong> calibration du champd’écriture ne sera mise en place ;– <strong>de</strong> la même piste, avec cette fois une calibration du champ d’écriture effective.III.6Création d’un système <strong>de</strong> coordonnéesPour résumer, nous avons effectué, pour l’instant, les étapes suivantes :1. régler la mise au point et corriger l’astigmatisme ;2. mesurer le courant reçu par unité <strong>de</strong> surface, afin d’être en mesure <strong>de</strong> calculer le t dwell ;3. calibrer le champ d’écriture, pour éviter les problèmes lors <strong>de</strong>s raccords <strong>de</strong> champ.Il ne nous reste donc plus qu’à convenir d’un système <strong>de</strong> coordonnées afin <strong>de</strong> pouvoir nous repérersur l’échantillon. Ceci est particulièrement important puisque nous ne pouvons pas aller observer la zoneà insoler sans l’insoler. . .Pour définir notre système <strong>de</strong> coordonnées, il nous suffit <strong>de</strong> choisir un point d’origine (0, 0), auquelnous adjoignons <strong>de</strong>ux axes ⃗u et ⃗v 10 arbitraires.Dans la pratique, nous plaçons l’origine assez proche <strong>de</strong> défauts du wafer facilement observables, neserait-ce que pour faciliter l’observation ultérieure sous microscope optique <strong>de</strong>s motifs insolés.On remarquera que nous nous sommes placés dans le cas d’un premier niveau. Toutefois, il est bond’être conscients du fait que s’il s’était agi d’un <strong>de</strong>uxième niveau, les choses auraient été plus compliquées.En effet, il se serait alors agi <strong>de</strong> se repositionner par rapport à un ancien système <strong>de</strong> coordonnées, toutcela sans exposer au faisceau d’électrons la zone d’intérêt. Cela constitue un tout autre défi. . .10. Deux axes suffisent pour former une base <strong>de</strong> notre espace <strong>de</strong> travail puisque nous travaillons dans un plan.9


IV.2IV.2.aDifférences liées à la tailleObservationsFigure 9 – Vue globale <strong>de</strong>s motifs<strong>de</strong> test <strong>de</strong> dose (grandissement×500).Nous pouvons voir que les motifs <strong>de</strong> gran<strong>de</strong>s dimensions sont sortis a peu près <strong>de</strong> la même façon,c’est à dire que l’on commence à voir apparaître <strong>de</strong>s défauts grosso modo pour les mêmes doses : autour<strong>de</strong> 0,9 fois la dose <strong>de</strong> référence D 2 12 pour les disques et 1, 0 × D 2 pour les triangles, les <strong>de</strong>ux types <strong>de</strong>motifs ne sortant pas du tout pour <strong>de</strong>s doses inférieures à 0,5 ou 0,6 fois la dose <strong>de</strong> référence.Nous remarquons au passage que la valeur <strong>de</strong> D 2 est loin d’être aberrante.(a) Les grands disques sortent bien pourD > 0, 9 × D 2 (grandissement ×1000).(b) Les grands triangles sortent bien pourD > 1, 0 × D 2 (grandissement ×1000).Figure 10 – Comparaison entre les motifs <strong>de</strong> gran<strong>de</strong>s dimensions.Comme en témoigne la Figure 11 page suivante, la situation n’est pas du tout similaire en ce quiconcerne les motifs <strong>de</strong> dimensions plus réduites. Dans ce cas, nous constatons que les disques sont sortis 13pour <strong>de</strong>s doses faibles, autour <strong>de</strong> 0, 4 × D 2 , tandis que nous ne parvenons même pas à sortir les petitstriangles avec une dose <strong>de</strong> 1, 3 × D 2 . . .12. Rappel : D 2 = 175 µC.cm −213. Pas nécessairement sans défaut mais encore une fois, avec <strong>de</strong> telles dimensions, nous ne pouvons pas vraiment l’affirmervia microscopie optique.11


(a) Les petits disques sont sortis pour <strong>de</strong> faiblesdoses (grandissement ×1000)(b) Les petits triangles nécessitent une dosebien plus élevée pour sortir (grandissement×1000)Figure 11 – Comparaison entre les motifs <strong>de</strong> petites dimensions.IV.2.bExplicationsUne telle différence peut s’expliquer, au moins qualitativement, par les effets dits <strong>de</strong> proximité. Ils’agit d’un phénomène <strong>de</strong> réinsolation, par <strong>de</strong>s électrons rétrodiffusés par le substrat et ayant du mal às’extraire, <strong>de</strong> la résine située autour du point visé. Ce phénomène est illustré sur la Figure 6 page 7.4 µmFigure 12 – Formes et dimensions <strong>de</strong>s différentsmotifs que nous souhaitions insolerdans la résine. 4 µm400 nm400 nmAfin <strong>de</strong> tenter d’expliquer la situation dans le cas <strong>de</strong>s petits motifs, faisons une étu<strong>de</strong> géométriquesimple. L’aire <strong>de</strong>s petits disques est donnée par :A ronds = π × rayon 2 = π × (200 · 10 −9 ) 2 = 1, 3 × 10 −13 m 2tandis que celle <strong>de</strong>s petits triangles vaut :√base × hauteurA triangles = = 200 · 10−9 × (400 · 10 −9 ) 2 − (200 · 10 −9 ) 2= 4, 5 × 10 −14 m 222Ainsi le rapport entre les surfaces <strong>de</strong>s petits motifs est :A rondsA triangles= 2, 912


Sachant que plus les dimensions <strong>de</strong>s motifs à insoler diminuent, plus les effets <strong>de</strong> proximité <strong>de</strong>viennentnon négligeables 14 face aux effets d’insolation directe, nous pouvons donc expliquer que les petits disquessortent pour <strong>de</strong>s doses bien plus faibles que les petits triangles par le fait que les effets <strong>de</strong> proximité sontrenforcés dans le cas <strong>de</strong>s disques par rapport au cas <strong>de</strong>s triangles (cf. le rapport <strong>de</strong>s aires, sachant queles effets <strong>de</strong> proximité sont non négligeables).Ce n’est pas le cas pour les motifs <strong>de</strong> plus gran<strong>de</strong>s dimensions car pour ces <strong>de</strong>rniers, les effets <strong>de</strong>réinsolation sont comparables, les aires en jeu étant bien supérieures (le cœur <strong>de</strong>s grands triangles estautant soumis aux effets <strong>de</strong> proximité que le cœur <strong>de</strong>s grands disques). Ceci explique le fait que les grandsmotifs sortent plus ou moins <strong>de</strong> la même façon pour <strong>de</strong>s doses similaires.IV.3IV.3.aDifférences liées à la formeObservationsSi les explications précé<strong>de</strong>ntes semblent convenir au moins dans les gran<strong>de</strong>s lignes, notons cependantqu’elles n’expliquent pas la légère différence <strong>de</strong> dose qui <strong>de</strong>meure pour les motifs <strong>de</strong> gran<strong>de</strong>s dimensions,entre les disques (D minronds = 0, 9 × D 2 ) et les triangles (D minronds = 1, 0 × D 2 ).Or nous pouvons observer sur la Figure 10b page 11 un phénomène qui se produit lorsque ladose commence à <strong>de</strong>venir insuffisante et dont nous n’avons encore pas discuté : nous constatons que lespointes <strong>de</strong>s triangles semblent avoir davantage <strong>de</strong> difficultés à sortir que le cœur <strong>de</strong>sdits motifs 15 . Etnous n’observons rien <strong>de</strong> semblable pour les disques <strong>de</strong> la Figure 10a. Il semble donc bien s’agir <strong>de</strong>quelque chose lié à la forme effilée <strong>de</strong>s pointes.IV.3.bExplicationsUne façon <strong>de</strong> voir les choses consiste à remarquer que lorsque l’on se déplace du cœur d’un trianglevers l’une <strong>de</strong> ses pointes, les effets <strong>de</strong> proximité <strong>de</strong>viennent <strong>de</strong> moins en moins négligeable au fur et àmesure que l’on se rapproche <strong>de</strong> l’extrémité <strong>de</strong> la pointe en question. Du coup, nous retrouvons le mêmegenre <strong>de</strong> différence entre triangles et disques qu’à la section §IV.2 :– les points situés sur le pourtour d’un <strong>de</strong>s grands disques possè<strong>de</strong>nt un assez grand nombre <strong>de</strong>voisins, ce qui le réinsole d’autant plus en raison <strong>de</strong>s effets <strong>de</strong> proximité ;– au contraire, un point situé non loin d’une extrêmité d’un <strong>de</strong>s grands triangles possè<strong>de</strong> relativementpeu <strong>de</strong> voisins 16 qui pourraient le réinsoler par effet <strong>de</strong> proximité.Les bouts <strong>de</strong>s pointes <strong>de</strong>s triangles sont donc moins réinsolés, et donc au final moins insolés tout court,que le reste. Ainsi, lorsque les doses commencent à être justes pour activer suffisamment la résine, cesont les premières structures à présenter <strong>de</strong>s difficultés à sortir.Les disques doivent quant à eux réussir à compenser un peu mieux les faibles doses en raison d’uneplus gran<strong>de</strong> réinsolation <strong>de</strong> son contour par effets <strong>de</strong> proximité (pour les raisons évoquées juste avant),ce qui pourrait expliquer la légère différence <strong>de</strong> dose minimale entre les triangles et les disques.Il est bon <strong>de</strong> remarquer que ce phénomène a, a priori, lui aussi lieu pour les motifs <strong>de</strong> faibles dimensions.Toutefois, vus les écarts <strong>de</strong> doses beaucoup plus importants, il semble judicieux <strong>de</strong> penser que cesont bien les effets <strong>de</strong> surface plus que <strong>de</strong> forme qui prennent le pas aux échelles en question.IV.4IV.4.aRaccords <strong>de</strong>s champs d’écritureObservationsComme nous pouvons le voir sur la Figure 13 page suivante, il y a bien une différence entre lasituation avec calibration du champ d’écriture et celle sans cette procédure, à l’avantage <strong>de</strong> la première.Ceci justifie donc bien la mise en œuvre <strong>de</strong> cette procédure.14. Les effets <strong>de</strong> proximité ne dépen<strong>de</strong>nt que du faisceau d’électrons et du substrat, donc sont i<strong>de</strong>ntiques quelle que soientles dimensions du motif à insoler.15. Ceci est plutôt bien visible sur le motif triangulaire correspondant à une dose <strong>de</strong> 0, 9×D 2 sur la Figure 10b page 11.16. En comparaison d’un point sur le pourtour <strong>de</strong>s disques <strong>de</strong> mêmes dimensions, ou bien plus simplement d’un pointsitué au cœur du triangle en question. . .13


(a) Avec calibration : nous n’observons pas <strong>de</strong> défautapparent <strong>de</strong> la piste insolée (<strong>de</strong> largeurthéorique 5 µm), ce qui laisse à penser que leraccord <strong>de</strong> champ est plutôt correct.(b) Sans calibration : nous observons qu’une portion<strong>de</strong> la piste a été insolée <strong>de</strong>ux, sans queces <strong>de</strong>ux insolations ne se superposent parfaitement.Figure 13 – Raccords <strong>de</strong> champ d’écriture avec ou sans procédure <strong>de</strong> calibration dudit champ.IV.4.bExplicationsCe léger renflement visible sur la Figure 13b laisse à penser qu’avant la calibration ad-hoc, le champd’écriture réel était légèrement plus grand que celui prévu par la machine. A priori, nous serions dans lecas illustré à la Figure 7b page 8.Par contre, une fois la calibration effectuée, le champ estimé semble bien concor<strong>de</strong>r avec le champréel puisqu’il n’y a plus <strong>de</strong> double insolation, ni <strong>de</strong> rupture dans la piste.VConclusion(s)Si la lithographie par faisceau d’électrons présentent <strong>de</strong> nombreux avantages, dont <strong>de</strong>ux majeurs sontsa très bonne résolution ainsi que sa gran<strong>de</strong> versatilité, elle n’en possè<strong>de</strong> pas moins un défaut particulièrementrédhibitoire dans <strong>de</strong> nombreux cas, à savoir son extrême lenteur. S’il ne fallait pas autant<strong>de</strong> temps pour insoler une surface décente, cette technique serait reine. Malheureusement, à finesse <strong>de</strong>smotifs égale, elle ne fait absolument pas le poids face aux techniques <strong>de</strong> fabrication collectives telles quela lithographie optique.Si nous <strong>de</strong>vions résumer les étapes du <strong>TP</strong>, nous pourrions retenir qu’après avoir enrésiné un waferrecyclé <strong>de</strong> silicium avec du PMMA, nous avons eu l’occasion <strong>de</strong> voir les gran<strong>de</strong>s étapes du process, tellesque :– la mise au point, le choix <strong>de</strong> la taille optimale du step size, notamment par compromis sur laprofon<strong>de</strong>ur <strong>de</strong> champ, le tout étant lié à la distance <strong>de</strong> travail ;– la détermination <strong>de</strong> la durée d’insolation t dwell nécessaire à la bonne activation <strong>de</strong> la résine, ainsique la procédure <strong>de</strong> test <strong>de</strong> dose afin d’ajuster au mieux l’énergie déposée ;– la nécessité d’effectuer une calibration du champ d’écriture (dès lors que les motifs à insoler nerentrent pas en entier dans le champ) afin d’éviter les doubles insolations (visible sur l’expérienceque nous avons mené en <strong>TP</strong>) ou au contraire les ruptures dans les motifs (a priori non observé aucours du <strong>TP</strong>).Suite au développement <strong>de</strong> notre résine ainsi insolée, nous avons pu constater par <strong>de</strong>s observations aumicroscope optique l’existence <strong>de</strong> phénomènes venant perturber l’insolation théorique <strong>de</strong> nos motifs. Nousavons ainsi pu voir à l’œuvre les effets <strong>de</strong> proximité, qui traduisent la réinsolation <strong>de</strong>s zones adjacentesau point visé par les électrons rétrodiffusés dans le substrat. Nous avons pu illustrer ces effets dans <strong>de</strong>uxcas typiques :14


– les dimensions du motif sont réduites et les effets <strong>de</strong> proximité sont non négligeables sur l’ensembledu motif. Dans ce cas, plus l’aire du motif est « importante », plus la dose à utiliser est faible, laréinsolation par effet <strong>de</strong> proximité étant élevée pour l’ensemble <strong>de</strong>s points du motif.– les pointes et autres formes effilées ont plus <strong>de</strong> difficultés à sortir que les formes moins anguleuses(i.e. nécessitent une dose plus importante que ces <strong>de</strong>rnières) car les points constituant une pointepossè<strong>de</strong>nt moins <strong>de</strong> voisins susceptibles <strong>de</strong> les réinsoler par effet <strong>de</strong> proximité.La conclusion que l’on peut tirer <strong>de</strong> ces différents phénomènes est qu’il est d’autant plus intéressantd’effectuer un test <strong>de</strong> dose afin <strong>de</strong> déterminer expérimentalement quelle est réellement la bonne dosed’énergie à insoler afin <strong>de</strong> sortir au mieux les motifs souhaités.Nous conclurons en faisant remarquer qu’il est bon <strong>de</strong> noter que contrairement à la lithographieoptique, la qualité d’un process <strong>de</strong> lithographie par faisceau d’électrons est particulièrement dépendante<strong>de</strong> l’opérateur, ce qui peut poser <strong>de</strong>s problèmes <strong>de</strong> reproductibilité par exemple.15

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!