06.06.2014 Aufrufe

Quartus II - Ra.informatik.tu-darmstadt.de

Quartus II - Ra.informatik.tu-darmstadt.de

Quartus II - Ra.informatik.tu-darmstadt.de

MEHR ANZEIGEN
WENIGER ANZEIGEN

Erfolgreiche ePaper selbst erstellen

Machen Sie aus Ihren PDF Publikationen ein blätterbares Flipbook mit unserer einzigartigen Google optimierten e-Paper Software.

Fachgebiet Rechnerarchitek<strong>tu</strong>r<br />

Fachbereich Informatik<br />

Wolfgang Heenes<br />

Kurzanlei<strong>tu</strong>ng (Getting Started) für <strong>Quar<strong>tu</strong>s</strong> <strong>II</strong> 9.0<br />

Diese Kurzanlei<strong>tu</strong>ng beschreibt anhand eines einfachen 4-Bit Zählers die Eingabe, Simulation, Synthese und<br />

Programmierung mit <strong>de</strong>r Entwicklungsumgebung <strong>Quar<strong>tu</strong>s</strong> <strong>II</strong> 9.0. Die kostenlose WebEdition von <strong>Quar<strong>tu</strong>s</strong> kann<br />

von [1] bezogen wer<strong>de</strong>n.<br />

I. Anlegen eines Projekts<br />

Nach <strong>de</strong>m Start von <strong>Quar<strong>tu</strong>s</strong> <strong>II</strong> in <strong>de</strong>r Menüleiste unter Files New Project Wizard auswählen. Mit<br />

<strong>de</strong>m Wizard wird u. a. das Projektverzeichnis (in diesem Beispiel „zaehler“), die im Projekt<br />

benutzten Design-Files (VHDL, Verilog HDL etc.) ausgewählt. Weiterhin kann an dieser Stelle<br />

schon die Device-Family angegeben wer<strong>de</strong>n (für <strong>de</strong>n Zähler wird ein Cyclone EP2C70F896C6<br />

verwen<strong>de</strong>t). Durch Drücken <strong>de</strong>s Button Finish wird <strong>de</strong>r Project Wizard geschlossen.<br />

<strong>II</strong>.<br />

<strong>II</strong>I.<br />

IV.<br />

Eingabe eines Design-Files<br />

In <strong>de</strong>r Menüleiste wird unter Files New ausgewählt. Dort wählt man <strong>de</strong>n File-Typ aus (Device<br />

Design Files, Software Files, Other Files). Bei <strong>de</strong>n Device Design Files kann man zwischen<br />

AHDL, Block Diagram, EDIF, Verilog HDL und VHDL wählen. Nach Auswahl von z.B. Verilog<br />

HDL hat man ein Syntax Highlighting für Verilog HDL. Nach Eingabe <strong>de</strong>s Co<strong>de</strong>s (s. Anhang) File<br />

speichern. In <strong>de</strong>r Menüleiste Project Set as Top-Level Entity auswählen um dieses File als Top-<br />

Level zu <strong>de</strong>finieren.<br />

Übersetzung <strong>de</strong>s Design-Files<br />

Der Übersetzungsvorgang (Netzlistengenerierung, Synthese) wird durch Auswahl von Start<br />

Compilation (Strg + L) im Processing-Menü ausgeführt. In <strong>de</strong>r Regel wird es immer einige<br />

Warnings geben, da in <strong>de</strong>r WebEdition einige Fea<strong>tu</strong>res nicht vorhan<strong>de</strong>n sind.<br />

Simulation <strong>de</strong>s Desgin-Files<br />

Zur Simulation wird unter Files New die Registerkarte Other Files ausgewählt. Dort wird dann<br />

Vector Waveform File ausgewählt. Daraufhin öffnet sich ein Fenster „Waveform1.vwf“. Durch<br />

drücken <strong>de</strong>r rechten Maustaste im linken Teil <strong>de</strong>s Fenster (Name, Value at) kommt man zum No<strong>de</strong><br />

Fin<strong>de</strong>r (Insert No<strong>de</strong> or Bus). Im No<strong>de</strong> Fin<strong>de</strong>r kann man durch Auswahl <strong>de</strong>r Filterregeln z. B. alle<br />

Input-Pins anzeigen lassen. Diese wer<strong>de</strong>n markiert und durch <strong>de</strong>n Button >> in das Fenster<br />

„Selected No<strong>de</strong>s“ kopiert. Den gleichen Vorgang für die Output-Pins ausführen. Im Waveform-<br />

Fenster sind jetzt alle ausgewählten Pins angezeigt. Durch klick mit <strong>de</strong>r linken Maustaste wird z.B.<br />

das Clock-Signal ausgewählt. Danach wird auf <strong>de</strong>n Button „Count Value“ geklickt. Damit kann ein<br />

Clock-Signal generiert wer<strong>de</strong>n. Nach speichern <strong>de</strong>s Waveform Files kann die Simulation durch<br />

Auswahl von Start Simulation im Processing-Menü gestartet wer<strong>de</strong>n.<br />

V. Device- und Pin-Assignment<br />

Sofern nicht schon beim Anlegen <strong>de</strong>s Projektes das Device ausgewählt wor<strong>de</strong>n ist, muß jetzt im<br />

Menü Assignment Device ausgewählt wer<strong>de</strong>n. Sollte <strong>de</strong>r Zielbaustein in <strong>de</strong>r Auswahlliste nicht<br />

vorhan<strong>de</strong>n sein, muß die Filteroption von „Fastest“ auf „Any“ umgestellt wer<strong>de</strong>n. Nach Auswahl<br />

<strong>de</strong>s Zielbausteins sollte das Design noch mal übersetzt wer<strong>de</strong>n (Strg + L). Danach kann wie<strong>de</strong>rum<br />

im Menü Assignment über Pins <strong>de</strong>r Assignment Editor geöffnet wer<strong>de</strong>n. Ein Doppelclick auf das<br />

blau unterlegte Feld zeigt eine Liste aller zuzuweisen<strong>de</strong>n Pins.<br />

Die PIN-Belegung <strong>de</strong>s FPGAs kann <strong>de</strong>r Dokumentation zum Board [2] entnommen wer<strong>de</strong>n.<br />

VI.<br />

V<strong>II</strong>.<br />

Programmieren <strong>de</strong>r Hardware<br />

Zum Programmieren <strong>de</strong>r Hardware, wird im Menü Tools <strong>de</strong>r Programmer aufgerufen. In <strong>de</strong>r<br />

Regel sollte das Programmierfile (*.sof) bereits ausgewählt sein. Ansonsten mittels Add File das<br />

Programmierfile auswählen. Mit <strong>de</strong>m Start Button wird die Programmierung begonnen. Das<br />

Beispielprogramm benötigt einen externen Takt. Dieser wird entsprechend [2] angeschlossen.<br />

Benutzung <strong>de</strong>s RTL Viewers<br />

Der RTL Viewer befin<strong>de</strong>t sich im Menü Tools. Nach Start <strong>de</strong>s Viewers ergibt sich folgen<strong>de</strong>s Bild.


Beispielprogramm:<br />

module zaehler(Clock,up_down,qa);<br />

input Clock,up_down;<br />

output [3:0] qa;<br />

reg [3:0] qa;<br />

integer direction;<br />

always @(posedge Clock)<br />

begin<br />

if (up_down)<br />

begin<br />

direction = 1;<br />

end<br />

else<br />

begin<br />

direction = -1;<br />

end<br />

qa

Hurra! Ihre Datei wurde hochgeladen und ist bereit für die Veröffentlichung.

Erfolgreich gespeichert!

Leider ist etwas schief gelaufen!