25.10.2013 Views

Effects of Particle Concentration on Chemical Mechanical ...

Effects of Particle Concentration on Chemical Mechanical ...

Effects of Particle Concentration on Chemical Mechanical ...

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

<str<strong>on</strong>g>Effects</str<strong>on</strong>g> <str<strong>on</strong>g>of</str<strong>on</strong>g> <str<strong>on</strong>g>Particle</str<strong>on</strong>g> <str<strong>on</strong>g>C<strong>on</strong>centrati<strong>on</strong></str<strong>on</strong>g> <strong>on</strong> <strong>Chemical</strong> <strong>Mechanical</strong><br />

Planarizati<strong>on</strong><br />

Kevin Cooper, a, * ,z Jennifer Cooper, b Johannes Groschopf, b John Flake, a, **<br />

Yuri Solomentsev, a,c and Janos Farkas a, **<br />

a Motorola Digital DNA Laboratories, b AMD-Motorola Technology Alliance, Austin, Texas 78721, USA<br />

<str<strong>on</strong>g>Effects</str<strong>on</strong>g> <str<strong>on</strong>g>of</str<strong>on</strong>g> particle c<strong>on</strong>centrati<strong>on</strong> <strong>on</strong> removal rates in chemical mechanical planarizati<strong>on</strong> CMP were investigated. Experimental<br />

data shows the removal rate scales with the cubic root <str<strong>on</strong>g>of</str<strong>on</strong>g> weight percent solids and is proporti<strong>on</strong>al to mean separati<strong>on</strong> distance<br />

between particles in the slurry. Results show similar removal rate scaling with solid c<strong>on</strong>centrati<strong>on</strong> for both silic<strong>on</strong> dioxide and<br />

copper films. This work also identifies a critical solid c<strong>on</strong>centrati<strong>on</strong> needed to initiate removal for oxide or copper surfaces. The<br />

effects <str<strong>on</strong>g>of</str<strong>on</strong>g> particles <strong>on</strong> copper surface oxidati<strong>on</strong> and roughness are further characterized by voltammetry and atomic force microscopy.<br />

Results show copper films become progressively smoother with additi<strong>on</strong>al solids in the slurry.<br />

© 2002 The Electrochemical Society. DOI: 10.1149/1.1517772 All rights reserved.<br />

Manuscript submitted May 24, 2002; revised manuscript received August 21, 2002. Available electr<strong>on</strong>ically October 4, 2002.<br />

<strong>Chemical</strong> mechanical planarizati<strong>on</strong> CMP is widely used in<br />

various industrial applicati<strong>on</strong>s ranging from optical lens polishing to<br />

storage media and semic<strong>on</strong>ductor manufacturing. An important<br />

characteristic <str<strong>on</strong>g>of</str<strong>on</strong>g> any CMP process is the overall removal polishing<br />

rate <str<strong>on</strong>g>of</str<strong>on</strong>g> the surface. Removal rate R is typically described in terms <str<strong>on</strong>g>of</str<strong>on</strong>g><br />

Prest<strong>on</strong>’s law. 1,2 This is an empirical relati<strong>on</strong>ship that postulates proporti<strong>on</strong>ality<br />

<str<strong>on</strong>g>of</str<strong>on</strong>g> the removal rate to applied pressure P and relative<br />

velocity v <str<strong>on</strong>g>of</str<strong>on</strong>g> the surfaces in c<strong>on</strong>tact. That is<br />

R APv 1<br />

where A is the Prest<strong>on</strong> coefficient. The coefficient is a functi<strong>on</strong> <str<strong>on</strong>g>of</str<strong>on</strong>g><br />

several parameters including reacti<strong>on</strong> kinetics, solids c<strong>on</strong>centrati<strong>on</strong>,<br />

and surface properties. 2,3 Although many systems are c<strong>on</strong>sidered to<br />

follow Prest<strong>on</strong>i<strong>on</strong> behavior, deviati<strong>on</strong>s are comm<strong>on</strong>ly observed and<br />

reported in literature. 4-6 Various adaptati<strong>on</strong>s <str<strong>on</strong>g>of</str<strong>on</strong>g> Prest<strong>on</strong>’s law have<br />

been proposed and a generalized relati<strong>on</strong>ship may be written as<br />

R AP v 2<br />

where and are experimental c<strong>on</strong>stants. Quantities and are<br />

c<strong>on</strong>sidered as adjustable parameters and are used to account for<br />

n<strong>on</strong>linear variati<strong>on</strong> <str<strong>on</strong>g>of</str<strong>on</strong>g> rate with kinetics or other factors. 7,8<br />

In this work, the effect <str<strong>on</strong>g>of</str<strong>on</strong>g> solids c<strong>on</strong>centrati<strong>on</strong> <strong>on</strong> the Prest<strong>on</strong><br />

coefficient was studied using a linear model. As stated in the removal<br />

mechanism proposed by Kaufman 9 and others, 10,11 accelerated<br />

removal rates are due to mechanical abrasi<strong>on</strong> <str<strong>on</strong>g>of</str<strong>on</strong>g> the oxidized<br />

metal or hydrolyzed oxide surface by slurry particles. Previous reports<br />

have also shown increasing c<strong>on</strong>centrati<strong>on</strong>s <str<strong>on</strong>g>of</str<strong>on</strong>g> abrasive particles<br />

in a CMP soluti<strong>on</strong> lead to increased removal rates. 11-13 The<br />

nature <str<strong>on</strong>g>of</str<strong>on</strong>g> the relati<strong>on</strong>ship between increases in removal rate and<br />

solids c<strong>on</strong>centrati<strong>on</strong> is c<strong>on</strong>sidered in further detail here. In dilute<br />

slurries, similar to those comm<strong>on</strong>ly used in CMP, the mean particle<br />

separati<strong>on</strong> distance l is much larger than the particle size a. In these<br />

c<strong>on</strong>diti<strong>on</strong>s, it is reas<strong>on</strong>able to expect A to be proporti<strong>on</strong>al to the<br />

frequency <str<strong>on</strong>g>of</str<strong>on</strong>g> collisi<strong>on</strong> between the particles and the polished surface<br />

assuming fixed chemical compositi<strong>on</strong>. A general relati<strong>on</strong>ship for<br />

the Prest<strong>on</strong> coefficient can be written as follows<br />

A D Bfc 3<br />

where B is a c<strong>on</strong>stant, f is the frequency <str<strong>on</strong>g>of</str<strong>on</strong>g> collisi<strong>on</strong>s, c is solids<br />

c<strong>on</strong>centrati<strong>on</strong>, and D is a c<strong>on</strong>stant independent <str<strong>on</strong>g>of</str<strong>on</strong>g> c<strong>on</strong>centrati<strong>on</strong>. The<br />

* Electrochemical Society Student Member.<br />

** Electrochemical Society Active Member.<br />

c Current address: Texas Instruments Silic<strong>on</strong> Technology Development, Dallas,<br />

Texas 75243.<br />

z E-mail: kevin.cooper@motorola.com<br />

Electrochemical and Solid-State Letters, 5 12 G109-G112 2002<br />

0013-4651/2002/512/G109/4/$7.00 © The Electrochemical Society, Inc.<br />

frequency <str<strong>on</strong>g>of</str<strong>on</strong>g> collisi<strong>on</strong>s f is inversely proporti<strong>on</strong>al to the mean time<br />

between collisi<strong>on</strong>s . is proporti<strong>on</strong>al to the mean particle separati<strong>on</strong><br />

l and Eq. 3 can be rewritten as<br />

A D F<br />

l<br />

F c<strong>on</strong>st 4<br />

The volume <str<strong>on</strong>g>of</str<strong>on</strong>g> an individual particle, VP , and the total volume<br />

occupied per particle, can be Vˆ<br />

P written as<br />

VP 4<br />

3 a3 5<br />

Vˆ<br />

P VT <br />

NP 4<br />

3 l3 6<br />

where a is the particle radius, V T is the total liquid volume, and N P<br />

is the total number <str<strong>on</strong>g>of</str<strong>on</strong>g> particles. Furthermore, weight percent can be<br />

defined as<br />

wt % W P<br />

W T<br />

where W P is the weight <str<strong>on</strong>g>of</str<strong>on</strong>g> the particles<br />

W P PV PN P<br />

and W T is the total weight <str<strong>on</strong>g>of</str<strong>on</strong>g> the soluti<strong>on</strong><br />

W T WV T<br />

where P and W are the density <str<strong>on</strong>g>of</str<strong>on</strong>g> the particle and the density <str<strong>on</strong>g>of</str<strong>on</strong>g><br />

soluti<strong>on</strong>, respectively. Substituting Eq. 8 and 9 into Eq. 7 yields<br />

wt % WP <br />

WT VP PNP VT W<br />

Further substituti<strong>on</strong> <str<strong>on</strong>g>of</str<strong>on</strong>g> Eq. 5 and 6 into Eq. 10 yields<br />

wt % VP PNP Vˆ<br />

PWN P<br />

4a3 P 3 W 1<br />

Vˆ<br />

P<br />

wt % B<br />

Vˆ P<br />

G109<br />

7<br />

8<br />

9<br />

10<br />

11<br />

12


G110<br />

Figure 1. Removal rate as a functi<strong>on</strong> <str<strong>on</strong>g>of</str<strong>on</strong>g> P.<br />

where B is<br />

Rearranging Eq. 12 yields<br />

4a3 P<br />

3 W <br />

Vˆ P B<br />

wt %<br />

Substituti<strong>on</strong> <str<strong>on</strong>g>of</str<strong>on</strong>g> Eq. 6 into Eq. 13 yields<br />

l <br />

1<br />

wt % 1/3<br />

13<br />

14<br />

Because l is proporti<strong>on</strong>al to w1/3 where w is the solids weight<br />

percent in the slurry Eq. 4 is reduced to the following relati<strong>on</strong>ship<br />

A D Fw1/3 15<br />

Equati<strong>on</strong> 15 is valid for Prest<strong>on</strong>ian systems with c<strong>on</strong>stant chemical<br />

compositi<strong>on</strong>, pressure, and velocity.<br />

Experimental<br />

Silic<strong>on</strong> dioxide films 450 nm thick were prepared via chemical<br />

vapor depositi<strong>on</strong> CVD tetraethylorthosilicate TEOS <strong>on</strong> 200 mm<br />

silic<strong>on</strong> substrates. Copper films 1.2 m thick were prepared by<br />

electrochemical depositi<strong>on</strong> from a commercial electrolyte <strong>on</strong> physical<br />

vapor depositi<strong>on</strong> PVD Cu seed 100 nm. Oxide wafers were<br />

polished using an alkaline slurry with silica particles with a mean<br />

size <str<strong>on</strong>g>of</str<strong>on</strong>g> 120 nm. Copper wafers were polished using proprietary<br />

acidic slurries comprised <str<strong>on</strong>g>of</str<strong>on</strong>g> silica-based particles with an<br />

approximate size <str<strong>on</strong>g>of</str<strong>on</strong>g> 40 nm. Prior to CMP, copper wafers were annealed<br />

in a furnace for sufficient time and temperature for complete<br />

recrystallizati<strong>on</strong>.<br />

Oxide film thickness was measured before and after the CMP<br />

experiments using a commercial spectrophotometer. Copper film<br />

thickness was measured using a calibrated four-point probe. Data<br />

was collected for 30 points per wafer and removal rates represent<br />

the average values. The wafers were polished <strong>on</strong> a rotati<strong>on</strong>al tool for<br />

60 s in each experiment. Experiments with varying solids c<strong>on</strong>centrati<strong>on</strong><br />

were d<strong>on</strong>e at c<strong>on</strong>stant pressure and rotati<strong>on</strong>al velocity.<br />

Results and Discussi<strong>on</strong><br />

Experiments were first performed to verify Prest<strong>on</strong>ian behavior<br />

<str<strong>on</strong>g>of</str<strong>on</strong>g> the system. Figure 1 shows linear scaling <str<strong>on</strong>g>of</str<strong>on</strong>g> Cu removal rate with<br />

the Pv product; similar behavior was observed for the oxide films.<br />

Electrochemical and Solid-State Letters, 5 12 G109-G112 2002<br />

Figure 2. Potentiodynamic polarizati<strong>on</strong> scans <str<strong>on</strong>g>of</str<strong>on</strong>g> the copper rotating disk<br />

electrode polished with and without abrasi<strong>on</strong>.<br />

The rate data was normalized by maximum removal rate and Pv<br />

product was normalized by the maximum as well. For both oxide<br />

and copper films the experimental data was in good agreement with<br />

the predicti<strong>on</strong>s in Eq. 1.<br />

In comparing the removal mechanisms <str<strong>on</strong>g>of</str<strong>on</strong>g> oxide and copper<br />

films, copper must undergo an additi<strong>on</strong>al oxidati<strong>on</strong> step prior to<br />

removal. As described in the work by Kaufman et al., 9 the steadystate<br />

removal involves dynamic formati<strong>on</strong> and mechanical removal<br />

<str<strong>on</strong>g>of</str<strong>on</strong>g> oxides. The oxidati<strong>on</strong> and removal <str<strong>on</strong>g>of</str<strong>on</strong>g> copper in these experiments<br />

was examined using voltammetry under abrasive and n<strong>on</strong>abrasive<br />

c<strong>on</strong>diti<strong>on</strong>s. A Pt rotating disk electrode RDE electroplated with<br />

approximately 10 m <str<strong>on</strong>g>of</str<strong>on</strong>g> Cu was rotated at 300 rpm and pressed<br />

against a polyurethane pad. Down force was approximately 50 kPa<br />

as the weight <str<strong>on</strong>g>of</str<strong>on</strong>g> the RDE apparatus rested entirely <strong>on</strong> the disk.<br />

Figure 2 shows steady-state potentiodynamic scans <str<strong>on</strong>g>of</str<strong>on</strong>g> the electroplated<br />

Cu electrode in an acidic soluti<strong>on</strong> with an oxidizing agent.<br />

Scans were taken during polishing with 1 wt% and without<br />

abrasives. As seen in Fig. 2, the exchange current density and anodic<br />

slope increase when particles are present. In the absence <str<strong>on</strong>g>of</str<strong>on</strong>g> particles,<br />

the anodic porti<strong>on</strong> <str<strong>on</strong>g>of</str<strong>on</strong>g> the curve shows lower currents indicating the<br />

Figure 3. Removal rate <str<strong>on</strong>g>of</str<strong>on</strong>g> SiO 2 as a functi<strong>on</strong> <str<strong>on</strong>g>of</str<strong>on</strong>g> solid c<strong>on</strong>tent.


Figure 4. Removal rate <str<strong>on</strong>g>of</str<strong>on</strong>g> copper as a functi<strong>on</strong> <str<strong>on</strong>g>of</str<strong>on</strong>g> solid c<strong>on</strong>tent.<br />

presence <str<strong>on</strong>g>of</str<strong>on</strong>g> a passivating surface oxide. When particles are added to<br />

the system, the rest potential is shifted approximately 50 mV cathodically<br />

and the anodic current is significantly greater with overpotential.<br />

This behavior indicates the mechanism <str<strong>on</strong>g>of</str<strong>on</strong>g> chemical oxidati<strong>on</strong><br />

followed by mechanical removal is experimentally apparent for<br />

the dilute slurries 1 wt% c<strong>on</strong>sidered here.<br />

To examine the relati<strong>on</strong>ship between solids c<strong>on</strong>centrati<strong>on</strong> and<br />

removal rate Eq. 15, the polishing velocity and pressure were fixed<br />

and the weight percent <str<strong>on</strong>g>of</str<strong>on</strong>g> solids in the slurries was varied. Figures 3<br />

and 4 show the normalized removal rate as a functi<strong>on</strong> <str<strong>on</strong>g>of</str<strong>on</strong>g> the normalized<br />

weight percent <str<strong>on</strong>g>of</str<strong>on</strong>g> solids for SiO 2 and copper substrates. The<br />

y axis normalized removal rate was made dimensi<strong>on</strong>less by the<br />

maximum removal rate for each system. The x axis normalized wt<br />

% was made dimensi<strong>on</strong>less by the maximum weight percent used<br />

in the each set <str<strong>on</strong>g>of</str<strong>on</strong>g> experiments. The error bars in Fig. 3 and 4 represent<br />

the standard deviati<strong>on</strong>s in removal rates across all measured<br />

points.<br />

In Fig. 5 the removal rate data from Fig. 3 and 4 is plotted as<br />

functi<strong>on</strong>s <str<strong>on</strong>g>of</str<strong>on</strong>g> wt % 1/3 . In Fig. 5, both x wt % 1/3 and y axis removal<br />

rate were normalized by the maximum solid c<strong>on</strong>centrati<strong>on</strong> and<br />

Figure 5. Removal rate <str<strong>on</strong>g>of</str<strong>on</strong>g> copper and SiO 2 as a functi<strong>on</strong> <str<strong>on</strong>g>of</str<strong>on</strong>g> w 1/3 .<br />

Electrochemical and Solid-State Letters, 5 12 G109-G112 2002 G111<br />

Figure 6. Removal rate <str<strong>on</strong>g>of</str<strong>on</strong>g> copper and SiO 2 as a functi<strong>on</strong> <str<strong>on</strong>g>of</str<strong>on</strong>g> solid c<strong>on</strong>centrati<strong>on</strong><br />

for a benzenetriazole-based chemistry solid line and a triazole-based<br />

chemistry dashed line.<br />

maximum removal rate in the copper system, respectively. As seen<br />

in each case Cu or SiO 2) the removal rate scales linearly with<br />

wt % 1/3 after a critical <strong>on</strong>set c<strong>on</strong>centrati<strong>on</strong> is reached. The scaling in<br />

this regime dem<strong>on</strong>strates the removal is directly proporti<strong>on</strong>al to the<br />

frequency <str<strong>on</strong>g>of</str<strong>on</strong>g> collisi<strong>on</strong>s. At high solid c<strong>on</strong>centrati<strong>on</strong>s <strong>on</strong>ce l is no<br />

l<strong>on</strong>ger R), the scaling behavior no l<strong>on</strong>ger follows a collisi<strong>on</strong> frequency<br />

and removal rate is independent <str<strong>on</strong>g>of</str<strong>on</strong>g> solid c<strong>on</strong>centrati<strong>on</strong>. 13,14<br />

The differences in critical <strong>on</strong>set c<strong>on</strong>centrati<strong>on</strong>s reveal differences<br />

in removal mechanisms and kinetics between the two systems.<br />

While Cu may be removed efficiently at a relatively lower solids<br />

c<strong>on</strong>centrati<strong>on</strong>, oxide films require more energy thermal or mechanical<br />

to reach the linear removal rate regime. Oxides <str<strong>on</strong>g>of</str<strong>on</strong>g> copper appear<br />

to be more easily removed with relatively lower solids c<strong>on</strong>centrati<strong>on</strong><br />

compared with silic<strong>on</strong> dioxide films. The nature <str<strong>on</strong>g>of</str<strong>on</strong>g> the relatively<br />

lower critical c<strong>on</strong>centrati<strong>on</strong> may be attributed to mechanical or<br />

Figure 7. Three-dimensi<strong>on</strong>al AFM images <str<strong>on</strong>g>of</str<strong>on</strong>g> polished and unpolished copper<br />

films.


G112<br />

Figure 8. RMS <str<strong>on</strong>g>of</str<strong>on</strong>g> polished copper surfaces as a functi<strong>on</strong> <str<strong>on</strong>g>of</str<strong>on</strong>g> abrasive c<strong>on</strong>centrati<strong>on</strong>.<br />

chemical effects. <strong>Mechanical</strong>ly, the oxides <str<strong>on</strong>g>of</str<strong>on</strong>g> copper are known to<br />

show relatively poor adhesi<strong>on</strong> to the elemental copper. This is in<br />

c<strong>on</strong>trast to metals such as aluminum or tungsten where the oxides<br />

show much better adhesi<strong>on</strong> to the elemental metal. Further work<br />

with other metals is needed to separate effects <str<strong>on</strong>g>of</str<strong>on</strong>g> adhesi<strong>on</strong> and kinetics<br />

<strong>on</strong> the critical solids c<strong>on</strong>centrati<strong>on</strong>.<br />

To better understand the impact <str<strong>on</strong>g>of</str<strong>on</strong>g> chemistry <strong>on</strong> removal rate,<br />

two copper slurry chemistries were compared. The comp<strong>on</strong>ents and<br />

c<strong>on</strong>centrati<strong>on</strong>s <str<strong>on</strong>g>of</str<strong>on</strong>g> the slurries were equivalent in all respects with the<br />

excepti<strong>on</strong> <str<strong>on</strong>g>of</str<strong>on</strong>g> the corrosi<strong>on</strong> inhibitor, triazole TA or benzenetriazole<br />

BTA. Figure 6 shows the normalized removal rate as a functi<strong>on</strong> <str<strong>on</strong>g>of</str<strong>on</strong>g><br />

the normalized weight percent for both chemistries. When particles<br />

are absent the slurry with BTA shows significantly lower removal<br />

rates 3.5 times than the slurry with triazole. As seen in Fig. 6, the<br />

removal rates collapse to an equivalent relati<strong>on</strong>ship wt % 1/3 with<br />

the introducti<strong>on</strong> <str<strong>on</strong>g>of</str<strong>on</strong>g> solids. These results dem<strong>on</strong>strate the removal<br />

mechanism in the regime remains linearly dependant <strong>on</strong> frequency<br />

<str<strong>on</strong>g>of</str<strong>on</strong>g> collisi<strong>on</strong>s despite differences in slurry chemistry. No differences<br />

in critical c<strong>on</strong>centrati<strong>on</strong> were observed indicating mechanical effects<br />

may dominate the critical <strong>on</strong>set c<strong>on</strong>centrati<strong>on</strong> in these slurries.<br />

In additi<strong>on</strong> to c<strong>on</strong>sidering the effect <str<strong>on</strong>g>of</str<strong>on</strong>g> solids <strong>on</strong> removal rates, it<br />

is important to c<strong>on</strong>sider the effects <str<strong>on</strong>g>of</str<strong>on</strong>g> solid c<strong>on</strong>centrati<strong>on</strong> <strong>on</strong> surface<br />

morphology. Surface roughness should be minimized to promote<br />

easy integrati<strong>on</strong> <str<strong>on</strong>g>of</str<strong>on</strong>g> subsequent metal levels and to maintain the integrity<br />

<str<strong>on</strong>g>of</str<strong>on</strong>g> the Cu-dielectric interface. Figure 7 shows threedimensi<strong>on</strong>al<br />

atomic force microscopy AFM images <str<strong>on</strong>g>of</str<strong>on</strong>g> the polished<br />

and unpolished copper surfaces. As seen in Fig. 7A and B, the surface<br />

obtained with an abrasive-free polish Fig. 7B shows grain<br />

boundaries are attacked resembling the rough unpolished surface<br />

Fig. 7A. With introducti<strong>on</strong> <str<strong>on</strong>g>of</str<strong>on</strong>g> abrasive particles to the slurry sur-<br />

Electrochemical and Solid-State Letters, 5 12 G109-G112 2002<br />

face roughness was reduced by 3.5 times Fig. 7B, C, and D. C<strong>on</strong>tinuous<br />

reducti<strong>on</strong> in surface roughness was observed with increasing<br />

particle c<strong>on</strong>centrati<strong>on</strong>. Figure 8 plots the root mean square rms<br />

roughness values as a functi<strong>on</strong> <str<strong>on</strong>g>of</str<strong>on</strong>g> abrasive c<strong>on</strong>centrati<strong>on</strong>.<br />

C<strong>on</strong>clusi<strong>on</strong>s<br />

CMP removal rates viz. Prest<strong>on</strong> coefficient were found to scale<br />

linearly with wt % 1/3 for dielectric and metal substrates. Experimental<br />

results show good agreement with theory in dilute soluti<strong>on</strong>s,<br />

where the cubic root <str<strong>on</strong>g>of</str<strong>on</strong>g> weight percent is roughly proporti<strong>on</strong>al to<br />

mean separati<strong>on</strong> distance between abrasive particles in the slurry.<br />

As seen in Fig. 5, the critical particle c<strong>on</strong>centrati<strong>on</strong> before significant<br />

removal rate is achieved was approximately sixfold greater<br />

for oxide (SiO2) than for copper surfaces. The difference in critical<br />

<strong>on</strong>set c<strong>on</strong>centrati<strong>on</strong>s reveals differences in removal mechanisms and<br />

activati<strong>on</strong> between the two systems. In additi<strong>on</strong> to relative differences<br />

in removal rates <str<strong>on</strong>g>of</str<strong>on</strong>g> oxide and copper films, solid c<strong>on</strong>centrati<strong>on</strong>s<br />

have a tremendous impact <strong>on</strong> overall planarity and interc<strong>on</strong>nect<br />

performance <strong>on</strong> patterned wafers where dielectric and metal<br />

films are exposed simultaneously. C<strong>on</strong>sidering that both dishing and<br />

erosi<strong>on</strong> are functi<strong>on</strong>s <str<strong>on</strong>g>of</str<strong>on</strong>g> bulk removal rates <str<strong>on</strong>g>of</str<strong>on</strong>g> both dielectric and<br />

metal, the planarity may be minimized by tailoring the chemistry to<br />

provide either selective or n<strong>on</strong>selective removal pr<str<strong>on</strong>g>of</str<strong>on</strong>g>iles.<br />

Acknowledgments<br />

The authors thank the Motorola APRDL pilot line and the<br />

PALCOE lab for supporting this work. The authors are especially<br />

grateful to Susan Backer and Charles Stager for their significant<br />

laboratory analysis and technical discussi<strong>on</strong>, respectively.<br />

Motorola assisted in meeting the publicati<strong>on</strong> costs <str<strong>on</strong>g>of</str<strong>on</strong>g> this article.<br />

References<br />

1. F. Prest<strong>on</strong>, J. Soc. Glass Technol., 11, 247 1927.<br />

2. L. M. Cook, J. N<strong>on</strong>-Cryst. Solids, 120, 152 1990.<br />

3. Y. Gotkis and R. Kistler, Abstract 496, The Electrochemical Society Meeting Abstracts,<br />

Vol. 2000-2, Phoenix, AZ, Oct 22-27, 2000.<br />

4. W.-T. Tseng and Y.-L. Wang, J. Electrochem. Soc., 144, L15 1997.<br />

5. F. Zhang and A. Busnaian, Electrochem. Solid-State Lett., 1, 184 1998.<br />

6. B. Zhao and F. Shi, Electrochem. Solid-State Lett., 2, 145 1999.<br />

7. E. Paul, J. Electrochem. Soc., 148, G359 2001.<br />

8. J. M. Steigerwald, S. P. Mararka, and R. J. Gutmann, <strong>Chemical</strong> <strong>Mechanical</strong> Planarizati<strong>on</strong><br />

<str<strong>on</strong>g>of</str<strong>on</strong>g> Microelectr<strong>on</strong>ic Materials, p. 153, John Wiley & S<strong>on</strong>s, New York<br />

1997.<br />

9. F. B. Kaufman, D. B. Thomps<strong>on</strong>, R. E. Brodie, M. A. Jaso, W. L. Guthrie, D. J.<br />

Pears<strong>on</strong>, and M. B. Small, J. Electrochem. Soc., 138, 3460 1991.<br />

10. S. M. Lee, U. Mahajan, Z. Chen, and R. V. Singh, in <strong>Chemical</strong> <strong>Mechanical</strong> Planarizati<strong>on</strong><br />

in IC Device Manufacturing III, R. L. Opila, I. Ali, Y. A. Arimoto, Y.<br />

Homma, C. Reidsema-Simps<strong>on</strong>, and K. B. Sundaram, Editors, PV 99-37, p. 187,<br />

The Electrochemical Society Proceedings Series, Penningt<strong>on</strong>, NJ 2000.<br />

11. R. J. Gutmann, D. J. Duquette, P. S. Dutta, and W. N. Gill, in Proceedings <str<strong>on</strong>g>of</str<strong>on</strong>g> the<br />

6th Internati<strong>on</strong>al CMP-MIC C<strong>on</strong>ference, p.812001.<br />

12. U. Mahajan, M. Bielmann, R. K. Singh, S. V. Babu, S. Danyluk, M. Krishnan, and<br />

M. Tsujimura, Mater. Res. Soc. Symp. Proc., 566, 272000.<br />

13. U. Mahajan, S.-M. Lee, and R. Singh, in <strong>Chemical</strong> <strong>Mechanical</strong> Planarizati<strong>on</strong> in IC<br />

Device Manufacturing III, R. L. Opila, I. Ali, Y. A. Arimoto, Y. Homma, C.<br />

Reidsema-Simps<strong>on</strong>, and K. B. Sundaram, Editors, PV 99-37, p. 396, The Electrochemical<br />

Society Proceedings Series, Penningt<strong>on</strong>, NJ 2000.<br />

14. R. Singh, S.-M. Lee, and W. Choi, Paper I 1.6 presented at the 2002 MRS C<strong>on</strong>ference<br />

<strong>on</strong> <strong>Chemical</strong> <strong>Mechanical</strong> Planarizati<strong>on</strong>, San Francisco, CA, April 1–5,<br />

2002.

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!