20.04.2014 Views

Slides - Microelectronics - ESA

Slides - Microelectronics - ESA

Slides - Microelectronics - ESA

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

ESTEC<br />

Spacecraft Controller On a Chip with LEON3-FT<br />

(SCOC3)<br />

Franck Koebel


ASTRIUM SAS<br />

Agenda<br />

• SCOC1 to SCOC3<br />

• SCOC3 architecture brief presentation<br />

• Development status<br />

• Follow-up activities<br />

• Conclusion<br />

2<br />

SCOC3 – MPSA Round Table – Noordwijk 4 November 2009<br />

This document is the property of Astrium. It shall not be communicated to third parties without prior written agreement. Its content shall not be disclosed.


ASTRIUM SAS<br />

SCOC3 heritage<br />

This document is the property of Astrium. It shall not be communicated to third parties without prior written agreement. Its content shall not be disclosed.<br />

• EADS ASTRIUM has been working on SoC for the last ten years:<br />

• SCOC3 has an heritage based on SCOC1 which was a study made with<br />

<strong>ESA</strong> funding.<br />

• SCOC3 benefits also of SCOC2 which was an internal EADS ASTRIUM<br />

study to continue the previous one.<br />

• These studies showed several key points like internal bus arbitration,<br />

I/O interfaces traffic and memory bandwidth.<br />

• SCOC3 was developed by EADS ASTRIUM under <strong>ESA</strong> contract<br />

supported by CNES and by EADS ASTRIUM internal funding.<br />

3<br />

SCOC3 – MPSA Round Table – Noordwijk 4 November 2009


ASTRIUM SAS<br />

Some SCOC3 features<br />

This document is the property of Astrium. It shall not be communicated to third parties without prior written agreement. Its content shall not be disclosed.<br />

• SCOC3 is a System-On-Chip for Spacecraft Control and Data<br />

Handling, featuring:<br />

• The LEON3-FT processor with GRFPU-FT,<br />

• CCSDS TM/TC interfaces,<br />

• Various on-board interfaces (RMAP Spacewire, CAN, 1553, UART),<br />

• Time management and Event routing.<br />

• Internal communication is distributed over a double AMBA-AHB<br />

buses structure with separate external IO and processor memory<br />

ports. The two buses are linked by inter-bus bridges.<br />

• SCOC3 integrates functions which were before on several<br />

ASICs. It is very attractive : small size in a qualified BGA<br />

package, low power consumption and rad-tolerant technology.<br />

4<br />

SCOC3 – MPSA Round Table – Noordwijk 4 November 2009


ASTRIUM SAS<br />

SCOC3 architecture<br />

CPU MEMORY<br />

3 3 3<br />

17 48<br />

This document is the property of Astrium. It shall not be communicated to third parties without prior written agreement. Its content shall not be disclosed.<br />

TMCLCWCLK<br />

TMCLCWSAMP<br />

TMCLCWD<br />

TMTIMESTRO<br />

TMIQCLK<br />

TMIOUT<br />

TMQOUT<br />

TMUECLK<br />

TMUEOUT<br />

SPWINTTXDO<br />

SPWINTTXSO<br />

SPWINTTXSI<br />

SPWINTTXDI<br />

SPWINTRXDO<br />

SPWINTRXSO<br />

SPWINTRXSI<br />

SPWINTRXDI<br />

SPWXSTRDO<br />

SPWXSTRSO<br />

SPWXSTRSI<br />

SPWXSTRDI<br />

SPWUSTMDO<br />

SPWUSTMSO<br />

SPWUSTMSI<br />

SPWUSTMDI<br />

8<br />

OnChip<br />

MemTM<br />

SCOC3<br />

PTME<br />

SpW<br />

IntTX<br />

SpW<br />

IntRX<br />

SpW<br />

XStrping<br />

SpW<br />

UserTM<br />

HKPF<br />

JTAG &<br />

SCAN<br />

S<br />

S<br />

M<br />

S<br />

M<br />

S<br />

M<br />

S<br />

M<br />

S<br />

CPU function<br />

TM/TC function<br />

S<br />

TCDD<br />

TMTC APB<br />

DMATM<br />

M<br />

SCOC3<br />

Ccsds<br />

TimeMng<br />

2<br />

8<br />

S<br />

S<br />

IO functionalities<br />

General<br />

S<br />

Switch<br />

Matrix<br />

M<br />

2<br />

S<br />

StatsBuffer<br />

IP Monitor<br />

MISC<br />

OnChip<br />

MemTC<br />

M<br />

APBR<br />

TMTC<br />

S<br />

TraceBuffer<br />

S<br />

S<br />

TCR<br />

& CONF<br />

S<br />

M<br />

IO APB<br />

Clock &<br />

Reset<br />

SpW<br />

IPL<br />

M<br />

S<br />

CPU AHB<br />

STATUS<br />

S<br />

FP<br />

CTL<br />

Main clock/Other clock interface<br />

APBR<br />

CPU<br />

S S M<br />

S<br />

S<br />

UART<br />

GP1<br />

M<br />

M<br />

M<br />

HADMA<br />

SpW<br />

SIF<br />

V<br />

IO AHB BUS (arbiter, decoder)<br />

APBR<br />

IO<br />

M<br />

S<br />

S<br />

S<br />

S<br />

S<br />

IO AHB<br />

STATUS<br />

S<br />

UART<br />

GP2<br />

SpW<br />

SIF<br />

O<br />

S<br />

S<br />

AHBR<br />

M<br />

M<br />

SpW<br />

IO<br />

LEON3/<br />

GRFPU<br />

Inst/Data Cache<br />

S<br />

S<br />

M<br />

Timers<br />

CAN<br />

AV<br />

2<br />

CPU APB<br />

S<br />

M S<br />

BC<br />

RT53<br />

AV<br />

CAN/1553 IF<br />

DSU<br />

Inst TraceBuffer<br />

AHBTraceBuffer<br />

CPU AHB BUS (arbiter, decoder)<br />

IO Count: 342<br />

Gates Count:<br />

1800 kgates<br />

S<br />

Fst IRQ S<br />

controller<br />

S<br />

2nd IRQ<br />

controller<br />

2 2 2 2<br />

S<br />

CAN<br />

PL<br />

M S<br />

BC<br />

RT53<br />

PL<br />

CAN/1553 IF<br />

CPU MCTL (SRAM,<br />

SDRAM)<br />

2 2 2<br />

S<br />

S<br />

S<br />

M<br />

S<br />

S<br />

S<br />

S 8<br />

GPIOs<br />

UART<br />

1<br />

UART<br />

DSU<br />

IO MCTL<br />

(SRAM,<br />

SDRAM,<br />

PROMs)<br />

18<br />

48<br />

11<br />

6<br />

3<br />

6<br />

2<br />

3<br />

GPIO<br />

TXUA1<br />

RXUA1<br />

TXUA2<br />

RXUA2<br />

IOADD<br />

IODATA<br />

IOCS_N<br />

IORASRAMCS1_N<br />

IOCASRAMOE_N<br />

IOWERAMCS2_N<br />

IODQMADD18<br />

IOSDRCLK<br />

IOCKE1_2<br />

IOCKE3_4<br />

IOCKE5_6<br />

IOCKE7_8<br />

IOCKE0_9<br />

IORAMWE_N<br />

IOCS0RAMCS0_N<br />

IOCS1RAMCS3_N<br />

IOSGMPCS_N<br />

IOATCPCS_N<br />

IOSWPCS_N<br />

IOBPCS_N<br />

IOPROMOE_N<br />

IOPROMWE_N<br />

IOPROMDIR<br />

IOAREACS_N<br />

IOSWPEN<br />

IOBPEN<br />

IOATCPEN<br />

5<br />

SCOC3 – MPSA Round Table – Noordwijk 4 November 2009


ASTRIUM SAS<br />

A dual AHB bus architecture<br />

This document is the property of Astrium. It shall not be communicated to third parties without prior written agreement. Its content shall not be disclosed.<br />

• Latency and data throughput performances on-chip data transfer<br />

are achieved with a system of two cross-coupled AHB buses (CPU<br />

AHB and IO AHB) =><br />

• Reduced number of bus agents on each bus,<br />

• Improves timing (maximum clock frequency),<br />

• Allows operating both buses at a different clock frequency,<br />

• Dual external IO and processor memory ports to keep maximum<br />

performance of the processor<br />

• Any master on the CPU AHB bus can access to the APB busses as<br />

Master. It is then possible to control the SCOC3 through the UART<br />

DSU interface.<br />

6<br />

SCOC3 – MPSA Round Table – Noordwijk 4 November 2009


ASTRIUM SAS<br />

TM/TC interfaces integration<br />

• One of the main key points of SCOC3 is the integration of the<br />

complete CCSDS TM and TC protocols and interfaces.<br />

This document is the property of Astrium. It shall not be communicated to third parties without prior written agreement. Its content shall not be disclosed.<br />

• TCDD (Packet Telecommand Decoder)<br />

• Provides all functionalities of the TC system<br />

• A local memory permits to deal with authentication<br />

• STME (SCOC3 Packet Telemetry Encoder)<br />

• Provides all functionalities of the ground telemetry system (PTME),<br />

• The functionalities have been wrapped into a single module including<br />

an internal memory buffer.<br />

• SCOC3 may work as a powerful processor with the most<br />

needed peripherals including CCSDS TM/TC or as a whole<br />

CCSDS TM/TC chip.<br />

7<br />

SCOC3 – MPSA Round Table – Noordwijk 4 November 2009


ASTRIUM SAS<br />

Development status and commercialisation<br />

This document is the property of Astrium. It shall not be communicated to third parties without prior written agreement. Its content shall not be disclosed.<br />

• Status:<br />

• SCOC3 is manufactured by ATMEL. Prototypes were received in May<br />

2009.<br />

• After a very thorough and important hardware, software and system<br />

validation, the prototype approval was signed on 27 October 2009<br />

confirming that the first ASIC run was the successful run<br />

• SCOC3 chipset is fully characterised and ready in due course for<br />

EADS ASTRIUM projects (ASTROTERRA, SEOSAT computers) and<br />

also for any platform computers projects.<br />

• Commercialisation:<br />

• SCOC3 chipset will soon be commercially released.<br />

8<br />

SCOC3 – MPSA Round Table – Noordwijk 4 November 2009


ASTRIUM SAS<br />

Choice of package<br />

This document is the property of Astrium. It shall not be communicated to third parties without prior written agreement. Its content shall not be disclosed.<br />

• The SCOC3 ASIC is proposed as baseline with current ATMEL<br />

CCGA472 package, built with NTK interposer (SCI)<br />

• Two quality flow are proposed : QML Q & QML V<br />

• As an alternative to the SCI NTK interposer used with current<br />

ATMEL CCGA package, the SCOC3 could be also procured at LGA<br />

package<br />

• Hermetic package delivered with no column<br />

• Same quality level available : QML Q or QML V<br />

• This alternative is under analysis at ASTRIUM, and would allow to<br />

assemble LGA with different columns as NTK ones.<br />

9<br />

SCOC3 – MPSA Round Table – Noordwijk 4 November 2009


ASTRIUM SAS<br />

SCOC3 gate level characteristics<br />

• SCOC3 matrix: ATC18RHA95_504D (pad limited choice)<br />

This document is the property of Astrium. It shall not be communicated to third parties without prior written agreement. Its content shall not be disclosed.<br />

• Matrix size: 13x13=169 mm²<br />

• Chip size area in the matrix (pads, logic, hard blocks): 57.7 mm²<br />

• Number of logic gates: 1.8 Mgates<br />

• Number of DFFs: 55000<br />

• Number of memory bits: 2.2 Mbits equivalent to 1.8 Mgates<br />

10<br />

SCOC3 – MPSA Round Table – Noordwijk 4 November 2009


ASTRIUM SAS<br />

Follow up activities (1)<br />

This document is the property of Astrium. It shall not be communicated to third parties without prior written agreement. Its content shall not be disclosed.<br />

• Subject to signature of a new <strong>ESA</strong> contract, SCOC3 will be<br />

established as an Application Specific Standard Product<br />

available to all users in the <strong>ESA</strong> member and participating<br />

states, and the following SW tool roadmap will be developed.<br />

• The software activity will consist in developing:<br />

• A hardware software interface layer which is independent of the real<br />

time operating system.<br />

• A board support package adapted to operating system RTEMS<br />

• Driver software functions which allow the use of main input output<br />

peripherals.<br />

• Interface test applications which will be delivered with the provided<br />

board.<br />

• A software environment development and RTEMS operating system<br />

associated tools.<br />

11<br />

SCOC3 – MPSA Round Table – Noordwijk 4 November 2009


ASTRIUM SAS<br />

Follow up activities (2)<br />

• A development environment for SCOC3 will be provided to<br />

standardize the code production tools.<br />

This document is the property of Astrium. It shall not be communicated to third parties without prior written agreement. Its content shall not be disclosed.<br />

• The main part of the environment integrates the tools used for the<br />

development of flight software (C compiler and RTEMS OS).<br />

• SCOC3 technical support definition will also be addressed in<br />

these follow up activities.<br />

• It will be necessary to perform radiation tests according to a<br />

Radiation test plan which is being prepared.<br />

12<br />

SCOC3 – MPSA Round Table – Noordwijk 4 November 2009


ASTRIUM SAS<br />

Customer complementary needs opportunities<br />

This document is the property of Astrium. It shall not be communicated to third parties without prior written agreement. Its content shall not be disclosed.<br />

• SCOC3 chipset may be sold with a SCOC3 simulator under<br />

licensing for customer requiring software development in parallel<br />

with a board.<br />

• Although negotiation is running, a low cost starter kit based on<br />

SCOC3 implementation in a XILINX should be available for<br />

software and systems developments. This starter kit will be funded<br />

by CNES.<br />

• Current developed software run under RTEMS OS.<br />

Other OS might be available depending on funding and needs:<br />

• eCos<br />

• VxWorks up to 6.5<br />

• Linux, ThreadX, Nucleus…<br />

13<br />

SCOC3 – MPSA Round Table – Noordwijk 4 November 2009


ASTRIUM SAS<br />

Conclusion<br />

This document is the property of Astrium. It shall not be communicated to third parties without prior written agreement. Its content shall not be disclosed.<br />

• EADS ASTRIUM has designed, manufactured and tested a high<br />

performance new computer product based on SCOC3 chipset:<br />

• Identified applications are both observation satellites with class 2 EEE<br />

quality level (ASTROTERRA, SEOSAT, …) or with class 1 EEE parts<br />

(Geostationary Telecom)<br />

• The first Prototype Flight Models of the SCOC3 on-board<br />

computer will be delivered by end 2010.<br />

14<br />

SCOC3 – MPSA Round Table – Noordwijk 4 November 2009

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!