19.11.2014 Views

VLSI Engineering - UCSC Extension Silicon Valley

VLSI Engineering - UCSC Extension Silicon Valley

VLSI Engineering - UCSC Extension Silicon Valley

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

<strong>Silicon</strong><strong>Valley</strong><br />

KNOWLEDGE YOU PUT TO WORK<br />

<strong>VLSI</strong><br />

<strong>Engineering</strong><br />

PROGRAM SUMMARY<br />

1<br />

2<br />

3<br />

4<br />

<strong>Silicon</strong> <strong>Valley</strong>'s Most Comprehensive<br />

IC Curricuum<br />

<strong>UCSC</strong> <strong>Extension</strong> in <strong>Silicon</strong> <strong>Valley</strong> offers the <strong>VLSI</strong><br />

(Very Large Scale Integration) <strong>Engineering</strong> Certificate<br />

Program for professionals working in the integrated<br />

circuit, ASIC, semiconductor, EDA, device and system<br />

industries. With more than 20 cutting-edge courses,<br />

our <strong>VLSI</strong> curriculum includes the most complete<br />

integrated circuit courses of any school in the area.<br />

Lab-Based Instructional Environment<br />

At our new Santa Clara facility, students build<br />

practical experience using the latest EDA tools on<br />

Linux, in our fully upgraded state-of-the-art <strong>VLSI</strong> Lab.<br />

Our courses do not just focus on the tools but also<br />

offer an overall understanding of the design<br />

methodology and flows. Studying here, you will<br />

benefit from:<br />

• Compute server with quad-core CPU and<br />

high memory<br />

• Real EDA tools used by professionals in the field<br />

• Labs integrated with lectures<br />

• Real-world test cases for practice<br />

• Lab access whenever our building is open<br />

Keep Pace with Industry Developments<br />

Our instructors are working professionals who are<br />

experts in their disciplines, so course content reflects<br />

what is really going on in the industry. They share<br />

real-world, applied knowledge to help you expand and<br />

solidify your skills. We cover hardware specification,<br />

logic design, verification, synthesis, physical implementation,<br />

circuit design, testing and manufacturing<br />

integrated circuit products.<br />

Benefits of Studying at <strong>UCSC</strong> <strong>Extension</strong><br />

• Hands-on learning of marketable skills<br />

• Up-to-date knowledge of best design practices<br />

• UC-quality curriculum<br />

• Convenient location and schedule<br />

Who Should Attend This Program<br />

We offer the opportunity for individuals to acquire<br />

skills in multiple areas, expand expertise and advance<br />

their careers.<br />

Technical professionals can count on us to provide<br />

the training needed to achieve cost, schedule and<br />

customer requirements on the job.<br />

Entry level engineers can acquire hands-on<br />

knowledge in <strong>VLSI</strong> development while networking<br />

with fellow students and teaching staff.<br />

Free Program Overviews<br />

Embedded Systems<br />

and <strong>VLSI</strong> <strong>Engineering</strong><br />

This free event is a program overview covering<br />

two certificate programs. The <strong>VLSI</strong> <strong>Engineering</strong><br />

Certificate Program is for professionals working<br />

in the integrated circuit, ASIC, semiconductor,<br />

EDA, device and system industries in <strong>Silicon</strong><br />

<strong>Valley</strong>. The Embedded Systems Certificate<br />

Program is for professionals working in the<br />

hardware and system design fields, with<br />

courses in DSP, DV, embedded programming,<br />

hardware architecture, and board design.<br />

Presenters will discuss new developments in<br />

both areas. Curriculum and recommended<br />

course sequence will also be presented. This<br />

course is offered twice a year.<br />

Course Number 20544<br />

Embedded Systems and<br />

<strong>VLSI</strong> <strong>Engineering</strong> Open House<br />

This free event is an informal information session<br />

for new or returning students who are interested<br />

in the Embedded Systems and <strong>VLSI</strong> <strong>Engineering</strong><br />

certificate programs. The evening includes a<br />

short presentation with an overview and highlights<br />

of new courses, followed by an open-floor<br />

discussion with questions and answers of<br />

general interest.The program staff and program<br />

coordinator (or senior instructor) will be<br />

available to answer individual questions about<br />

specific courses, or provide recommendations<br />

for suggested course sequence. This is an<br />

opportunity to receive course counseling for<br />

the coming quarters.<br />

This information session is offered once a year.<br />

Course Number 22403<br />

ucsc-extension.edu/EngTech<br />

Copyright © 2010 The Regents of the University of California. All rights reserved. Not printed or mailed at state expense. 611796-3-08 (3/15/10)


U C S C E X T E N S I O N I N S I L I C O N V A L L E Y — V L S I E N G I N E E R I N G<br />

<strong>VLSI</strong> <strong>Engineering</strong> Certificate<br />

Course Descriptions<br />

1<br />

2<br />

3<br />

4<br />

Certificate Requirements<br />

To obtain the Certificate in Embedded Systems, you<br />

must successfully complete a total of 14 units.<br />

Prerequisites<br />

Prospective students should review prerequisites<br />

that apply to individual courses.<br />

Recommended Course Sequence<br />

Courses may be taken in any order.<br />

Only one course may be shared between two<br />

<strong>Engineering</strong> and Technology certificate programs<br />

unless otherwise noted.<br />

Course<br />

14-unit minimum (Units) Number<br />

Design Methodology<br />

<strong>VLSI</strong> and ASIC Design, Introduction..........(1.5) ......3497<br />

Logic and Functional Design<br />

Logic Synthesis, Introduction ....................(3.0) ......4377<br />

Practical Logic Design by Example ..........(3.0) ....22607<br />

Practical DFT Concepts for ASIC:<br />

With Nanometer Test Enhancements........(3.0) ......5373<br />

Embedded System-on-Chip Design<br />

Using Verilog ............................................(3.0) ....21959<br />

IO Concepts and Protocols: PCI Express,<br />

Ethernet, and Fibre Channel ....................(3.0) ....22177<br />

SystemVerilog and Verification<br />

Design Simulation with Verilog<br />

and System Verilog ..................................(3.0) ......6932<br />

Digital Design Using Verilog ....................(3.0) ......0764<br />

SystemVerilog for Logic Synthesis<br />

and Simulation..........................................(3.0) ....20095<br />

SystemVerilog Assertions<br />

for Design Verification ..............................(3.0) ....20062<br />

Analog IC Design, Introduction<br />

This course introduces analog IC design fundamentals<br />

including single/multiple-transistor amplifiers, current<br />

mirrors, current/voltage reference, output stages,<br />

frequency response, feedback, stability, noise,<br />

nonlinearity, and mismatches. Transistor models and<br />

CAD tools for analog design will also be covered.<br />

Students will gain a basic understanding of analog<br />

IC design and become familiar with circuit analysis<br />

and simulation tool flow.The fundamentals presented<br />

in this course prepare students to tackle advanced<br />

analog IC topics such as Op-amp, PLL, ADC and DAC.<br />

Course Number 3799<br />

ASIC Physical Design Using IC Compiler<br />

This course is an introduction to ASIC physical design<br />

and covers the basics of the floorplanning, place<br />

and route, RC extraction and layout verification flows.<br />

The course includes test case exercises in the lab.<br />

Topics include flat and hierarchical floorplanning,<br />

placement, physical synthesis, clock-tree synthesis,<br />

routing, post-route optimization, static timing analysis,<br />

and timing closure techniques. Students will acquire<br />

hands-on experience with the backend physical<br />

design flows from a synthesized netlist all the way<br />

to layout completion ready for ASIC chip tapeout.<br />

Course Number 4436<br />

SystemVerilog for Advanced<br />

Design Verification....................................(3.0) ....18966<br />

ASIC Physical Design, Advanced<br />

Mixed-signal IC Verification<br />

with Verilog-AMS......................................(3.0) ....22183<br />

Physical Design and Timing Closure<br />

ASIC Physical Design Using<br />

IC Compiler ..............................................(3.0) ......4436<br />

ASIC Physical Design, Advanced ..............(3.0) ......0634<br />

Static Timing Analysis Using<br />

PrimeTime ................................................(3.0) ......4775<br />

Circuit Design<br />

Low-Power Design of Nano-Scale<br />

Digital Circuits ..........................................(3.0) ....21941<br />

Analog IC Design, Introduction ................(3.0) ......3799<br />

Mixed-Signal IC Design ............................(3.0) ......1999<br />

PLL and Clock/Data Recovery Circuits ......(3.0) ......2283<br />

Digital Baseband Communications:<br />

Fundamentals of PHY Transmission..........(3.0) ....22628<br />

Technology, Manufacturing and Test<br />

IC Manufacturing, Introduction ................(1.5) ......2496<br />

Renewable Energy....................................(3.0) ....22410<br />

This course covers the advanced topics of ASIC<br />

front-to-back design automation. It introduces<br />

backend design and low power techniques in<br />

65nm technologies and beyond.Topics include floorplanning<br />

considerations, physical design synthesis,<br />

timing closure after detail route, RC extraction<br />

and static timing analysis, congestion analysis, IR<br />

drop, signal integrity, power planning and analysis.<br />

Instructor will share his extensive experience in<br />

ASIC implementation over many generations and<br />

will also provide lab exercises for students to<br />

practice some techniques learned in class.<br />

Course Number 0634<br />

Design Simulation with Verilog<br />

and SystemVerilog<br />

This course covers basic Verilog language. It introduces<br />

students to the digital simulation process with<br />

hands-on exercises using the simulation tool in the<br />

lab. Discussions cover simulation techniques such as<br />

coding style, event ordering, delta cycle debugging,<br />

zero width glitch, race conditions, time slices,<br />

conditional compilation, simulation performance<br />

and code coverage. The second half of the course<br />

introduces the SystemVerilog language including<br />

syntax and semantics.<br />

Course Number 6932<br />

Check our catalog for new<br />

and advanced courses.<br />

Copyright © 2010 The Regents of the University of California. All rights reserved. Not printed or mailed at state expense. 611796-3-08 (3/15/10)


U C S C E X T E N S I O N I N S I L I C O N V A L L E Y — V L S I E N G I N E E R I N G<br />

Digital Design Using Verilog<br />

Logic Synthesis, Introduction<br />

PLL and Clock/Data Recovery Circuits<br />

1<br />

2<br />

3<br />

4<br />

This course prepares students for designing logic<br />

circuits with the Verilog Hardware Description<br />

Language and covers examples from simple gatelevel<br />

models to more complex RTL/behavioral<br />

models. The course will highlight structural and<br />

functional modeling techniques, and verification<br />

methods using Verilog HDL. Students write Verilog<br />

code for various hierarchical Verilog models and<br />

develop test benches and simulate their designs.<br />

The course introduces concepts to describe hardware<br />

using a language instead of drawing schematics,<br />

and prepares students for courses in design, synthesis,<br />

testability and verification. Other topics include<br />

modeling tips and techniques for debugging,<br />

synthesis, and high-performance simulation.<br />

Course Number 0764<br />

Embedded System-on-Chip Design<br />

Using Verilog<br />

This course introduces the SOC (System-on-Chip)<br />

with a focus on implementing embedded hardware<br />

system using Verilog. The course covers the SOC<br />

concept and the basic embedded system architecture,<br />

then discusses combinational and sequential designs<br />

in datapath and control path with clocked timing<br />

methodology. Students learn the integrated design<br />

of embedded memories, customized microcontroller<br />

core and I/O interface, the design methodology of<br />

RTL flow, synthesis, optimization and Design for<br />

Test on chips.<br />

Course Number 21959<br />

Introduction to IC Manufacturing<br />

This course presents an overview of the process<br />

used to manufacture integrated circuits and the<br />

various steps involved in the fabrication, assembly<br />

and testing of complex <strong>VLSI</strong> devices. Each step of<br />

the process is discussed in detail as to its purpose<br />

and implementation as well as the real-world<br />

and technical problems associated with the step.<br />

The interrelationships between these steps are<br />

also covered. Every step from tape-out to volume<br />

production is discussed.<br />

Course Number 2496<br />

This course outlines various concepts of logic<br />

synthesis. Starting from the basics of synthesis, the<br />

course explains the Synopsys tools and their use<br />

in synthesizing high-level language into gates.<br />

It also covers various options such as partitioning,<br />

design, gate-level optimization, time/area constraints<br />

and library management. This course is intended for<br />

design engineers with some knowledge of hardware<br />

description languages such as Verilog HDL or VHDL.<br />

It is a lab-based course with hands-on exercises.<br />

Course Number 4377<br />

Low-Power Design of Nano-Scale<br />

Digital Circuits<br />

This course introduces advanced topics in nano-scale<br />

(below 90nm) <strong>VLSI</strong> device and circuit design. Highperformance<br />

and low-power design issues in<br />

modern and future nano-scale CMOS technologies<br />

are discussed in detail. Students will learn the<br />

low power design approaches and techniques at<br />

different levels of abstraction. New design techniques<br />

will be introduced to deal with nano circuit<br />

designs under excessive leakage and process<br />

variations. Several non-classical CMOS devices for<br />

circuit design in such technologies will be explored.<br />

Prospects of future non-silicon nanotechnologies<br />

will be reviewed.<br />

Course Number 21941<br />

Mixed-Signal IC Design<br />

This course helps students understand basic analog<br />

circuits and systems, and problems encountered when<br />

analog circuits share substrate with digital circuits.<br />

Students also are made aware of precautionary<br />

measures and techniques used to circumvent these<br />

problems. Topics include: MOS transistors, basic<br />

analog building blocks, phase-locked-loop circuits,<br />

sample and hold circuits, comparator design, A/D<br />

and D/A converters, and layout considerations in<br />

mixed-signal circuits. This course is intended for<br />

practicing engineers and design managers who<br />

want to understand analog circuit and layout<br />

techniques in mixed-signal IC design.<br />

Course Number 1999<br />

Phase-locked-loop (PLL) circuits are used extensively<br />

in system and chip designs for frequency<br />

multiplication, data extraction, and re-timing<br />

purposes. This course provides students with the<br />

knowledge required for analysis and design of PLL<br />

circuits and their applications in clock and datarecovery<br />

circuits. The instructor will discuss various<br />

components involved in the design of a PLL circuit.<br />

Topics include transceiver design, high-speed I/O,<br />

ring and LC oscillators, charge-pump PLL, practical<br />

issues at transistor-level design, noise and jitter in<br />

PLL, delay-locked loop, frequency multiplier, and<br />

clock and data recovery circuits.<br />

Course Number 2283<br />

Practical DFT Concepts for ASICs:<br />

With Nanometer Test Enhancements<br />

This hands-on course first builds a solid foundation<br />

in scan-based design, testing, and pattern generation<br />

(ATPG), using Synopsys RTL DRC, DFT Compiler and<br />

TetraMAX. It then explores nanometer enhancements<br />

and recent trends in testing, including bridging and<br />

delay fault models, BIST logic, source-synchronous<br />

clocking to overcome I/O bandwidth limitations on the<br />

ATE, physical design modifications such as scan-chain<br />

reordering, and digital (IEEE 1149.1) and on-chip<br />

analog (1149.4) boundary-scan. This course is ideal<br />

for IC designers and test engineers wanting to stay<br />

current with emerging test trends and tools.<br />

Course Number 5373<br />

Practical Logic Design By Example<br />

This course teaches the logic design of real-world<br />

digital systems. The emphasis is on how to break<br />

down a complex digital design specification, logic<br />

design of the sub-designs, and integration into<br />

the top level design, validated with respect to the<br />

specification. The course goes deep into the logic<br />

design of common to re-useable sub-systems. There<br />

will be a guided project to design a complete digital<br />

system from specification to validation. Students<br />

will also learn the concepts of designing for speed,<br />

power, area, testability, cost, and physical design.<br />

Course Number 22607<br />

IO Concepts and Protocols:<br />

PCI Express, Ethernet, and Fibre Channel<br />

This course focuses on IO technologies and walks<br />

students through the complexities of IO subsystems<br />

in modern computers, and the networking and<br />

storage subsystems to which they are attached.<br />

After an introduction to the basic concepts of IO,<br />

we will delve into the details of PCI Express, Ethernet<br />

and Fibre Channel. Discussion will include operation<br />

and protocols and an exploration of how these<br />

technologies work. We will follow an application’s<br />

IO request all the way from the system call, to when<br />

the data actually makes it out the wire.<br />

Course Number 22177<br />

Mixed Signal IC Verification<br />

with Verilog-AMS<br />

With growing product functionality, more mixed-signal<br />

designs are emerging. This course is intended to<br />

provide students with mixed-signal IC design and<br />

verification experience. Students will learn the<br />

fundamental concepts via practical application and<br />

hands-on experience. The course begins with digital<br />

and analog design concepts, Verilog and Verilog-<br />

AMS basics, and mixed-signal interfaces. It will also<br />

cover simulation techniques, debugging tips, behavior<br />

modeling, system-level integration, and verification<br />

strategies. Students will develop a complex mixedsignal<br />

design using Verilog-AMS in the lab, and then<br />

learn how to verify it.<br />

Course Number 22183<br />

Renewable Energy<br />

This survey course provides engineers, managers<br />

and technical professionals with a foundation in<br />

the basic principles of renewable energy and its<br />

associated industries. In preparation for advanced<br />

study, or to pursue new careers or ventures, students<br />

will gain a solid understanding of the fundamentals,<br />

opportunities, challenges, and limitations of each of<br />

the seven major forms of clean renewable energy:<br />

solar, wind, biomass, hydro, ocean (tidal, wave)<br />

and geothermal. The course will also cover energy<br />

industry management and policy issues, such as<br />

new solar, wind companies, carbon emissions,<br />

California Solar Initiative, and the Kyoto Protocol.<br />

Course Number 22410<br />

Copyright © 2010 The Regents of the University of California. All rights reserved. Not printed or mailed at state expense. 611796-3-08 (3/15/10)


U C S C E X T E N S I O N I N S I L I C O N V A L L E Y — V L S I E N G I N E E R I N G<br />

1<br />

2<br />

3<br />

4<br />

Static Timing Analysis Using PrimeTime<br />

This course introduces various approaches to<br />

Static Timing Analysis (STA). PrimeTime is the gold<br />

standard in timing closure and sign-off. In this<br />

course, PrimeTime is used in our <strong>VLSI</strong> lab, where<br />

its application to the entire design flow (from postsynthesis<br />

gate level design to post-route design)<br />

is examined. Topics include constraints, assertions,<br />

exception definition, delay calculation, and<br />

advanced timing analysis features. Design engineers<br />

completing this course will be able to perform<br />

Static Timing Analysis using PrimeTime in several<br />

phases of the integrated circuit design process.<br />

Course Number 4775<br />

SystemVerilog Assertions<br />

for Design Verification<br />

As more functionality is packed into denser chips,<br />

verification is becoming a daunting task. Leading<br />

design and verification teams are using the power<br />

of assertions to manage their verification challenge.<br />

With the ratification of SystemVerilog language by<br />

IEEE (IEEE 1800), there has been standardization<br />

of assertion language. This course teaches students<br />

details from language constructs to assertion coding<br />

guidelines to practical examples of how to use<br />

assertions in their verification task. The course<br />

also covers methodology choices and introduces<br />

assertions in formal context.<br />

Course Number 20062<br />

SystemVerilog for Advanced<br />

Design Verification<br />

This course prepares students for designing and<br />

implementing coverage-driven, constrained-random<br />

verification environments using the latest techniques<br />

for testing complex integrated circuits. The course<br />

is based on the advanced verification features of<br />

the SystemVerilog hardware design and verification<br />

language. The latest architectural methodologies<br />

and techniques available for verification are covered.<br />

Concepts introduced in class are reinforced in the<br />

<strong>UCSC</strong> <strong>Extension</strong> SystemVerilog lab where students<br />

implement a verification environment for an audio<br />

application. Topics include hardware verification<br />

evolution and test-bench automation methodology;<br />

random, constrained, and directed testing; testbench<br />

re-use; constrained randomization stimulus<br />

and measuring coverage; and layered test-bench<br />

and object-oriented design.<br />

Course Number 18966<br />

SystemVerilog for Logic Synthesis<br />

and Simulation<br />

For hardware designers, SystemVerilog offers<br />

new capabilities in synthesis, RTL assertions, and<br />

pre-silicon emulation modeling. SystemVerilog is<br />

far more capable than just Verilog coupled with a<br />

++ operator. This lab course calls upon students to<br />

write SystemVerilog code and synthesize the logic<br />

at 90 nm. It introduces hardware engineers to the<br />

use of struct, enum, and 2- or 3-D arrays. In the<br />

final lab, students synthesize a video-acquisition<br />

module using an “interface” unit for on-chip busing.<br />

Students will also embed design assertions into<br />

their RTL code, and then simulate at the block level.<br />

Course Number 20095<br />

<strong>VLSI</strong> and ASIC Design, Introduction<br />

This course provides the fundamentals of <strong>VLSI</strong><br />

and ASIC design, and an overview of the different<br />

ASIC architectures, design methodologies and<br />

design tools. Topics include an introduction to<br />

ASIC architecture; ASIC design flows; CAE tools<br />

and requirements for design entry, simulation and<br />

layout; packaging technology and related issues;<br />

simulation and sign-off requirements; test and<br />

testability; and guidelines for evaluating and<br />

selection of ASIC vendors.<br />

Course Number 3497<br />

About <strong>UCSC</strong> <strong>Extension</strong><br />

in <strong>Silicon</strong> <strong>Valley</strong><br />

The vital learning community at<br />

<strong>UCSC</strong> <strong>Extension</strong> in <strong>Silicon</strong> <strong>Valley</strong><br />

is well known for its collegial<br />

atmosphere and rigorous<br />

preparation. Our faculty of<br />

expert practitioners teaches<br />

state-of-the-art solutions to the<br />

everyday problems confronting<br />

technology professionals working<br />

in <strong>Silicon</strong> <strong>Valley</strong>. The professional<br />

education programs we offer<br />

build expertise, open doors to new<br />

opportunity, and deliver tangible<br />

value. Our broad portfolio of<br />

open-enrollment courses and<br />

certificates, affordable pricing,<br />

experience-based instruction,<br />

and central location in <strong>Silicon</strong><br />

<strong>Valley</strong> help turn jobs into careers.<br />

Copyright © 2010 The Regents of the University of California. All rights reserved. Not printed or mailed at state expense. 611796-3-08 (3/15/10)

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!