Synopsys University Program - Power.org
Synopsys University Program - Power.org
Synopsys University Program - Power.org
You also want an ePaper? Increase the reach of your titles
YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.
ASIA<br />
POWER<br />
ARCHITECTURE<br />
CONFERENCE<br />
SHENZHEN, CHINA<br />
1 SEPTEMBER 2011<br />
THINKPOWER<br />
CELEBRATING 20 YEARS OF POWER ARCHITECTURE INNOVATION<br />
Advancing Engineering Education<br />
with <strong>Power</strong> Architecture<br />
Vazgen Melikyan<br />
Director, <strong>Synopsys</strong> Armenia Education Department<br />
Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc., Reg. U.S. Pat. & Tm. Off. The <strong>Power</strong> Architecture and <strong>Power</strong>.<strong>org</strong> word marks and the <strong>Power</strong> and <strong>Power</strong>.<strong>org</strong> logos and related marks are trademarks and service marks licensed by <strong>Power</strong>.<strong>org</strong>.
About <strong>Synopsys</strong> <strong>University</strong><br />
<strong>Program</strong>
<strong>Synopsys</strong> Worldwide <strong>University</strong> <strong>Program</strong><br />
Mission<br />
<strong>Synopsys</strong>’ worldwide university program provides<br />
industry-leading tools and resources for teaching and academic<br />
research to universities around the globe to train industry-ready<br />
graduates with the knowledge and skills required to meet the<br />
needs of the global semiconductor industry<br />
Global Presence<br />
• 1375 <strong>University</strong> Sites Worldwide<br />
• 60+ countries<br />
• Global Distribution:<br />
~355 in North & South America ~600 in Europe & Middle East<br />
~345 in Asia Pacific &India ~75 in Japan<br />
Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc., Reg. U.S. Pat. & Tm. Off. The <strong>Power</strong> Architecture and <strong>Power</strong>.<strong>org</strong> word marks and the <strong>Power</strong> and <strong>Power</strong>.<strong>org</strong> logos and related marks are trademarks and service marks licensed by <strong>Power</strong>.<strong>org</strong>.
Educating Tomorrow’s Engineers Today<br />
• <strong>Synopsys</strong> managed degree programs<br />
• State-of-the-art curriculum<br />
• Graduates are productive on day one<br />
• Accredited in three countries<br />
• Adopted in seven countries<br />
• Industry-standard tools & curriculum available to<br />
universities<br />
• Unique programs<br />
• President’s awards<br />
• Microelectronics Olympiads<br />
• Charles Babbage Grant<br />
<strong>Synopsys</strong> <strong>University</strong> <strong>Program</strong><br />
>72 licensed sites in China<br />
Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc., Reg. U.S. Pat. & Tm. Off. The <strong>Power</strong> Architecture and <strong>Power</strong>.<strong>org</strong> word marks and the <strong>Power</strong> and <strong>Power</strong>.<strong>org</strong> logos and related marks are trademarks and service marks licensed by <strong>Power</strong>.<strong>org</strong>.
State-of-the-Art Curriculum<br />
Includes:<br />
Syllabus<br />
Lectures<br />
Labs<br />
Homework<br />
Exams<br />
Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc., Reg. U.S. Pat. & Tm. Off. The <strong>Power</strong> Architecture and <strong>Power</strong>.<strong>org</strong> word marks and the <strong>Power</strong> and <strong>Power</strong>.<strong>org</strong> logos and related marks are trademarks and service marks licensed by <strong>Power</strong>.<strong>org</strong>.
Users of <strong>Synopsys</strong> Curriculum<br />
And hundreds more!…<br />
Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc., Reg. U.S. Pat. & Tm. Off. The <strong>Power</strong> Architecture and <strong>Power</strong>.<strong>org</strong> word marks and the <strong>Power</strong> and <strong>Power</strong>.<strong>org</strong> logos and related marks are trademarks and service marks licensed by <strong>Power</strong>.<strong>org</strong>.
<strong>Synopsys</strong> 90nm Education Design Kit (EDK)<br />
Free of IP Restrictions<br />
*<br />
* Requires separate license through IBM Academic Initiative<br />
Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc., Reg. U.S. Pat. & Tm. Off. The <strong>Power</strong> Architecture and <strong>Power</strong>.<strong>org</strong> word marks and the <strong>Power</strong> and <strong>Power</strong>.<strong>org</strong> logos and related marks are trademarks and service marks licensed by <strong>Power</strong>.<strong>org</strong>.
<strong>Synopsys</strong> <strong>University</strong> <strong>Program</strong> Tools Package<br />
Comprehensive RTL-2-GDSII & more suite of design tools<br />
HSPICE <br />
Hercules <br />
StarRC <br />
Milkyway Environment <br />
Liberty NCX <br />
Library Compiler <br />
coreBuilder <br />
coreAssembler <br />
VCS <br />
Design Compiler <br />
DFT Compiler <br />
HDL Compiler <br />
<strong>Power</strong> Compiler <br />
Formality <br />
TetraMAX <br />
IC Compiler <br />
Physical Compiler <br />
PrimeTime SI <br />
Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc., Reg. U.S. Pat. & Tm. Off. The <strong>Power</strong> Architecture and <strong>Power</strong>.<strong>org</strong> word marks and the <strong>Power</strong> and <strong>Power</strong>.<strong>org</strong> logos and related marks are trademarks and service marks licensed by <strong>Power</strong>.<strong>org</strong>.
Why <strong>Power</strong> Architecture for<br />
Education?
Requirements for Education<br />
• Real-world hardware IP<br />
• Education Design Kits (EDK’s) free from IP restrictions<br />
• RTL-2-GDS EDA design tools from industry-leading supplier<br />
Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc., Reg. U.S. Pat. & Tm. Off. The <strong>Power</strong> Architecture and <strong>Power</strong>.<strong>org</strong> word marks and the <strong>Power</strong> and <strong>Power</strong>.<strong>org</strong> logos and related marks are trademarks and service marks licensed by <strong>Power</strong>.<strong>org</strong>.
Attraction of <strong>Power</strong> Architecture for Education<br />
• Implements modern technologies<br />
• Enables education using more real-world complex designs<br />
• Can be used as basis for exploring novel architectures and technologies<br />
Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc., Reg. U.S. Pat. & Tm. Off. The <strong>Power</strong> Architecture and <strong>Power</strong>.<strong>org</strong> word marks and the <strong>Power</strong> and <strong>Power</strong>.<strong>org</strong> logos and related marks are trademarks and service marks licensed by <strong>Power</strong>.<strong>org</strong>.
<strong>Power</strong>PC 405 for Education<br />
Available for license through IBM Academic Initiative to<br />
qualified <strong>Synopsys</strong> <strong>University</strong> <strong>Program</strong> members<br />
Complete Synthesizable Solution<br />
• Specification<br />
• Design RTL (Verilog)<br />
• Synthesis scripts<br />
• Verification test suites<br />
• Full simulation environment<br />
Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc., Reg. U.S. Pat. & Tm. Off. The <strong>Power</strong> Architecture and <strong>Power</strong>.<strong>org</strong> word marks and the <strong>Power</strong> and <strong>Power</strong>.<strong>org</strong> logos and related marks are trademarks and service marks licensed by <strong>Power</strong>.<strong>org</strong>.
<strong>Synopsys</strong> 90nm EDK support for <strong>Power</strong> Architecture<br />
EDK updated to include necessary memories<br />
for <strong>Power</strong>PC 405*-based curriculum<br />
• SRAMs were designed and added to EDK<br />
• Four cache size configurations<br />
• 32-bit<br />
• Fully associative translation lookaside<br />
buffer<br />
Courseware developed based on <strong>Power</strong>PC 405<br />
• Complete RTL-2-GDSII design flow course<br />
using <strong>Synopsys</strong> Tools<br />
* Requires separate license through IBM Academic Initiative<br />
Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc., Reg. U.S. Pat. & Tm. Off. The <strong>Power</strong> Architecture and <strong>Power</strong>.<strong>org</strong> word marks and the <strong>Power</strong> and <strong>Power</strong>.<strong>org</strong> logos and related marks are trademarks and service marks licensed by <strong>Power</strong>.<strong>org</strong>.
90nm EDK Design Flow Using <strong>Synopsys</strong> Design Tools<br />
Updated to support RTL-2-GDSII <strong>Power</strong> Architecture curriculum<br />
• Added support for design of 4 different cache configurations<br />
• Updated to work with synthesizable <strong>Power</strong>PC 405* constraints and scripts<br />
* Requires separate license through IBM Academic Initiative<br />
Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc., Reg. U.S. Pat. & Tm. Off. The <strong>Power</strong> Architecture and <strong>Power</strong>.<strong>org</strong> word marks and the <strong>Power</strong> and <strong>Power</strong>.<strong>org</strong> logos and related marks are trademarks and service marks licensed by <strong>Power</strong>.<strong>org</strong>.
Use of <strong>Power</strong> Architecture in<br />
<strong>Synopsys</strong> Curriculum
<strong>Power</strong> Architecture use in <strong>Synopsys</strong> Curriculum<br />
<strong>Power</strong>PC-based Digital Design Course<br />
Based on the synthesizable <strong>Power</strong>PC 405* using the <strong>Synopsys</strong> 90nm EDK<br />
Implements complete digital design flow using <strong>Synopsys</strong> EDA tools and<br />
includes:<br />
• Lectures covering RTL-to-GDSII design steps in the flow<br />
• Labs that highlight the logic and physical synthesis steps in the design flow<br />
<strong>Power</strong> Architecture Digital Design course and 90nm EDK are available for<br />
download at <strong>Synopsys</strong>.com with a SolvNet id and password<br />
• https://www.synopsys.com/apps/protected/university/members.html<br />
* Requires separate license through IBM Academic Initiative<br />
Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc., Reg. U.S. Pat. & Tm. Off. The <strong>Power</strong> Architecture and <strong>Power</strong>.<strong>org</strong> word marks and the <strong>Power</strong> and <strong>Power</strong>.<strong>org</strong> logos and related marks are trademarks and service marks licensed by <strong>Power</strong>.<strong>org</strong>.
<strong>Power</strong> Architecture Digital Design Course Lectures<br />
• Introduction to Digital Design Flow<br />
• Data Preparation for Design Compiler<br />
(DC)<br />
• Importing the Design into DC Design<br />
Constraints<br />
• Design Mapping and Writing Results<br />
• Data Preparation for IC Compiler (ICC)<br />
• Design Importing, Floorplanning,<br />
<strong>Power</strong> Planning and FP Placement<br />
• Placement<br />
• Clock Tree Synthesis<br />
• Route and Finishing<br />
• Working with final data<br />
Physical Synthesis (2)<br />
IC Compiler<br />
<strong>Synopsys</strong> <strong>University</strong> Courseware<br />
© 2010 <strong>Synopsys</strong>, Inc.<br />
Developed By: Vazgen Melikyan<br />
Command for Routing (1)<br />
-effort<br />
-xtalk_reduction<br />
-only_xtalk_reduction<br />
-skip_initial_route<br />
-stage<br />
-power<br />
route_opt<br />
-incremental<br />
-size_only<br />
-optimize_wire_via<br />
-area_recovery<br />
-wire_size<br />
-only_wire_size<br />
Invoke ICC<br />
Data preparation<br />
Floorplanning<br />
<strong>Power</strong> Planning<br />
Placement<br />
CTS<br />
Routing<br />
Finishing<br />
Results (.v,.gds, .spef)<br />
Incremental Routing<br />
<strong>Synopsys</strong> <strong>University</strong> Courseware<br />
© 2010 <strong>Synopsys</strong>, Inc.<br />
Developed By: Vazgen Melikyan<br />
<strong>Synopsys</strong> <strong>University</strong> Courseware<br />
© 2010 <strong>Synopsys</strong>, Inc.<br />
Developed By: Vazgen Melikyan<br />
To switch on incremental<br />
mode, select Incremental<br />
mode.<br />
Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc., Reg. U.S. Pat. & Tm. Off. The <strong>Power</strong> Architecture and <strong>Power</strong>.<strong>org</strong> word marks and the <strong>Power</strong> and <strong>Power</strong>.<strong>org</strong> logos and related marks are trademarks and service marks licensed by <strong>Power</strong>.<strong>org</strong>.
<strong>Power</strong> Architecture Digital Design Course Labs<br />
• Data preparation for normal operation in DC GUI<br />
• Importing design into DC. Design constraints<br />
• Design synthesis using technology specific libraries<br />
• Data preparation for normal operation in ICC<br />
• Design synthesis using technology specific libraries<br />
• Placement<br />
• Clock tree synthesis<br />
• Route<br />
• Finishing<br />
Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc., Reg. U.S. Pat. & Tm. Off. The <strong>Power</strong> Architecture and <strong>Power</strong>.<strong>org</strong> word marks and the <strong>Power</strong> and <strong>Power</strong>.<strong>org</strong> logos and related marks are trademarks and service marks licensed by <strong>Power</strong>.<strong>org</strong>.
<strong>Power</strong> Architecture Lab Example (1)<br />
Lab 2: Importing design into DC, Design constraints<br />
2.1 Invoking DC<br />
2.2 Reading the design<br />
2.3 Sourcing constraints<br />
Screens Shots of Steps<br />
.<br />
.<br />
Design Constraints<br />
## CLOCK BASICS<br />
create_clock -name "clock" -period $PERIOD [get_ports clock]<br />
set_clock_latency $CLOCK_LATENCY [get_clocks clock ]<br />
set_clock_uncertainty 0.3 [get_clocks clock ]<br />
set_clock_transition 0.4 [get_clocks clock ]<br />
## IN/OUT<br />
set INPUTPORTS [remove_from_collection [all_inputs] [get_ports<br />
clock ]]<br />
set OUTPUTPORTS [all_outputs]<br />
set_input_delay -clock "clock" -max $INPUT_DELAY $INPUTPORTS<br />
set_output_delay -clock "clock" -max $OUTPUT_DELAY $OUTPUTPORTS<br />
set_input_delay -clock "clock" -min $MIN_IO_DELAY $INPUTPORTS<br />
set_output_delay -clock "clock" -min $MIN_IO_DELAY $OUTPUTPORTS<br />
.<br />
.<br />
Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc., Reg. U.S. Pat. & Tm. Off. The <strong>Power</strong> Architecture and <strong>Power</strong>.<strong>org</strong> word marks and the <strong>Power</strong> and <strong>Power</strong>.<strong>org</strong> logos and related marks are trademarks and service marks licensed by <strong>Power</strong>.<strong>org</strong>.
<strong>Power</strong> Architecture Example (2)<br />
Lab 6: Placement<br />
Screens Shots of Steps<br />
6.1 Invoke ICC<br />
6.2 Read design<br />
6.3 Floorplan<br />
6.4 Perform power planning<br />
6.5 FP placement<br />
6.6 Placement<br />
Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc., Reg. U.S. Pat. & Tm. Off. The <strong>Power</strong> Architecture and <strong>Power</strong>.<strong>org</strong> word marks and the <strong>Power</strong> and <strong>Power</strong>.<strong>org</strong> logos and related marks are trademarks and service marks licensed by <strong>Power</strong>.<strong>org</strong>.
Summary
Summary<br />
• Access to real world designs and open design kits is vital for<br />
educational purposes<br />
• <strong>Synopsys</strong> has developed an open EDK and educational materials<br />
designed to be used with the synthesizable <strong>Power</strong>PC 405 licensed<br />
through the IBM Academic Initiative<br />
• Use of this licensed IP and educational material provides practical<br />
real world design experience leading to productive graduates on day<br />
one<br />
Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc., Reg. U.S. Pat. & Tm. Off. The <strong>Power</strong> Architecture and <strong>Power</strong>.<strong>org</strong> word marks and the <strong>Power</strong> and <strong>Power</strong>.<strong>org</strong> logos and related marks are trademarks and service marks licensed by <strong>Power</strong>.<strong>org</strong>.
Thank You!<br />
Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc., Reg. U.S. Pat. & Tm. Off. The <strong>Power</strong> Architecture and <strong>Power</strong>.<strong>org</strong> word marks and the <strong>Power</strong> and <strong>Power</strong>.<strong>org</strong> logos and related marks are trademarks and service marks licensed by <strong>Power</strong>.<strong>org</strong>.