11.07.2015 Views

RTL8029AS Realtek PCI Full-Duplex Ethernet ... - Cornelius-Consult

RTL8029AS Realtek PCI Full-Duplex Ethernet ... - Cornelius-Consult

RTL8029AS Realtek PCI Full-Duplex Ethernet ... - Cornelius-Consult

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

<strong>RTL8029AS</strong> PreliminaryAll bits correspond to the bits in the ISR register. POWER UP=all 0s. Setting individual bits will enable thecorresponding interrupts.DCR: Data Configuration Register (0EH; Type=W in Page0, Type=R in Page2)Bit Symbol Description7 - Always 16, 5 FT1, FT0 FIFO threshold select bit 1 and 0.4 ARM Auto-initialize Remote0: Send Packet Command not executed.1: Send Packet Command executed.3 LS Loopback Select0: Loopback mode selected. Bits 1 and 2 of the TCR must also be programmed forLoopback operation.1: Normal Operation2 LAS This bit must be set to zero. NIC only supports dual 16-bit DMA mode.POWER UP =11 BOS Byte Order Select0: MS byte placed on MD15-8 and LS byte on MD7-0. (32xxx,80x86)1: MS byte placed on MD7-0 and LS byte on MD15-8. (680x0)0 WTS Word Transfer Select0: byte-wide DMA transfer1: word-wide DMA transferTCR: Transmit Configuration Register (0DH; Type=W in Page0, Type=R in Page2)Bit Symbol Description7-5 - Always 1.4 OFST Collision Offset Enable.3 ATD Auto Transmit Disable.0: normal operation1: reception of multicast address hashing to bit 62 disables transmitter,reception of multicast address hashing to bit 63 enables transmitter.2, 1 LB1, LB0LB1 LB0 Mode Remark0 0 0 Normal Operation0 1 1 Internal Loopback1 0 2 External Loopback1 1 3 External Loopback0 CRC The NIC CRC logic comprises a CRC generator for transmitter and a CRC checker forreceiver. This bit controls the activity of the CRC logic. If this bit set, CRC isinhibited by transmitter. Otherwise CRC is appended by transmitter.ConditionsCRC Logic ActivitiesCRC Bit Mode CRC Generator CRC Checker0 normal enabled enabled1 normal disabled enabled0 loopback enabled disabled1 loopback disabled enabledLS009.01997.01.1614Tel: +49(0)234-9351135 · Fax: +49(0)234-9351137 E-MAIL: info@cornelius-consult.de http://www.cornelius-consult.de

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!