05.11.2012 Aufrufe

Das RAM

Das RAM

Das RAM

MEHR ANZEIGEN
WENIGER ANZEIGEN

Erfolgreiche ePaper selbst erstellen

Machen Sie aus Ihren PDF Publikationen ein blätterbares Flipbook mit unserer einzigartigen Google optimierten e-Paper Software.

Einbinden des <strong>RAM</strong><br />

-- 4096x4 und 2048x8 Bit dual port Block Select<strong>RAM</strong><br />

component <strong>RAM</strong>16_S4_S8<br />

port (<br />

WEA : in std_logic; -- Write Enable für <strong>RAM</strong> A<br />

ENA : in std_logic; -- Enable: aktiviert die Komponente<br />

RSTA : in std_logic; -- Reset: setzt DOA auf Null<br />

CLKA : in std_logic; -- Clock: Systemtakt A<br />

ADDRA : in std_logic_vector(11 downto 0); -- Address Bus A<br />

DIA : in std_logic_vector(3 downto 0); -- Data in Bus A<br />

DOA : out std_logic_vector(3 downto 0) -- Data Output Bus A<br />

WEB : in std_logic; -- Write Enable für <strong>RAM</strong> B<br />

ENB : in std_logic; -- Enable: aktiviert die Komponente<br />

RSTB : in std_logic; -- Reset: setzt DOB auf Null<br />

CLKB : in std_logic; -- Clock: Systemtakt B<br />

ADDRB : in std_logic_vector(10 downto 0); -- Address Bus B<br />

DIB : in std_logic_vector(7 downto 0); -- Data in Bus B<br />

DOB : out std_logic_vector(7 downto 0) -- Data Output Bus B<br />

);<br />

end component;<br />

41

Hurra! Ihre Datei wurde hochgeladen und ist bereit für die Veröffentlichung.

Erfolgreich gespeichert!

Leider ist etwas schief gelaufen!