26.10.2013 Views

Digital Control

Digital Control

Digital Control

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Target Devices/Platforms:<br />

• MC56F8000<br />

Range of Applications:<br />

• <strong>Digital</strong> <strong>Control</strong> Systems<br />

• Motor <strong>Control</strong> (BLDC, PMSM, AC)<br />

Highlights/Description:<br />

• Software modules implemented in assembly<br />

• Optimized for speed<br />

• C-callable interface<br />

• Easy to use<br />

• Fully documented<br />

Public Deliverables:<br />

Freescale Embedded Software Libraries<br />

Documentation<br />

ACLIB<br />

User<br />

Application SW<br />

MCLIB<br />

GDFLIB<br />

GFLIB<br />

FSLESL<br />

On-Chip Drivers<br />

Freescale, the Freescale logo, AltiVec, C-5, CodeTEST, CodeWarrior, ColdFire, C-Ware, mobileGT, PowerQUICC, StarCore, and Symphony are trademarks of Freescale Semiconductor, Inc.,<br />

Reg. U.S. Pat. & Tm. Off. BeeKit, BeeStack, CoreNet, the Energy Efficient Solutions logo, Flexis, MXC, Platform in a Package, Processor Expert, QorIQ, QUICC Engine, SMARTMOS, TurboLink<br />

and VortiQa are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © 2010 Freescale Semiconductor, Inc. 105<br />

APPLICATION<br />

Application SW<br />

Libraries<br />

On-Chip Driver<br />

On-Chip<br />

Peripherals<br />

PINS<br />

System Infrastructure External HW<br />

FMaster*<br />

Support<br />

External App.*<br />

Support<br />

*Optional<br />

External<br />

Connections<br />

• General Function Library (GFLIB) contains math, trigonometric, look-up table and control functions. These software<br />

modules are basic building blocks.<br />

• Motor <strong>Control</strong> Library (MCLIB) contains vector modulation, transformation and specific motor related functions to build<br />

digitally controlled motor drives.<br />

• General <strong>Digital</strong> Filter Library (GDFLIB) contains filter functions for signal conditioning.<br />

• Advanced <strong>Control</strong> Library (ACLIB) will contain functions to enable building the variable speed AC motor drive systems<br />

with field oriented control techniques without position or speed transducer (will be available soon).<br />

Processor<br />

TM

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!