06.11.2013 Views

Beispiel

Beispiel

Beispiel

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK . . . 18. . .<br />

Arithmetik in VHDL<br />

01<br />

02 -- Variante 1 : Wie in anderen Sprachen<br />

03<br />

04 . . .<br />

05 signal int1, int2, int3: Integer range 0 to 131071;<br />

06 signal databus: std logic vector(15 downto 0);<br />

07 . . .<br />

08 int3

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!