03.11.2014 Views

VHDL 프로그래밍

VHDL 프로그래밍

VHDL 프로그래밍

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

영상 파일의 입출력<br />

• 클럭 신호 생성부의 <strong>VHDL</strong> 구현<br />

library IEEE;<br />

use IEEE.STD_LOGIC_1164.all;<br />

entity clk_rst_gen is<br />

port ( reset_disp_n : out std_logic;<br />

dispclk : out std_logic);<br />

end;<br />

architecture clk_rst_gen of clk_rst_gen is<br />

constant HALF_PERIOD_25M : time := 20 ns ;<br />

begin<br />

reset_disp_n

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!