03.11.2014 Views

VHDL 프로그래밍

VHDL 프로그래밍

VHDL 프로그래밍

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

영상 파일의 입출력<br />

• 동기 신호 패턴 정보의 <strong>VHDL</strong> 구현<br />

-- Galaxy S timing information<br />

constant HTOTAL_WIDTH : integer := 500 ;<br />

constant HSYNC_WIDTH : integer := 5;<br />

constant HSYNC_BACK_PORCH : integer := 14 ;<br />

constant HBP_PLUS_ACTIVE PLUS : integer := 494 ;<br />

constant VTOTAL_WIDTH : integer := 820 ;<br />

constant VSYNC_WIDTH : integer := 5;<br />

constant VSYNC_BACK_PORCH : integer := 8 ;<br />

constant VBP_PLUS_ACTIVE PLUS : integer := 808 ;<br />

7/55<br />

영상 파일의 입출력<br />

• 수평 동기 신호 생성 – 수평 카운터 신호 생성<br />

pcounter_gen: process (dispclk, reset_disp_n)<br />

begin<br />

if (reset_disp_n='0') then<br />

pcounter

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!